default search action
Search dblp for Publications
export results for "Young-Il Kim"
@article{DBLP:journals/access/KimKCHM24, author = {Jaekyeong Kim and Woo Cheul Kwon and Il Young Choi and Hyuk Heo and Hyun Sil Moon}, title = {A Group Travel Recommender System Based on Group Approximate Constraint Satisfaction}, journal = {{IEEE} Access}, volume = {12}, pages = {96113--96125}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3427122}, doi = {10.1109/ACCESS.2024.3427122}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCHM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKK24, author = {Keon{-}Woo Kim and Moon{-}Young Kim and Jeong{-}Il Kang}, title = {A Novel Center-Tapped Rectifier With Current-Balancing and Voltage-Clamped Capability for {LLC} Resonant Converter}, journal = {{IEEE} Access}, volume = {12}, pages = {1474--1482}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3346325}, doi = {10.1109/ACCESS.2023.3346325}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimOYLC24, author = {Young{-}Seok Kim and Tae{-}Ho Oh and Dae{-}Young Yang and Sang{-}Hoon Lee and Dong{-}Il Cho}, title = {Effective Suppression of Mover-Position- Dependent Resonances Using Combined Decoupled Persistent Oscillation Compensator and Disturbance Observer Structure}, journal = {{IEEE} Access}, volume = {12}, pages = {92856--92871}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3422429}, doi = {10.1109/ACCESS.2024.3422429}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimOYLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKNSL24, author = {Donghun Lee and Bongseok Kim and Soonhyung Kwon and Ngoc{-}Duc Nguyen and Min Kyu Sim and Young Il Lee}, title = {Reinforcement Learning-Based Control of {DC-DC} Buck Converter Considering Controller Time Delay}, journal = {{IEEE} Access}, volume = {12}, pages = {118442--118452}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3448535}, doi = {10.1109/ACCESS.2024.3448535}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKKNSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangKOLC24, author = {Dae{-}Young Yang and Young{-}Seok Kim and Tae{-}Ho Oh and Sang{-}Hoon Lee and Dong{-}Il Cho}, title = {Closed-Loop Soft Starter Firing Angle Control Method Using Only Current Feedback}, journal = {{IEEE} Access}, volume = {12}, pages = {112062--112073}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3441250}, doi = {10.1109/ACCESS.2024.3441250}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangKOLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YehCKK24, author = {Choong Il Yeh and Yong{-}Seouk Choi and Young{-}Jo Ko and Il{-}Gyu Kim}, title = {Standardization and technology trends of artificial intelligence for mobile systems}, journal = {Comput. Commun.}, volume = {213}, pages = {169--178}, year = {2024}, url = {https://doi.org/10.1016/j.comcom.2023.11.004}, doi = {10.1016/J.COMCOM.2023.11.004}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/YehCKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejc/ChoiKO24, author = {Seung{-}Il Choi and Young{-}Hun Kim and Young{-}Tak Oh}, title = {Poset modules of the 0-Hecke algebras and related quasisymmetric power sum expansions}, journal = {Eur. J. Comb.}, volume = {120}, pages = {103965}, year = {2024}, url = {https://doi.org/10.1016/j.ejc.2024.103965}, doi = {10.1016/J.EJC.2024.103965}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejc/ChoiKO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/JangK24, author = {Sooyoung Jang and Hyung{-}Il Kim}, title = {Efficient deep reinforcement learning under task variations via knowledge transfer for drone control}, journal = {{ICT} Express}, volume = {10}, number = {3}, pages = {576--582}, year = {2024}, url = {https://doi.org/10.1016/j.icte.2024.04.002}, doi = {10.1016/J.ICTE.2024.04.002}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/JangK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/SonPKY24, author = {Daehyeon Son and Youngshin Park and Bonam Kim and Ilsun You}, title = {A Study on the Implementation of a Network Function for Real-time False Base Station Detection for the Next Generation Mobile Communication Environment}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {15}, number = {1}, pages = {184--201}, year = {2024}, url = {https://doi.org/10.58346/jowua.2024.i1.013}, doi = {10.58346/JOWUA.2024.I1.013}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/SonPKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonWKJKSCKJJHKKEPB24, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Sejun Jeon and Soon{-}Won Kwon and Ha{-}Il Song and Hanho Choi and Bongjin Kim and Huxian Jin and Jun{-}Gi Jo and Woosang Han and Tai{-}Young Kim and Gain Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 26-Gb/s Framed-Pulsewidth Modulation Transceiver for Extended Reach Optical Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {8}, pages = {2506--2517}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3372434}, doi = {10.1109/JSSC.2024.3372434}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KwonWKJKSCKJJHKKEPB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeHKSPPPSLKBRKCS24, author = {Jae{-}Young Lee and Seongji Han and Munyu Kim and Yong{-}Sin Seo and Jongwoo Park and Dong Il Park and Chanhun Park and Hyunuk Seo and Joonho Lee and Hwi{-}Su Kim and Jeongae Bak and Hugo Rodrigue and Jin{-}Gyun Kim and Joono Cheong and Sung{-}Hyuk Song}, title = {Variable-stiffness-morphing wheel inspired by the surface tension of a liquid droplet}, journal = {Sci. Robotics}, volume = {9}, number = {93}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adl2067}, doi = {10.1126/SCIROBOTICS.ADL2067}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeHKSPPPSLKBRKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYSYPKCCPL24, author = {Chang{-}Hyeon Kim and Ki{-}Hoon Yang and Yeon{-}Seob Song and Sang{-}Sun Yoo and Younggun Pu and Il{-}Hwan Kim and Seok{-}Whan Chung and Kwang{-}Wook Choi and Jun{-}Eun Park and Kang{-}Yoon Lee}, title = {A Surface Acoustic Wave-Based {PM} 1.0 Fine Dust Detection System Using Full Digital Time-Interleaved Counters}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4149}, year = {2024}, url = {https://doi.org/10.3390/s24134149}, doi = {10.3390/S24134149}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYSYPKCCPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24, author = {Duccio Abbaneo and Shakeel Ahmad and Raffaele Albanese and Andrey Alexandrov and Fabio Alicante and Konstantin Androsov and Anna Anokhina and Takashi Asada and Chayanit Asawatangtrakuldee and Marco A. Ayala Torres and Carlo Battilana and Aurelio Bay and Alcide Bertocco and Christopher Betancourt and Daniel Bick and Riddhi Biswas and Alberto Blanco Castro and Vincenzo Boccia and Mariyan Bogomilov and Daniele Bonacorsi and Walter Bonivento and Paula Bordalo and Alexey Boyarsky and Salvatore Buontempo and Mario Campanelli and TizianoCamporesi and Vincenzo Canale and Andrea Castro and Daniele Centanni and Francesco Cerutti and Mikhail Chernyavskiy and Ki Young Choi and Serhii Cholak and Federico Cindolo and Matei Climescu and Andrew Conaboy and Gaetano Marco Dallavalle and Daniele Davino and Paul de Bryas and Giovanni De Lellis and Massimiliano De Magistris and Albert De Roeck and Alvaro De R{\'{u}}jula and Marilisa De Serio and Dario De Simone and Antonia Di Crescenzo and Donato Di Ferdinando and Roberto Don{\`{a}} and Onur Durhan and Fabrizio Fabbri and Filips Fedotovs and Martina Ferrillo and Massimiliano Ferro{-}Luzzi and Rosa Anna Fini and Antimo Fiorillo and Raffaele Fresa and Wolfgang Funk and Francisca M. Garay Walls and Artem Golovatiuk and Andrey Golutvin and Elena Graverini and Ali M. Guler and Vasilisa Guliaeva and Guido J. Haefeli and Caren Hagner and Juan C. Helo Herrera and Eric van Herwijnen and Paolo Iengo and Simona Ilieva and Angelo Infantino and Antonio Iuliano and Richard Jacobsson and Cagin Kamiscioglu and Anni M. Kauniskangas and Emil Khalikov and Sung Hyun Kim and Yeong Gyun Kim and Guennadii Klioutchnikov and Masahiro Komatsu and Nina Konovalova and Sergey Kuleshov and Lukasz Krzempek and Heiko M. Lacker and Oliver Lantwin and Federico Lasagni Manghi and Adele Lauria and Kang Young Lee and Kyong Sei Lee and Sergio Lo Meo and Vincenzo Paolo Loschiavo and Stefano Marcellini and Annarita Margiotta and Anna Mascellani and Filippo Mei and Andrea Miano and A. Mikulenko and Maria Cristina Montesi and Francesco Luigi Navarria and Waraporn Nuntiyakul and Satoru Ogawa and Natalia Okateva and Maksym Ovchynnikov and Giulia Paggi and Byungdo Park and Alessandra Pastore and Andrea Perrotta and Dmitriy Podgrudkov and Natalia Polukhina and Andrea Prota and Antonio Quercia and S{\'{e}}rgio Ramos and Anupama Reghunath and Tatiana Roganova and Federico Ronchetti and Tiziano Rovelli and Oleg Ruchayskiy and Thomas Ruf and Marta Sabate Gilarte and Zhakypbek Sadykov and Mikhail Samoilov and Valentino Scalera and Walter Schmidt{-}Parzefall and Olivier Schneider and Givi Sekhniaidze and Nicola Serra and Mikhail Shaposhnikov and Vladimir Shevchenko and Tatiana Shchedrina and Lesya Shchutska and Hiroshi Shibuya and Saverio Simone and Gian P. Siroli and Gabriele Sirri and Guilherme Soares and Jong Yoon Sohn and Orlando J. Soto Sandoval and Maurizio Spurio and Nikolai Starkov and Jan Steggemann and Inar Timiryasov and Valeri Tioukov and Francesco Tramontano and Carina Trippl and Eduard Ursov and Andrey Ustyuzhanin and Galina Vankova{-}Kirilova and Gerardo Vasquez and Vassil Verguilov and Nuno Viegas Guerreiro Leonardo and Cristovao Vilela and Ciro Visone and Rainer Wanke and Eda Yaman and Zhibin Yang and Ceren Yazici and Chun Sil Yoon and Ettore Zaffaroni and Jilberto Zamora{-}Sa{\'{a}}}, title = {Results and Perspectives from the First Two Years of Neutrino Physics at the {LHC} by the SND@LHC Experiment}, journal = {Symmetry}, volume = {16}, number = {6}, pages = {702}, year = {2024}, url = {https://doi.org/10.3390/sym16060702}, doi = {10.3390/SYM16060702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/KimYYKI24, author = {Youngwook Kim and Jehyeong Yun and Joungil Yun and Sangwoon Kwak and Insung Ihm}, title = {Ray tracing-based construction of 3D background model for real-time stereoscopic rendering of live immersive video}, journal = {Virtual Real.}, volume = {28}, number = {1}, pages = {17}, year = {2024}, url = {https://doi.org/10.1007/s10055-023-00921-w}, doi = {10.1007/S10055-023-00921-W}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vr/KimYYKI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimDKSJJ24, author = {Taehong Kim and Jun{-}Hyeong Do and Joong Il Kim and Jeong{-}Woo Seo and Youngjae Jeong and Kyoung{-}Mi Jang}, title = {Inclusive User Experience Design for Older Adults: Focusing on XR-Based Cognitive and Physical Training}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {436--438}, year = {2024}, crossref = {DBLP:conf/bigcomp/2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00096}, doi = {10.1109/BIGCOMP60711.2024.00096}, timestamp = {Thu, 18 Apr 2024 16:24:12 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimDKSJJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002GCSRSVWZAAB24, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Antonette Shibani and Disha Shrivastava and Lila Shroff and Agnia Sergeyuk and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia Ha Rim Rho and Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1054:1--1054:35}, year = {2024}, crossref = {DBLP:conf/chi/2024}, url = {https://doi.org/10.1145/3613904.3642697}, doi = {10.1145/3613904.3642697}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002GCSRSVWZAAB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimCLC24, author = {Dong Sik Kim and Young Mo Chung and Young Il Lee and Beom Jin Chung}, title = {Analysis of the Subscription Rate Plan in the {DC} Fast Charger for Electric Vehicles}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, year = {2024}, crossref = {DBLP:conf/elinfocom/2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457239}, doi = {10.1109/ICEIC61013.2024.10457239}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimOLJC24, author = {Youngchul Kim and Soo{-}Cheol Oh and Sangmin Lee and Ki{-}Sung Jin and Gyuil Cha}, title = {Evaluation of {\textdollar}{\textbackslash}vert{\textbackslash}mathrm\{Y\} {\textgreater}{\textdollar} Magic State Distillation Circuit}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {221--225}, year = {2024}, crossref = {DBLP:conf/icact/2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471972}, doi = {10.23919/ICACT60172.2024.10471972}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/KimOLJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/Yu0CLKCWKLYYP24, author = {Youn{-}Yeol Yu and Jeongwhan Choi and Woojin Cho and Kookjin Lee and Nayong Kim and Kiseok Chang and ChangSeung Woo and Ilho Kim and SeokWoo Lee and Joon{-}Young Yang and Sooyoung Yoon and Noseong Park}, title = {Learning Flexible Body Collision Dynamics with Hierarchical Contact Mesh Transformer}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, year = {2024}, crossref = {DBLP:conf/iclr/2024}, url = {https://openreview.net/forum?id=90yw2uM6J5}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/Yu0CLKCWKLYYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KwonKLL0KKKNISK24, author = {Hyukjun Kwon and Kangwon Kim and Junyoung Lee and Hyunsei Lee and Jiseung Kim and Jinhyung Kim and Taehyung Kim and Yongnyeon Kim and Yang Ni and Mohsen Imani and Ilhong Suh and Yeseong Kim}, title = {Brain-Inspired Hyperdimensional Computing in the Wild: Lightweight Symbolic Learning for Sensorimotor Controls of Wheeled Robots}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {5176--5182}, year = {2024}, crossref = {DBLP:conf/icra/2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610176}, doi = {10.1109/ICRA57147.2024.10610176}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/KwonKLL0KKKNISK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, year = {2024}, crossref = {DBLP:conf/icra/2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanKKKKKL24, author = {Sangil Han and Jaehee Kim and Dongyun Kam and Byeong Yong Kong and Mijung Kim and Young{-}Seok Kim and Youngjoo Lee}, title = {Constrained Sorter Design using Zero-One Principle}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, year = {2024}, crossref = {DBLP:conf/iscas/2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10557942}, doi = {10.1109/ISCAS58744.2024.10557942}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanKKKKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSCPHKJKKLK24, author = {Ik{-}Hwan Kim and Jeong{-}Il Seo and Young{-}Hwan Choo and Seungchan Park and Jae{-}Yeol Han and Woosik Kim and Sung{-}Youb Jung and Taehyuk Ko and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {31.3 {A} 950ns 0.5-to-5.5V 5G {NR} {RF} {PA} Supply Modulator with Floating Capacitor Control for Symbol Power Tracking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {500--502}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454517}, doi = {10.1109/ISSCC49657.2024.10454517}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimSCPHKJKKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkNMK24, author = {Chanwook Park and Moonsik Nam and Hyeong Il Moon and Youngjae Kim}, title = {A Practical Evaluation of Multi-Agent Pathfinding in Automated Warehouse}, booktitle = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, pages = {1--6}, year = {2024}, crossref = {DBLP:conf/urai/2024}, url = {https://doi.org/10.1109/UR61395.2024.10597505}, doi = {10.1109/UR61395.2024.10597505}, timestamp = {Wed, 14 Aug 2024 15:52:44 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkNMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/NamYLKP24, author = {Hyeongil Nam and Ji{-}Young Yeo and Kisub Lee and Kangsoo Kim and Jong{-}Il Park}, title = {Developing a Multimodal Clinical Nursing Simulation with a Virtual Preceptor in {AR}}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2024, Orlando, FL, USA, March 16-21, 2024}, pages = {793--794}, year = {2024}, crossref = {DBLP:conf/vr/2024w}, url = {https://doi.org/10.1109/VRW62533.2024.00193}, doi = {10.1109/VRW62533.2024.00193}, timestamp = {Tue, 04 Jun 2024 16:12:22 +0200}, biburl = {https://dblp.org/rec/conf/vr/NamYLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ParkKKYS24, author = {Junyoung Park and Jin Kim and Hyeongjun Kwon and Ilhoon Yoon and Kwanghoon Sohn}, title = {Layer-wise Auto-Weighting for Non-Stationary Test-Time Adaptation}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {1403--1412}, year = {2024}, crossref = {DBLP:conf/wacv/2024}, url = {https://doi.org/10.1109/WACV57701.2024.00144}, doi = {10.1109/WACV57701.2024.00144}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ParkKKYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/JangKKLPAK24, author = {Beakcheol Jang and Gun Il Kim and Youngha Kim and Yo Han Lee and Chang{-}Reung Park and Jae{-}Pyoung Ahn and Jong Wook Kim}, title = {Long-Term {COVID-19} Outbreak Prediction using Time Difference Data}, publisher = {{IEEE} DataPort}, year = {2024}, month = jul, howpublished = {\url{https://doi.org/10.21227/5w4q-kp44}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/5w4q-kp44}, doi = {10.21227/5W4Q-KP44}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/JangKKLPAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14117, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Agnia Sergeyuk and Antonette Shibani and Disha Shrivastava and Lila Shroff and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia H. Rho and Shannon Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, journal = {CoRR}, volume = {abs/2403.14117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14117}, doi = {10.48550/ARXIV.2403.14117}, eprinttype = {arXiv}, eprint = {2403.14117}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00670, author = {Youngseo Cho and In Hee Kwak and Dohyeon Kim and Jinhee Na and Hanjoo Sung and Jeongjae Lee and Young Eun Kim and Hyeo{-}il Ma}, title = {Statistical Analysis by Semiparametric Additive Regression and {LSTM-FCN} Based Hierarchical Classification for Computer Vision Quantification of Parkinsonian Bradykinesia}, journal = {CoRR}, volume = {abs/2404.00670}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00670}, doi = {10.48550/ARXIV.2404.00670}, eprinttype = {arXiv}, eprint = {2404.00670}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-10272, author = {Youngjoon Jang and Ji{-}Hoon Kim and Junseok Ahn and Doyeop Kwak and Hongsun Yang and Yooncheol Ju and Ilhwan Kim and Byeong{-}Yeol Kim and Joon Son Chung}, title = {Faces that Speak: Jointly Synthesising Talking Face and Speech from Text}, journal = {CoRR}, volume = {abs/2405.10272}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.10272}, doi = {10.48550/ARXIV.2405.10272}, eprinttype = {arXiv}, eprint = {2405.10272}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-10272.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13524, author = {Ilhoon Yoon and Hyeongjun Kwon and Jin Kim and Junyoung Park and Hyunsung Jang and Kwanghoon Sohn}, title = {Enhancing Source-Free Domain Adaptive Object Detection with Low-confidence Pseudo Label Distillation}, journal = {CoRR}, volume = {abs/2407.13524}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13524}, doi = {10.48550/ARXIV.2407.13524}, eprinttype = {arXiv}, eprint = {2407.13524}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13524.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-16448, author = {Youngmin Oh and Hyung{-}Il Kim and Seong Tae Kim and Jung Uk Kim}, title = {MonoWAD: Weather-Adaptive Diffusion Model for Robust Monocular 3D Object Detection}, journal = {CoRR}, volume = {abs/2407.16448}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.16448}, doi = {10.48550/ARXIV.2407.16448}, eprinttype = {arXiv}, eprint = {2407.16448}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-16448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GharamalekiHAAKKC23, author = {Nader Latifi Gharamaleki and Junsun Hwang and Awais Ahmed and Sarmad Ahmad Abbasi and Soo{-}Il Kim and Jin{-}young Kim and Hongsoo Choi}, title = {Electromagnetic Manipulation System for Semi-Autonomous Control of Small-Scale Magnetic Objects With Sequential Programming}, journal = {{IEEE} Access}, volume = {11}, pages = {35327--35335}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3264464}, doi = {10.1109/ACCESS.2023.3264464}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GharamalekiHAAKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJHSLHSYOPKEK23, author = {Ikhwan Kim and Won Il Jang and Hyeon{-}Sik Hwang and Byeong{-}Jae Seo and Dong{-}Min Lee and Ji{-}Ho Han and Jaewoo Shin and Young{-}Ro Yoon and Taehyoun Oh and Hyung{-}Chul Park and Eun Seong Kim and Yun Seong Eo and Nam{-}Young Kim}, title = {A Highly Integrated Radio Frequency Receiver {RF} {CMOS} Module for Core Body Temperature Thermometer}, journal = {{IEEE} Access}, volume = {11}, pages = {124942--124950}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3330243}, doi = {10.1109/ACCESS.2023.3330243}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJHSLHSYOPKEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ParkLJKKPKL23, author = {Na{-}Eun Park and Yu{-}Rim Lee and Soyoung Joo and So{-}Yeon Kim and Sohui Kim and Ju{-}Young Park and Seo{-}Yi Kim and Il{-}Gu Lee}, title = {Performance evaluation of a fast and efficient intrusion detection framework for advanced persistent threat-based cyberattacks}, journal = {Comput. Electr. Eng.}, volume = {105}, pages = {108548}, year = {2023}, url = {https://doi.org/10.1016/j.compeleceng.2022.108548}, doi = {10.1016/J.COMPELECENG.2022.108548}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ParkLJKKPKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimSKHSCJKJK23, author = {Hyun Kim and Pukyeong Seo and Min Ju Kim and Jun Il Huh and Jun{-}Sang Sunwoo and Kwang Su Cha and El Jeong and Han{-}Joon Kim and Ki{-}Young Jung and Kyung Hwan Kim}, title = {Characterization of attentional event-related potential from {REM} sleep behavior disorder patients based on explainable machine learning}, journal = {Comput. Methods Programs Biomed.}, volume = {234}, pages = {107496}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107496}, doi = {10.1016/J.CMPB.2023.107496}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimSKHSCJKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChangKLKLKKKB23, author = {Kapseok Chang and Keunyoung Kim and Sanguk Lee and Jae{-}Hean Kim and Junghoon Lee and Hyungju Kim and Young{-}Jo Ko and Ilgyu Kim and Seung Chan Bang}, title = {Technical challenges and solutions for 10 cm-level positioning accuracy towards 6G}, journal = {{ICT} Express}, volume = {9}, number = {3}, pages = {492--506}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2022.09.006}, doi = {10.1016/J.ICTE.2022.09.006}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChangKLKLKKKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/JangK23, author = {Sooyoung Jang and Hyung{-}Il Kim}, title = {Supervised pre-training for improved stability in deep reinforcement learning}, journal = {{ICT} Express}, volume = {9}, number = {1}, pages = {51--56}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2021.12.015}, doi = {10.1016/J.ICTE.2021.12.015}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/JangK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HuhKKPPCLKL23, author = {Jun Ho Huh and Sungsu Kwag and Iljoo Kim and Alexandr Popov and Younghan Park and Geumhwan Cho and Juwon Lee and Hyoungshick Kim and Choong{-}Hoon Lee}, title = {On the Long-Term Effects of Continuous Keystroke Authentication: Keeping User Frustration Low through Behavior Adaptation}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {2}, pages = {58:1--58:32}, year = {2023}, url = {https://doi.org/10.1145/3596236}, doi = {10.1145/3596236}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/HuhKKPPCLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekKYYSCP23, author = {Suwhan Baek and Juhyeong Kim and Hyunsoo Yu and Geunbo Yang and Illsoo Sohn and Youngho Cho and Cheolsoo Park}, title = {Intelligent Feature Selection for ECG-Based Personal Authentication Using Deep Reinforcement Learning}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1230}, year = {2023}, url = {https://doi.org/10.3390/s23031230}, doi = {10.3390/S23031230}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekKYYSCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/KimCLKKSLHLK23, author = {Daegyeong Kim and Wonwoo Choi and Chang{-}il Lim and Eunjin Kim and Geonwoo Kim and Yongho Song and Junsu Lee and Youngkwang Han and Hojoon Lee and Brent ByungHoon Kang}, title = {Towards scalable and configurable simulation for disaggregated architecture}, journal = {Simul. Model. Pract. Theory}, volume = {125}, pages = {102743}, year = {2023}, url = {https://doi.org/10.1016/j.simpat.2023.102743}, doi = {10.1016/J.SIMPAT.2023.102743}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/KimCLKKSLHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YoonJKYB23, author = {Joanne Yoon and Raehun Jung and Young{-}il Kim and Sung{-}Joon Ye and Young{-}Bong Bang}, title = {A Fast Actuation Mechanism and Energy-Effective Driving Method for Pulse-Closers}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {2}, pages = {1331--1341}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3159915}, doi = {10.1109/TIE.2022.3159915}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YoonJKYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/KimKYNL23, author = {Dae{-}Jin Kim and Byungki Kim and Changwoo Yoon and Ngoc{-}Duc Nguyen and Young Il Lee}, title = {Disturbance Observer-Based Model Predictive Voltage Control for Electric-Vehicle Charging Station in Distribution Networks}, journal = {{IEEE} Trans. Smart Grid}, volume = {14}, number = {1}, pages = {545--558}, year = {2023}, url = {https://doi.org/10.1109/TSG.2022.3187120}, doi = {10.1109/TSG.2022.3187120}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/KimKYNL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/MoonKGLKP23, author = {Jin{-}Young Moon and Nari Kim and Geonil Goh and Kyung{-}Ryong Lee and Hansol Kim and Young{-}Woo Park}, title = {Stubbi: an Interactive Device for Enhancing Remote Text and Voice Communication in Small Intimate Groups through Simple Physical Movements}, booktitle = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {1773--1788}, year = {2023}, crossref = {DBLP:conf/ACMdis/2023}, url = {https://doi.org/10.1145/3563657.3596016}, doi = {10.1145/3563657.3596016}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/MoonKGLKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimLSLNYPYLS23, author = {Taeyeop Kim and Jaeseong Lee and Jaeho Song and Dongwoo Lee and Jun{-}Chae Na and Sung{-}Il Yang and Kyong{-}Jin Park and Young Jin Yoo and Juhye Lee and Won{-}Yong Shin}, title = {AI-Empowered Database Management Platform for New Materials Discovery for Consumer Electronics}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {929--930}, year = {2023}, crossref = {DBLP:conf/ccnc/2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060412}, doi = {10.1109/CCNC51644.2023.10060412}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/KimLSLNYPYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKBBL23, author = {Jiwoo Kim and Youngbin Kim and Ilwoong Baek and JinYeong Bak and Jongwuk Lee}, title = {It Ain't Over: {A} Multi-aspect Diverse Math Word Problem Dataset}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14984--15011}, year = {2023}, crossref = {DBLP:conf/emnlp/2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.927}, doi = {10.18653/V1/2023.EMNLP-MAIN.927}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimKBBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoiSWYKJKLKEPB23, author = {Hanho Choi and Ha{-}Il Song and Hyosup Won and Jun Young Yoo and Woohyun Kwon and Huxian Jin and Konan Kwon and Cheong Min Lee and Gain Kim and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {An 86.71875GHz {RF} transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {181--184}, year = {2023}, crossref = {DBLP:conf/esscirc/2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268802}, doi = {10.1109/ESSCIRC59616.2023.10268802}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoiSWYKJKLKEPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimCJNKSKM23, author = {Yoon{-}Yeong Kim and Youngjae Cho and JoonHo Jang and Byeonghu Na and Yeongmin Kim and Kyungwoo Song and Wanmo Kang and Il{-}Chul Moon}, title = {{SAAL:} Sharpness-Aware Active Learning}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, pages = {16424--16440}, year = {2023}, crossref = {DBLP:conf/icml/2023}, url = {https://proceedings.mlr.press/v202/kim23c.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimCJNKSKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimOLJC23, author = {Youngchul Kim and Soo{-}Cheol Oh and Sangmin Lee and Ki{-}Sung Jin and Gyu{-}Il Cha}, title = {Lattice surgery-based logical operations in a fault-tolerant quantum software framework}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {173--176}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393193}, doi = {10.1109/ICTC58733.2023.10393193}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimOLJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SonLKOHB23, author = {Young{-}Sun Son and Gilljae Lee and Kwang{-}Eun Kim and Il{-}Hwan Oh and Chul{-}Ho Heo and Hyunseob Baik}, title = {The Potential of Hyperspectral Data for Detection Lithium-Bearing Pegmatite: {A} Case Study at Uljin, South Korea}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3690--3692}, year = {2023}, crossref = {DBLP:conf/igarss/2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283433}, doi = {10.1109/IGARSS52108.2023.10283433}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/SonLKOHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YuSKL23, author = {Su Min Yu and Jae Young Seo and Bo Ram Kim and Sang{-}Il Lee}, title = {Classifying and Mapping Groundwater Level Variations Using Machine Learning Models}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3755--3757}, year = {2023}, crossref = {DBLP:conf/igarss/2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283362}, doi = {10.1109/IGARSS52108.2023.10283362}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/YuSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/IlinkinSK23, author = {Ivaylo Ilinkin and Daeun Song and Young J. Kim}, title = {Stroke-Based Rendering and Planning for Robotic Performance of Artistic Drawing}, booktitle = {{IROS}}, pages = {8062--8068}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341808}, doi = {10.1109/IROS55552.2023.10341808}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/IlinkinSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23, author = {Daehyun Kwon and Heon Su Jeong and Jaemin Choi and Wijong Kim and Jae Woong Kim and Junsub Yoon and Jungmin Choi and Sanguk Lee and Hyunsub Norbert Rie and Jin{-}Il Lee and Jongbum Lee and Taeseong Jang and JunHyung Kim and Sanghee Kang and Jung{-}Bum Shin and Yanggyoon Loh and Chang{-}Yong Lee and Junmyung Woo and Hye{-}Seung Yu and Changhyun Bae and Reum Oh and Young{-}Soo Sohn and Changsik Yoo and Jooyoung Lee}, title = {A 1.1V 6.4Gb/s/pin 24-Gb {DDR5} {SDRAM} with a Highly-Accurate Duty Corrector and NBTI-Tolerant {DLL}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {412--413}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067651}, doi = {10.1109/ISSCC42615.2023.10067651}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimSRLKSKKJCLJK23, author = {Kanguk Kim and Youngwoo Son and Hoin Ryu and Byunghyun Lee and Jooncheol Kim and Hyunsu Shin and Joonyoung Kang and Jihun Kim and Shinwoo Jeong and Kyosuk Chae and Dongkak Lee and Ilwoo Jung and Yongkwan Kim and Boyoung Song and Jeonghoon Oh and Jungwoo Song and Seguen Park and Keumjoo Lee and Hyodong Ban and Jiyoung Kim and Jooyoung Lee}, title = {14nm {DRAM} Development and Manufacturing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185314}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185314}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimSRLKSKKJCLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkKLSKCBKASLA23, author = {Jiheon Park and Daeyun Kim and Hoyong Lee and Seung{-}Chul Shin and Myoungoh Ki and Bumsik Chung and Myunghan Bae and Myeonggyun Kye and Jonghan Ahn and Inho Song and Sunhwa Lee and Jaeil An and Il{-}Pyeong Hwang and Taemin An and Young{-}Gu Jin and Youngchan Kim and Youngsun Oh and Juhyun Ko and Haechang Lee and Joonseo Yim}, title = {An Indirect Time-of-Flight {CMOS} Image Sensor Achieving Sub-ms Motion Lagging and 60fps Depth Image from On-chip {ISP}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185418}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185418}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/ParkKLSKCBKASLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05858, author = {Junyoung Park and Jin Kim and Hyeongjun Kwon and Ilhoon Yoon and Kwanghoon Sohn}, title = {Layer-wise Auto-Weighting for Non-Stationary Test-Time Adaptation}, journal = {CoRR}, volume = {abs/2311.05858}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05858}, doi = {10.48550/ARXIV.2311.05858}, eprinttype = {arXiv}, eprint = {2311.05858}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12467, author = {Youn{-}Yeol Yu and Jeongwhan Choi and Woojin Cho and Kookjin Lee and Nayong Kim and Kiseok Chang and ChangSeung Woo and Ilho Kim and SeokWoo Lee and Joon{-}Young Yang and Sooyoung Yoon and Noseong Park}, title = {Learning Flexible Body Collision Dynamics with Hierarchical Contact Mesh Transformer}, journal = {CoRR}, volume = {abs/2312.12467}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12467}, doi = {10.48550/ARXIV.2312.12467}, eprinttype = {arXiv}, eprint = {2312.12467}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKPKY22, author = {Seongmin Park and SungMoon Kwon and Youngkwon Park and Dowon Kim and Ilsun You}, title = {Session Management for Security Systems in 5G Standalone Network}, journal = {{IEEE} Access}, volume = {10}, pages = {73421--73436}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3187053}, doi = {10.1109/ACCESS.2022.3187053}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KimKPLCKM22, author = {Youngjin Kim and Taejong Kim and Chanho Park and Jaewon Lee and Hyungtae Cho and Myungjun Kim and Il Moon}, title = {Development of novel flow distribution apparatus for simulated moving bed to improve degree of mixing}, journal = {Comput. Chem. Eng.}, volume = {156}, pages = {107553}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2021.107553}, doi = {10.1016/J.COMPCHEMENG.2021.107553}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/KimKPLCKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkAKJGJLO22, author = {Junsang Park and Junho An and Jinkook Kim and Sunghoon Jung and Yeongjoon Gil and Yoojin Jang and Kwanglo Lee and Il{-}Young Oh}, title = {Study on the use of standard 12-lead {ECG} data for rhythm-type {ECG} classification problems}, journal = {Comput. Methods Programs Biomed.}, volume = {214}, pages = {106521}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2021.106521}, doi = {10.1016/J.CMPB.2021.106521}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkAKJGJLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/KimJLC22, author = {Jae Kyeong Kim and Chang Geun Jeong and Qinglong Li and Il Young Choi}, title = {The demand effect analysis of head books and tail books in book recommendation networks}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {39}, number = {2}, year = {2022}, url = {https://doi.org/10.1111/exsy.12847}, doi = {10.1111/EXSY.12847}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/es/KimJLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DwivediHBRGADMB22, author = {Yogesh K. Dwivedi and David Laurie Hughes and Abdullah M. Baabdullah and Samuel Ribeiro{-}Navarrete and Mihalis Giannakis and Mutaz M. Al{-}Debei and Denis Dennehy and Bhimaraya A. Metri and Dimitrios Buhalis and Christy M. K. Cheung and Kieran Conboy and Ronan Doyle and Rameshwar Dubey and Vincent Dutot and Reto Felix and D. P. Goyal and Anders Gustafsson and Chris Hinsch and Ikram Jebabli and Marijn Janssen and Young{-}Gab Kim and Jooyoung Kim and Stefan Koos and David Kreps and Nir Kshetri and Vikram Kumar and Keng{-}Boon Ooi and Savvas Papagiannidis and Ilias O. Pappas and Ariana Polyviou and Sang{-}Min Park and Neeraj Pandey and Maciel Manoel Queiroz and Ramakrishnan Raman and Philipp A. Rauschnabel and Anuragini Shirish and Marianna Sigala and Konstantina Spanaki and Garry Wei{-}Han Tan and Manoj Kumar Tiwari and Giampaolo Viglia and Samuel Fosso Wamba}, title = {Metaverse beyond the hype: Multidisciplinary perspectives on emerging challenges, opportunities, and agenda for research, practice and policy}, journal = {Int. J. Inf. Manag.}, volume = {66}, pages = {102542}, year = {2022}, url = {https://doi.org/10.1016/j.ijinfomgt.2022.102542}, doi = {10.1016/J.IJINFOMGT.2022.102542}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/DwivediHBRGADMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NohSKL22, author = {Young{-}Seok Noh and Jeong{-}Il Seo and Hyun{-}Sik Kim and Sang{-}Gug Lee}, title = {A Reconfigurable {DC-DC} Converter for Maximum Thermoelectric Energy Harvesting in a Battery-Powered Duty-Cycling Wireless Sensor Node}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {9}, pages = {2719--2730}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3152261}, doi = {10.1109/JSSC.2022.3152261}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NohSKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee}, title = {A Single Path Digital-IF Receiver Supporting Inter/Intra 5-CA With a Single Integer {LO-PLL} in 14-nm {CMOS} FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {12}, pages = {3646--3655}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3212375}, doi = {10.1109/JSSC.2022.3212375}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KimKLPK22, author = {Seok Young Kim and Chang Hyun Kim and Won Joon Lee and Il Park and Seon Wook Kim}, title = {Low-overhead inverted {LUT} design for bounded {DNN} activation functions on floating-point vector ALUs}, journal = {Microprocess. Microsystems}, volume = {93}, pages = {104592}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2022.104592}, doi = {10.1016/J.MICPRO.2022.104592}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/KimKLPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/ChristensenDLSG22, author = {Dennis V. Christensen and Regina Dittmann and Bernab{\'{e}} Linares{-}Barranco and Abu Sebastian and Manuel Le Gallo and Andrea Redaelli and Stefan Slesazeck and Thomas Mikolajick and Sabina Spiga and Stephan Menzel and Ilia Valov and Gianluca Milano and Carlo Ricciardi and Shi{-}Jun Liang and Feng Miao and Mario Lanza and Tyler J. Quill and Scott T. Keene and Alberto Salleo and Julie Grollier and Danijela Markovic and Alice Mizrahi and Peng Yao and J. Joshua Yang and Giacomo Indiveri and John Paul Strachan and Suman Datta and Elisa Vianello and Alexandre Valentian and Johannes Feldmann and Xuan Li and Wolfram H. P. Pernice and Harish Bhaskaran and Steve B. Furber and Emre Neftci and Franz Scherr and Wolfgang Maass and Srikanth Ramaswamy and Jonathan Tapson and Priyadarshini Panda and Youngeun Kim and Gouhei Tanaka and Simon Thorpe and Chiara Bartolozzi and Thomas A. Cleland and Christoph Posch and Shih{-}Chii Liu and Gabriella Panuccio and Mufti Mahmud and Arnab Neelim Mazumder and Morteza Hosseini and Tinoosh Mohsenin and Elisa Donati and Silvia Tolu and Roberto Galeazzi and Martin Ejsing Christensen and Sune Holm and Daniele Ielmini and N. Pryds}, title = {2022 roadmap on neuromorphic computing and engineering}, journal = {Neuromorph. Comput. Eng.}, volume = {2}, number = {2}, pages = {22501}, year = {2022}, url = {https://doi.org/10.1088/2634-4386/ac4a83}, doi = {10.1088/2634-4386/AC4A83}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuromorphic/ChristensenDLSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangK22, author = {Sooyoung Jang and Hyung{-}Il Kim}, title = {Entropy-Aware Model Initialization for Effective Exploration in Deep Reinforcement Learning}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5845}, year = {2022}, url = {https://doi.org/10.3390/s22155845}, doi = {10.3390/S22155845}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JangK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoKKJJD22, author = {Jeong{-}Woo Seo and Joong Il Kim and Taehong Kim and Kyoung{-}Mi Jang and Youngjae Jeong and Jun{-}Hyeong Do}, title = {Sensory Interaction and Balancing Ability Evaluation of the Elderly Using a Simplified Force Plate System}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8883}, year = {2022}, url = {https://doi.org/10.3390/s22228883}, doi = {10.3390/S22228883}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoKKJJD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/KimPKPM22, author = {Dae H. Kim and Young Soo Park and Hyunwoo Kim and Kun Soo Park and Ilkyeong Moon}, title = {Inventory policy for postponement strategy in the semiconductor industry with a die bank}, journal = {Simul. Model. Pract. Theory}, volume = {117}, pages = {102498}, year = {2022}, url = {https://doi.org/10.1016/j.simpat.2022.102498}, doi = {10.1016/J.SIMPAT.2022.102498}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/KimPKPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KimLPKKPK22, author = {Chang Hyun Kim and Won Jun Lee and Yoonah Paik and Kiyong Kwon and Seok Young Kim and Il Park and Seon Wook Kim}, title = {Silent-PIM: Realizing the Processing-in-Memory Computing With Standard Memory Requests}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {2}, pages = {251--262}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2021.3065365}, doi = {10.1109/TPDS.2021.3065365}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KimLPKKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/YunNKKY22, author = {Jun{-}Seok Yun and Youngju Na and Hee Hyeon Kim and Hyung{-}Il Kim and Seok Bong Yoo}, title = {HAZE-Net: High-Frequency Attentive Super-Resolved Gaze Estimation in Low-Resolution Face Images}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {V}}, pages = {142--160}, year = {2022}, crossref = {DBLP:conf/accv/2022-5}, url = {https://doi.org/10.1007/978-3-031-26348-4\_9}, doi = {10.1007/978-3-031-26348-4\_9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/YunNKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/YangKOKLC22, author = {Dae{-}Young Yang and Tae{-}Hoon Kim and Tae{-}Ho Oh and Young{-}Seok Kim and Sang{-}Hoon Lee and Dong{-}Il Dan Cho}, title = {A Current Balancing Method of Two-Phase Soft Starter for Three-Phase Induction Motor Drive System Without Current Sensor}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {1309--1314}, year = {2022}, crossref = {DBLP:conf/ascc/2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828099}, doi = {10.23919/ASCC56756.2022.9828099}, timestamp = {Tue, 26 Jul 2022 14:50:43 +0200}, biburl = {https://dblp.org/rec/conf/ascc/YangKOKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/KimHHLYK22, author = {Young{-}Il Kim and Park Seong Hee and Tae{-}Wook Heo and Tae{-}Chong Lee and Yong{-}Hyun Yeun and Seung{-}Tae Kim}, title = {Performance Analysis of Geo-Fencing Effect for Intruder Monitoring}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {1447--1453}, year = {2022}, crossref = {DBLP:conf/csci/2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00258}, doi = {10.1109/CSCI58124.2022.00258}, timestamp = {Mon, 22 Apr 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/csci/KimHHLYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KinliMOKTZWZZLLCLFBWLMGHYOKRHLSNKKLNK22, author = {Furkan Kinli and Sami Mentes and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Radu Timofte and Yi Zuo and Zitao Wang and Xiaowen Zhang and Yu Zhu and Chenghua Li and Cong Leng and Jian Cheng and Shuai Liu and Chaoyu Feng and Furui Bai and Xiaotao Wang and Lei Lei and Tianzhi Ma and Zi{-}han Gao and Wenxin He and Woon{-}Ha Yeo and Wang{-}Taek Oh and Young{-}Il Kim and Han{-}Cheol Ryu and Gang He and Shaoyi Long and S. M. A. Sharif and Rizwan Ali Naqvi and Sungjun Kim and Guisik Kim and Seohyeon Lee and Sabari Nathan and Priya Kansal}, title = {{AIM} 2022 Challenge on Instagram Filter Removal: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, pages = {27--43}, year = {2022}, crossref = {DBLP:conf/eccv/2022-w3}, url = {https://doi.org/10.1007/978-3-031-25066-8\_2}, doi = {10.1007/978-3-031-25066-8\_2}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KinliMOKTZWZZLLCLFBWLMGHYOKRHLSNKKLNK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeHKYKBH22, author = {Youngwan Lee and Joong{-}Won Hwang and Hyung{-}Il Kim and Kimin Yun and Yongjin Kwon and Yuseok Bae and Sung Ju Hwang}, title = {Localization Uncertainty Estimation for Anchor-Free Object Detection}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, pages = {27--42}, year = {2022}, crossref = {DBLP:conf/eccv/2022-w8}, url = {https://doi.org/10.1007/978-3-031-25085-9\_2}, doi = {10.1007/978-3-031-25085-9\_2}, timestamp = {Thu, 16 Feb 2023 11:51:10 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeHKYKBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YeoOKKR22, author = {Woon{-}Ha Yeo and Wang{-}Taek Oh and Kyung{-}Su Kang and Young{-}Il Kim and Han{-}Cheol Ryu}, title = {{CAIR:} Fast and Lightweight Multi-scale Color Attention Network for Instagram Filter Removal}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {II}}, pages = {714--728}, year = {2022}, crossref = {DBLP:conf/eccv/2022-w2}, url = {https://doi.org/10.1007/978-3-031-25063-7\_45}, doi = {10.1007/978-3-031-25063-7\_45}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/YeoOKKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimNYP22, author = {Chanhee Kim and Hyeongil Nam and Ji{-}Young Yeo and Jong{-}Il Park}, title = {Virtual Reality Interaction Toward the Replacement of Real Clinical Nursing Education}, booktitle = {Culture and Computing - 10th International Conference, C{\&}C 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, pages = {44--67}, year = {2022}, crossref = {DBLP:conf/hci/2022-23}, url = {https://doi.org/10.1007/978-3-031-05434-1\_4}, doi = {10.1007/978-3-031-05434-1\_4}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimNYP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NamCHDJPLJKKSSC22, author = {Bu{-}Il Nam and Young{-}Ha Choi and Sungki Hong and Ki{-}Young Dong and Wontaeck Jung and Sang{-}Won Park and Soon{-}Yong Lee and Dooyeun Jung and Byoung{-}Hee Kim and Eun{-}Kyoung Kim and Ki{-}Whan Song and Jai Hyuk Song and Woo Young Choi}, title = {Novel Electrical Detection Method for Random Defects on Peripheral Circuits in {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {40--1}, year = {2022}, crossref = {DBLP:conf/irps/2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764437}, doi = {10.1109/IRPS48227.2022.9764437}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NamCHDJPLJKKSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/NamKKYP22, author = {Hyeongil Nam and Chanhee Kim and Kangsoo Kim and Ji{-}Young Yeo and Jong{-}Il Park}, title = {An Emotionally Responsive Virtual Parent for Pediatric Nursing Education: {A} Framework for Multimodal Momentary and Accumulated Interventions}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2022, Singapore, October 17-21, 2022}, pages = {365--374}, year = {2022}, crossref = {DBLP:conf/ismar/2022}, url = {https://doi.org/10.1109/ISMAR55827.2022.00052}, doi = {10.1109/ISMAR55827.2022.00052}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/NamKKYP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A Single-Path Digital-IF Receiver Supporting Inter/Intra 5-CA with a Single Integer {LO-PLL} in 14nm {CMOS} FinFET}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {440--442}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731796}, doi = {10.1109/ISSCC42614.2022.9731796}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimBACK22, author = {Young Jae Kim and Sohyun Byun and Chung il Ahn and Sangwook Cho and Kwang Gi Kim}, title = {Automatic polyp detection using SmartEndo-Net based on fusion feature pyramid network with mix-up edges}, booktitle = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, year = {2022}, crossref = {DBLP:conf/micad/2022}, url = {https://doi.org/10.1117/12.2612651}, doi = {10.1117/12.2612651}, timestamp = {Thu, 14 Mar 2024 14:37:17 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimBACK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/ofc/2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimPLHY22, author = {Youngmin Kim and Hongjong Park and Iljin Lee and Joonhoi Hur and Sangmin Yoo}, title = {High Efficiency 29-/38-GHz Hybrid Transceiver Front-Ends Utilizing Si {CMOS} and GaAs {HEMT} for 5G {NR} Millimeter-Wave Mobile Applications}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {124--125}, year = {2022}, crossref = {DBLP:conf/vlsit/2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830256}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830256}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimPLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KwonWKSCJKJJKEP22, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Ha{-}Il Song and Hanho Choi and Sejun Jeon and Soon{-}Won Kwon and Huxian Jin and Jun{-}Gi Jo and Tai Young Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation {(FPWM)} for Extended Reach Optical Links in 28nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {156--157}, year = {2022}, crossref = {DBLP:conf/vlsit/2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830361}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KwonWKSCJKJJKEP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisec2/2021, editor = {Ilsun You and Hwankuk Kim and Taek{-}Young Youn and Francesco Palmieri and Igor V. Kotenko}, title = {Mobile Internet Security - 5th International Symposium, MobiSec 2021, Jeju Island, South Korea, October 7-9, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1544}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-16-9576-6}, doi = {10.1007/978-981-16-9576-6}, isbn = {978-981-16-9575-9}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisec2/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-14039, author = {Woon{-}Ha Yeo and Wang{-}Taek Oh and Kyung{-}Su Kang and Young{-}Il Kim and Han{-}Cheol Ryu}, title = {{CAIR:} Fast and Lightweight Multi-Scale Color Attention Network for Instagram Filter Removal}, journal = {CoRR}, volume = {abs/2208.14039}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.14039}, doi = {10.48550/ARXIV.2208.14039}, eprinttype = {arXiv}, eprint = {2208.14039}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-14039.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-10167, author = {Jun{-}Seok Yun and Youngju Na and Hee Hyeon Kim and Hyung{-}Il Kim and Seok Bong Yoo}, title = {HAZE-Net: High-Frequency Attentive Super-Resolved Gaze Estimation in Low-Resolution Face Images}, journal = {CoRR}, volume = {abs/2209.10167}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.10167}, doi = {10.48550/ARXIV.2209.10167}, eprinttype = {arXiv}, eprint = {2209.10167}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-10167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-07590, author = {Ivaylo Ilinkin and Daeun Song and Young J. Kim}, title = {Stroke-based Rendering and Planning for Robotic Performance of Artistic Drawing}, journal = {CoRR}, volume = {abs/2210.07590}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.07590}, doi = {10.48550/ARXIV.2210.07590}, eprinttype = {arXiv}, eprint = {2210.07590}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-07590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08997, author = {Furkan Kinli and Sami Mentes and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Radu Timofte and Yi Zuo and Zitao Wang and Xiaowen Zhang and Yu Zhu and Chenghua Li and Cong Leng and Jian Cheng and Shuai Liu and Chaoyu Feng and Furui Bai and Xiaotao Wang and Lei Lei and Tianzhi Ma and Zi{-}han Gao and Wenxin He and Woon{-}Ha Yeo and Wang{-}Taek Oh and Young{-}Il Kim and Han{-}Cheol Ryu and Gang He and Shaoyi Long and S. M. A. Sharif and Rizwan Ali Naqvi and Sungjun Kim and Guisik Kim and Seohyeon Lee and Sabari Nathan and Priya Kansal}, title = {{AIM} 2022 Challenge on Instagram Filter Removal: Methods and Results}, journal = {CoRR}, volume = {abs/2210.08997}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08997}, doi = {10.48550/ARXIV.2210.08997}, eprinttype = {arXiv}, eprint = {2210.08997}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKYM21, author = {Youngwan Lee and Hyung{-}Il Kim and Kimin Yun and Jinyoung Moon}, title = {Diverse Temporal Aggregation and Depthwise Spatiotemporal Factorization for Efficient Video Classification}, journal = {{IEEE} Access}, volume = {9}, pages = {163054--163064}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3132916}, doi = {10.1109/ACCESS.2021.3132916}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MinJKSKPHKPHCMK21, author = {Sun{-}Hong Min and Hoechun Jung and Ohjoon Kwon and Matlabjon Sattorov and Seontae Kim and Seung{-}Hyuk Park and Dongpyo Hong and Seonmyeong Kim and Chawon Park and Bong Hwan Hong and Ilsung Cho and Sukhwal Ma and Minho Kim and Young Joon Yoo and Sang Yoon Park and Gun{-}Sik Park}, title = {Analysis of Electromagnetic Pulse Effects Under High-Power Microwave Sources}, journal = {{IEEE} Access}, volume = {9}, pages = {136775--136791}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117395}, doi = {10.1109/ACCESS.2021.3117395}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MinJKSKPHKPHCMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/WooMK21, author = {Young{-}Bin Woo and Ilkyeong Moon and Byung Soo Kim}, title = {Production-Inventory control model for a supply chain network with economic production rates under no shortages allowed}, journal = {Comput. Ind. Eng.}, volume = {160}, pages = {107558}, year = {2021}, url = {https://doi.org/10.1016/j.cie.2021.107558}, doi = {10.1016/J.CIE.2021.107558}, timestamp = {Fri, 24 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/WooMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HanKKOSKKCK21, author = {Gyeol Han and Yong{-}Min Kim and Hyunwoo Kim and Tae{-}Min Oh and Ki{-}Il Song and Ayoung Kim and Youngchul Kim and Youngtae Cho and Tae{-}Hyuk Kwon}, title = {Auto-detection of acoustic emission signals from cracking of concrete structures using convolutional neural networks: Upscaling from specimen}, journal = {Expert Syst. Appl.}, volume = {186}, pages = {115863}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115863}, doi = {10.1016/J.ESWA.2021.115863}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/HanKKOSKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/KimM21, author = {Jung{-}Cheol Kim and Il{-}Young Moon}, title = {A study on selection of optimised piping supports and {E-BOM} generation}, journal = {Int. J. Comput. Vis. Robotics}, volume = {11}, number = {6}, pages = {580--604}, year = {2021}, url = {https://doi.org/10.1504/IJCVR.2021.118533}, doi = {10.1504/IJCVR.2021.118533}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcvr/KimM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcta/ChoiKNO21, author = {Seung{-}Il Choi and Young{-}Hun Kim and Sun{-}Young Nam and Young{-}Tak Oh}, title = {Modules of the 0-Hecke algebra arising from standard permuted composition tableaux}, journal = {J. Comb. Theory {A}}, volume = {179}, pages = {105389}, year = {2021}, url = {https://doi.org/10.1016/j.jcta.2020.105389}, doi = {10.1016/J.JCTA.2020.105389}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcta/ChoiKNO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/JohnsonCDSWAHMW21, author = {Sarah Charlotte Johnson and Matthew Cunningham and Ilse N. Dippenaar and Fablina Sharara and Eve E. Wool and Kareha M. Agesa and Chieh Han and Molly K. Miller{-}Petrie and Shadrach Wilson and John E. Fuller and Shelly Balassyano and Gregory J. Bertolacci and Nicole Davis Weaver and Jalal Arabloo and Alaa Badawi and Akshaya Srikanth Bhagavathula and Katrin Burkart and Luis Alberto C{\'{a}}mera and Felix Carvalho and Carlos A. Casta{\~{n}}eda{-}Orjuela and Jee{-}Young Jasmine Choi and Dinh{-}Toi Chu and Xiaochen Dai and Mostafa Dianatinasab and Sophia Emmons{-}Bell and Eduarda Fernandes and Florian Fischer and Ahmad Ghashghaee and Mahaveer Golechha and Simon I. Hay and Khezar Hayat and Nathaniel J. Henry and Ramesh Holla and Mowafa S. Househ and Segun Emmanuel Ibitoye and Maryam Keramati and Ejaz Ahmad Khan and Yun Jin Kim and Adnan Kisa and Hamidreza Komaki and Ai Koyanagi and Samantha Leigh Larson and Kate E. LeGrand and Xuefeng Liu and Azeem Majeed and Reza Malekzadeh and Bahram Mohajer and Abdollah Mohammadian{-}Hafshejani and Reza Mohammadpourhodki and Shafiu Mohammed and Farnam Mohebi and Ali H. Mokdad and Mariam Molokhia and Lorenzo Monasta and Mohammad Ali Moni and Muhammad Naveed and Thi Lan Huong Nguyen and Andrew T. Olagunju and Samuel M. Ostroff and Fatemeh Pashazadeh Kan and David M. Pereira and Quang Pham Hai and Salman Rawaf and David Laith Rawaf and Andre M. N. Renzaho and Luca Ronfani and Abdallah M. Samy and Subramanian Senthilkumaran and Sadaf G. Sepanlou and Masood Ali Shaikh and David H. Shaw and Kenji Shibuya and Jasvinder A. Singh and Valentin Yurievich Skryabin and Anna Aleksandrovna Skryabina and Emma Elizabeth Spurlock and Eyayou Girma Tadesse and Mohamad{-}Hani Temsah and Marcos Roberto Tovani{-}Palone and Tran Xuan Bach and Gebiyaw Wudie Tsegaye and Pascual R. Valdez and Prashant M. Vishwanath and Giang Thu Vu and Yasir Waheed and Naohiro Yonemoto and Rafael Lozano and Alan D. Lopez and Christopher J. L. Murray and Mohsen Naghavi}, title = {Public health utility of cause of death data: applying empirical algorithms to improve data quality}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {175}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01501-1}, doi = {10.1186/S12911-021-01501-1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/JohnsonCDSWAHMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimKACRCCSHBKDB21, author = {Tae Kim and Sang{-}Young Kim and Vikas Agarwal and Annie Cohen and Rebecca E. Roush and Yue{-}Fang Chang and Yu Cheng and Beth Snitz and Theodore J. Huppert and Anto Bagic and M. Ilyas Kamboh and Jack Doman and James T. Becker}, title = {Cardiac-induced cerebral pulsatility, brain structure, and cognition in middle and older-aged adults}, journal = {NeuroImage}, volume = {233}, pages = {117956}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117956}, doi = {10.1016/J.NEUROIMAGE.2021.117956}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/KimKACRCCSHBKDB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKK21, author = {Wonseok Lee and Young Jeon and Taejoon Kim and Young{-}Il Kim}, title = {Deep Reinforcement Learning for {UAV} Trajectory Design Considering Mobile Ground Users}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8239}, year = {2021}, url = {https://doi.org/10.3390/s21248239}, doi = {10.3390/S21248239}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NguyenDNKK21, author = {Ba Cao Nguyen and Le The Dung and Huu Minh Nguyen and Taejoon Kim and Young{-}Il Kim}, title = {Impacts of Residual Self-Interference, Hardware Impairment and Cascade Rayleigh Fading on the Performance of Full-Duplex Vehicle-to-Vehicle Relay Systems}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5628}, year = {2021}, url = {https://doi.org/10.3390/s21165628}, doi = {10.3390/S21165628}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NguyenDNKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimC21, author = {Youngho Kim and Gyuil Cha}, title = {Improvement of worker scaling-based scheduling algorithm to efficiently respond to explosion of micro function service requests}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, year = {2021}, crossref = {DBLP:conf/elinfocom/2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369745}, doi = {10.1109/ICEIC51217.2021.9369745}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KoPOJKB21, author = {Goo{-}Han Ko and Jun Young Park and Kwang{-}Il Oh and Zhenbo Jin and Seong{-}Hoon Kim and Donghyun Baek}, title = {Multi-Mode Signal Generator for K-band Radar Sensor Applications}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, year = {2021}, crossref = {DBLP:conf/elinfocom/2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369803}, doi = {10.1109/ICEIC51217.2021.9369803}, timestamp = {Fri, 26 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KoPOJKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, year = {2021}, crossref = {DBLP:conf/hotchips/2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYPJSH21, author = {Young{-}Il Kim and Geon{-}Min Yeo and Seong{-}Hee Park and Wun{-}Cheol Jeong and Soonyong Song and Tae{-}Wook Heo}, title = {The analysis of {UAV} detection performance using rotating cameras}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1262--1265}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621076}, doi = {10.1109/ICTC52510.2021.9621076}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimYPJSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimPPLS21, author = {Tae Won Kim and Yeseong Park and Youngbin Park and Sang Hyoung Lee and Il Hong Suh}, title = {Acceleration of Actor-Critic Deep Reinforcement Learning for Visual Grasping by State Representation Learning Based on a Preprocessed Input Image}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {198--205}, year = {2021}, crossref = {DBLP:conf/iros/2021}, url = {https://doi.org/10.1109/IROS51168.2021.9635931}, doi = {10.1109/IROS51168.2021.9635931}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/KimPPLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NohSCKPKL21, author = {Young{-}Seok Noh and Jeong{-}Il Seo and Won{-}Jong Choi and Ji{-}Hwan Kim and Hoang Van Phuoc and Hyun{-}Sik Kim and Sang{-}Gug Lee}, title = {17.6 {A} Reconfigurable {DC-DC} Converter for Maximum {TEG} Energy Harvesting in a Battery-Powered Wireless Sensor Node}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {266--268}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365811}, doi = {10.1109/ISSCC42613.2021.9365811}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NohSCKPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimCLM21, author = {Yeongmin Kim and Youngjae Cho and Hanbit Lee and Il{-}Chul Moon}, title = {Predict Sequential Credit Card Delinquency with VaDE-Seq2Seq}, booktitle = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, pages = {1159--1164}, year = {2021}, crossref = {DBLP:conf/smc/2021}, url = {https://doi.org/10.1109/SMC52423.2021.9659039}, doi = {10.1109/SMC52423.2021.9659039}, timestamp = {Tue, 11 Jan 2022 08:26:14 +0100}, biburl = {https://dblp.org/rec/conf/smc/KimCLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LeeKYYCI21, author = {Jaesuk Lee and Youngwook Kim and Jehyeong Yun and Joungil Yun and Won{-}Sik Cheong and Insung Ihm}, title = {Disocclusion-Reducing Geometry for Multiple {RGB-D} Video Streams}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2021, Lisbon, Portugal, March 27 - April 1, 2021}, pages = {603--604}, year = {2021}, crossref = {DBLP:conf/vr/2021w}, url = {https://doi.org/10.1109/VRW52623.2021.00184}, doi = {10.1109/VRW52623.2021.00184}, timestamp = {Mon, 10 May 2021 09:02:57 +0200}, biburl = {https://dblp.org/rec/conf/vr/LeeKYYCI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/KangKLLLK21, author = {Hyunjae Kang and Byung Il Kwak and Young Hun Lee and Haneol Lee and Hwejae Lee and Huy Kang Kim}, title = {Car Hacking: Attack {\&} Defense Challenge 2020 Dataset}, publisher = {{IEEE} DataPort}, year = {2021}, month = mar, howpublished = {\url{https://doi.org/10.21227/qvr7-n418}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/qvr7-n418}, doi = {10.21227/QVR7-N418}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/KangKLLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-05956, author = {Dennis V. Christensen and Regina Dittmann and Bernab{\'{e}} Linares{-}Barranco and Abu Sebastian and Manuel Le Gallo and Andrea Redaelli and Stefan Slesazeck and Thomas Mikolajick and Sabina Spiga and Stephan Menzel and Ilia Valov and Gianluca Milano and Carlo Ricciardi and Shi{-}Jun Liang and Feng Miao and Mario Lanza and Tyler J. Quill and Scott T. Keene and Alberto Salleo and Julie Grollier and Danijela Markovic and Alice Mizrahi and Peng Yao and J. Joshua Yang and Giacomo Indiveri and John Paul Strachan and Suman Datta and Elisa Vianello and Alexandre Valentian and Johannes Feldmann and Xuan Li and Wolfram H. P. Pernice and Harish Bhaskaran and Emre Neftci and Srikanth Ramaswamy and Jonathan Tapson and Franz Scherr and Wolfgang Maass and Priyadarshini Panda and Youngeun Kim and Gouhei Tanaka and Simon Thorpe and Chiara Bartolozzi and Thomas A. Cleland and Christoph Posch and Shih{-}Chii Liu and Arnab Neelim Mazumder and Morteza Hosseini and Tinoosh Mohsenin and Elisa Donati and Silvia Tolu and Roberto Galeazzi and Martin Ejsing Christensen and Sune Holm and Daniele Ielmini and N. Pryds}, title = {2021 Roadmap on Neuromorphic Computing and Engineering}, journal = {CoRR}, volume = {abs/2105.05956}, year = {2021}, url = {https://arxiv.org/abs/2105.05956}, eprinttype = {arXiv}, eprint = {2105.05956}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-05956.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-10533, author = {Sooyoung Jang and Hyung{-}Il Kim}, title = {Entropy-Aware Model Initialization for Effective Exploration in Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2108.10533}, year = {2021}, url = {https://arxiv.org/abs/2108.10533}, eprinttype = {arXiv}, eprint = {2108.10533}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-10533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/HeoLKKHY20, author = {Junghyun Heo and Jeong Jik Lee and Soonil Kwon and Boram Kim and Sung{-}Oh Hwang and Young{-}Ro Yoon}, title = {A novel method for detecting {ST} segment elevation myocardial infarction on a 12-lead electrocardiogram with a three-dimensional display}, journal = {Biomed. Signal Process. Control.}, volume = {56}, year = {2020}, url = {https://doi.org/10.1016/j.bspc.2019.101700}, doi = {10.1016/J.BSPC.2019.101700}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/HeoLKKHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SeoKKKOK20, author = {Dasom Seo and Euncheol Kang and Yu{-}mi Kim and Sun{-}Young Kim and Il{-}Seok Oh and Min{-}Gul Kim}, title = {SVM-based waist circumference estimation using Kinect}, journal = {Comput. Methods Programs Biomed.}, volume = {191}, pages = {105418}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105418}, doi = {10.1016/J.CMPB.2020.105418}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/SeoKKKOK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/WhangNYPCKYL20, author = {Kyu{-}Young Whang and Inju Na and Tae{-}Seob Yun and Jin{-}Ah Park and Kyu{-}Hyun Cho and Se{-}Jin Kim and Ilyeop Yi and Byung Suk Lee}, title = {Building social networking services systems using the relational shared-nothing parallel {DBMS}}, journal = {Data Knowl. Eng.}, volume = {125}, pages = {101756}, year = {2020}, url = {https://doi.org/10.1016/j.datak.2019.101756}, doi = {10.1016/J.DATAK.2019.101756}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/WhangNYPCKYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/ChoiSK20, author = {Soo Yeon Choi and Il Won Seo and Young{-}Oh Kim}, title = {Parameter uncertainty estimation of transient storage model using Bayesian inference with formal likelihood based on breakthrough curve segmentation}, journal = {Environ. Model. Softw.}, volume = {123}, year = {2020}, url = {https://doi.org/10.1016/j.envsoft.2019.104558}, doi = {10.1016/J.ENVSOFT.2019.104558}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/ChoiSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimPS20, author = {Byung Wan Kim and Youngbin Park and Il Hong Suh}, title = {Integration of top-down and bottom-up visual processing using a recurrent convolutional-deconvolutional neural network for semantic segmentation}, journal = {Intell. Serv. Robotics}, volume = {13}, number = {1}, pages = {87--97}, year = {2020}, url = {https://doi.org/10.1007/s11370-019-00296-5}, doi = {10.1007/S11370-019-00296-5}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ChunKKK20, author = {Dong Il Chun and Dae{-}Gun Kim and Hye{-}Young Kim and Yi Sub Kwak}, title = {Disability and Risk Factors of Drinking Behavior: Health Informatics Analysis}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {7}, pages = {1553--1556}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3108}, doi = {10.1166/JMIHI.2020.3108}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/ChunKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/KimLSLKLSOLJLHA20, author = {Hyungtai Kim and Minhee Lee and Min Kyun Sohn and Jongmin Lee and Deog Young Kim and Sam{-}Gyu Lee and Yong{-}Il Shin and Gyung{-}Jae Oh and Yang{-}Soo Lee and Cheol Min Joo and So Young Lee and Junhee Han and Jeonghoon Ahn and Won Hyuk Chang and Ji Yoo Choi and Sung Hyun Kang and Dong Han Lee and Young Taek Kim and Mun{-}Taek Choi and Yun{-}Hee Kim}, title = {Simultaneous Clustering and Classification of Function Recovery Patterns of Ischemic Stroke}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1401--1407}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3061}, doi = {10.1166/JMIHI.2020.3061}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/KimLSLKLSOLJLHA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKSHLLJLK20, author = {Hyun Sang Park and Kwang il Kim and Jae Young Soh and Young Ho Hyun and Bang Eun Lee and Jong Hwa Lee and Jung Gwon Jo and Han Chae Lee and Hwa Sun Kim}, title = {Development and Operation of a Video Teleconsultation System Using Integrated Medical Equipment Gateway: a National Project for Workers in Underserved Areas}, journal = {J. Medical Syst.}, volume = {44}, number = {11}, pages = {194}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01664-w}, doi = {10.1007/S10916-020-01664-W}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/ParkKSHLLJLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLPKOSBPLLLMHP20, author = {Kyung{-}Soo Ha and Seungseob Lee and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Hyong{-}Ryol Hwang and Dukha Park and Young{-}Hwa Kim and Young Hoon Son and Byongwook Na}, title = {A 7.5 Gb/s/pin 8-Gb {LPDDR5} {SDRAM} With Various High-Speed and Low-Power Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {157--166}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938396}, doi = {10.1109/JSSC.2019.2938396}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLPKOSBPLLLMHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/JungVCKNKKJE20, author = {Hyungtaek Jung and Tomer Ventura and J. Sook Chung and Woo{-}Jin Kim and Bo{-}Hye Nam and Hee Jeong Kong and Young{-}Ok Kim and Min{-}Seung Jeon and Seong{-}il Eyun}, title = {Twelve quick steps for genome assembly and annotation in the classroom}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {11}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1008325}, doi = {10.1371/JOURNAL.PCBI.1008325}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/JungVCKNKKJE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimCLMM20, author = {Jong{-}Suk Kim and Anxiang Chen and Junghwan Lee and Il{-}Ju Moon and Young{-}Il Moon}, title = {Statistical Prediction of Typhoon-Induced Rainfall over China Using Historical Rainfall, Tracks, and Intensity of Typhoon in the Western North Pacific}, journal = {Remote. Sens.}, volume = {12}, number = {24}, pages = {4133}, year = {2020}, url = {https://doi.org/10.3390/rs12244133}, doi = {10.3390/RS12244133}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimCLMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLOCSK20, author = {Jong{-}il Lee and Suwoong Lee and HyunMin Oh and Bo Ram Cho and Kap{-}Ho Seo and Min Young Kim}, title = {3D Contact Position Estimation of Image-Based Areal Soft Tactile Sensor with Printed Array Markers and Image Sensors}, journal = {Sensors}, volume = {20}, number = {13}, pages = {3796}, year = {2020}, url = {https://doi.org/10.3390/s20133796}, doi = {10.3390/S20133796}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeLOCSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/KoLCKKCK20, author = {Byoung{-}Sun Ko and Gi{-}Young Lee and Ki{-}Young Choi and Rae{-}Young Kim and Seokwoong Kim and Jintae Cho and Sang{-}Il Kim}, title = {Flexible Control Structure for Enhancement of Scalability in {DC} Microgrids}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {3}, pages = {4591--4601}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2019.2963707}, doi = {10.1109/JSYST.2019.2963707}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/KoLCKKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JooPPLKLELLKK20, author = {Kyung{-}Il Joo and Min{-}Kyu Park and Heewon Park and Tae{-}Hyun Lee and Ki{-}Chul Kwon and Young{-}Tae Lim and Munkh{-}Uchral Erdenebat and Hyun Lee and Gwangsoon Lee and Nam Kim and Hak{-}Rin Kim}, title = {Light-Field Camera for Fast Switching of Time-Sequential Two-Dimensional and Three-Dimensional Image Capturing at Video Rate}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {8}, pages = {6975--6985}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2935992}, doi = {10.1109/TIE.2019.2935992}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JooPPLKLELLKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiOKLL20, author = {Seung Won Choi and Seong{-}Taek Oh and Min{-}Woo Kim and Il{-}Oun Lee and Jun{-}Young Lee}, title = {Interleaved Isolated Single-Phase {PFC} Converter Module for Three-Phase {EV} Charger}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {5}, pages = {4957--4967}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2980878}, doi = {10.1109/TVT.2020.2980878}, timestamp = {Fri, 28 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ChoiOKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoiCKCKGM20, author = {Jongwon Choi and Youngjoon Choi and Jihoon Kim and Jin{-}Yeop Chang and Ilhwan Kwon and Youngjune Gwon and Seungjai Min}, title = {Visual Domain Adaptation by Consensus-Based Transfer to Intermediate Domain}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {10655--10662}, year = {2020}, crossref = {DBLP:conf/aaai/2020}, url = {https://doi.org/10.1609/aaai.v34i07.6692}, doi = {10.1609/AAAI.V34I07.6692}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChoiCKCKGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/KimPI20, author = {Taeyoung Kim and Jaecheol Park and Il Im}, title = {The Effect of Reward Provision Timing in Mobile Application Platforms: {A} Social Exchange Theory Perspective}, booktitle = {26th Americas Conference on Information Systems, {AMCIS} 2020, Virtual Conference, August 15-17, 2020}, year = {2020}, crossref = {DBLP:conf/amcis/2020}, url = {https://aisel.aisnet.org/amcis2020/adv\_info\_systems\_research/adv\_info\_systems\_research/9}, timestamp = {Mon, 27 Jul 2020 19:06:34 +0200}, biburl = {https://dblp.org/rec/conf/amcis/KimPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/BaeYKLP20, author = {Kangmin Bae and Kimin Yun and Hyung{-}Il Kim and Youngwan Lee and Jongyoul Park}, title = {Anti-Litter Surveillance based on Person Understanding via Multi-Task Learning}, booktitle = {31st British Machine Vision Conference 2020, {BMVC} 2020, Virtual Event, UK, September 7-10, 2020}, year = {2020}, crossref = {DBLP:conf/bmvc/2020}, url = {https://www.bmvc2020-conference.com/assets/papers/0279.pdf}, timestamp = {Wed, 03 Feb 2021 08:35:02 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/BaeYKLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/MinCHSKPJOLY20, author = {Seonwoo Min and Hyun{-}Soo Choi and Hyeongrok Han and Minji Seo and Jin{-}Kook Kim and Junsang Park and Sunghoon Jung and Il{-}Young Oh and Byunghan Lee and Sungroh Yoon}, title = {Bag of Tricks for Electrocardiogram Classification With Deep Neural Networks}, booktitle = {Computing in Cardiology, CinC 2020, Rimini, Italy, September 13-16, 2020}, pages = {1--4}, year = {2020}, crossref = {DBLP:conf/cinc/2020}, url = {https://doi.org/10.22489/CinC.2020.328}, doi = {10.22489/CINC.2020.328}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cinc/MinCHSKPJOLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimLSLLASRAHK20, author = {Jongpal Kim and Wonseok Lee and Junyeub Suh and Hyungwoo Lee and Kyu{-}Il Lee and Ho Young Ahn and Min{-}Jae Seo and Seung{-}Tak Ryu and Kirill Y. Aristovich and David S. Holder and Sang Joon Kim}, title = {A 10 nV/rt Hz noise level 32-channel neural impedance sensing {ASIC} for local activation imaging on nerve section}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {4012--4015}, year = {2020}, crossref = {DBLP:conf/embc/2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176708}, doi = {10.1109/EMBC44109.2020.9176708}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimLSLLASRAHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiK20b, author = {Young{-}Il Choi and Jae{-}Ho Kim}, title = {Reliable data transmission in 5G Network using Access Traffic Steering method}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1034--1038}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289535}, doi = {10.1109/ICTC49870.2020.9289535}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiK20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYPJSH20, author = {Young{-}Il Kim and Geon{-}Min Yeo and Seong{-}Hee Park and Wun{-}Cheol Jeong and Soonyong Song and Tae{-}Wook Heo}, title = {The analysis of image acquisition method for Anti-UAV surveillance using cameras image}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {549--554}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289164}, doi = {10.1109/ICTC49870.2020.9289164}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimYPJSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongSK20, author = {Soonyong Song and Youngsung Son and Young{-}Il Kim}, title = {Flying Drone Classification based on Visualization of Acoustic Signals with Deep Neural Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {546--548}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289516}, doi = {10.1109/ICTC49870.2020.9289516}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SongSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, year = {2020}, crossref = {DBLP:conf/irps/2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLLHKSLCKLKP20, author = {Minho Kwon and Seunghyun Lim and Hyeokjong Lee and Il{-}Seon Ha and Moo{-}Young Kim and Il{-}Jin Seo and Suho Lee and Yongsuk Choi and Kyunghoon Kim and Hansoo Lee and Won{-}Woong Kim and Seonghye Park and Kyongmin Koh and Jesuk Lee and Yongin Park}, title = {A Low-Power 65/14nm Stacked {CMOS} Image Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, year = {2020}, crossref = {DBLP:conf/iscas/2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180435}, doi = {10.1109/ISCAS45731.2020.9180435}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonLLHKSLCKLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCBKKRPKCSLSHC20, author = {Chi{-}Sung Oh and Ki Chul Chun and Young{-}Yong Byun and Yong{-}Ki Kim and So{-}Young Kim and Yesin Ryu and Jaewon Park and Sinho Kim and Sang{-}uhn Cha and Dong{-}Hak Shin and Jungyu Lee and Jong{-}Pil Son and Byung{-}Kyu Ho and Seong{-}Jin Cho and Beomyong Kil and Sungoh Ahn and Baekmin Lim and Yong{-}Sik Park and Kijun Lee and Myung{-}Kyu Lee and Seungduk Baek and Junyong Noh and Jae{-}Wook Lee and Seungseob Lee and Sooyoung Kim and Bo{-}Tak Lim and Seouk{-}Kyu Choi and Jin{-}Guk Kim and Hye{-}In Choi and Hyuk{-}Jun Kwon and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.1 {A} 1.1V 16GB 640GB/s {HBM2E} {DRAM} with a Data-Bus Window-Extension Technique and a Synergetic On-Die {ECC} Scheme}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {330--332}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063110}, doi = {10.1109/ISSCC19947.2020.9063110}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCBKKRPKCSLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JungHKHL20, author = {Julip Jung and Helen Hong and Young{-}Gi Kim and Sung Il Hwang and Hak Jong Lee}, title = {Prediction of prostate cancer aggressiveness using quantitative radiomic features using multi-parametric {MRI}}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, year = {2020}, crossref = {DBLP:conf/micad/2020}, url = {https://doi.org/10.1117/12.2551298}, doi = {10.1117/12.2551298}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/JungHKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimKK20, author = {Ildoo Kim and Younghoon Kim and Sungwoong Kim}, title = {Learning Loss for Test-Time Augmentation}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, crossref = {DBLP:conf/nips/2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/2ba596643cbbbc20318224181fa46b28-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KwonCKYGM20, author = {Yeong{-}Dae Kwon and Jinho Choo and Byoungjip Kim and Iljoo Yoon and Youngjune Gwon and Seungjai Min}, title = {{POMO:} Policy Optimization with Multiple Optima for Reinforcement Learning}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, crossref = {DBLP:conf/nips/2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/f231f2107df69eab0a3862d50018a9b2-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KwonCKYGM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeNKCLYSKLJCJJ20, author = {Jang{-}Woo Lee and Dae{-}Hoon Na and Anil Kavala and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Tongsung Kim and Seon{-}Kyoo Lee and Dong{-}Su Jang and Byung{-}Kwan Chun and Youngmin Jo and Sunwon Jung and Doo{-}Il Jung and Chan{-}ho Kim and Daewoon Kang and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Sangjoon Hwang and Jai Hyuk Song}, title = {A 1.8 Gb/s/pin 16Tb {NAND} Flash Memory Multi-Chip Package with F-Chip of Toggle 4.0 Specification for High Performance and High Capacity Storage Systems}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, year = {2020}, crossref = {DBLP:conf/vlsic/2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163052}, doi = {10.1109/VLSICIRCUITS18222.2020.9163052}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeNKCLYSKLJCJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/YunMKJ20, author = {Tae{-}Sub Yun and Il{-}Chul Moon and Young{-}Chul Kim and Ki{-}Sung Jin}, title = {Agent-Based Modeling and Simulation on Residential Population Movement Patterns: The Case of Sejong City}, booktitle = {Winter Simulation Conference, {WSC} 2020, Orlando, FL, USA, December 14-18, 2020}, pages = {620--631}, year = {2020}, crossref = {DBLP:conf/wsc/2020}, url = {https://doi.org/10.1109/WSC48552.2020.9383874}, doi = {10.1109/WSC48552.2020.9383874}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/YunMKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-11903, author = {Tae Won Kim and Yeseong Park and Youngbin Park and Il Hong Suh}, title = {Acceleration of Actor-Critic Deep Reinforcement Learning for Visual Grasping in Clutter by State Representation Learning Based on Disentanglement of a Raw Input Image}, journal = {CoRR}, volume = {abs/2002.11903}, year = {2020}, url = {https://arxiv.org/abs/2002.11903}, eprinttype = {arXiv}, eprint = {2002.11903}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-11903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-15607, author = {Youngwan Lee and Joong{-}won Hwang and Hyungil Kim and Kimin Yun and Jongyoul Park}, title = {Localization Uncertainty Estimation for Anchor-Free Object Detection}, journal = {CoRR}, volume = {abs/2006.15607}, year = {2020}, url = {https://arxiv.org/abs/2006.15607}, eprinttype = {arXiv}, eprint = {2006.15607}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-15607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10986, author = {Seong{-}il Im and Hyejin Lee and Jaesang Lee and Jae{-}Seung Jeong and Joon Young Kwak and Keunsu Kim and Jeong Ho Cho and Hyunsu Ju and Suyoun Lee}, title = {Highly-scalable stochastic neuron based on Ovonic Threshold Switch {(OTS)} and its applications in Restricted Boltzmann Machine {(RBM)}}, journal = {CoRR}, volume = {abs/2010.10986}, year = {2020}, url = {https://arxiv.org/abs/2010.10986}, eprinttype = {arXiv}, eprint = {2010.10986}, timestamp = {Thu, 29 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11422, author = {Ildoo Kim and Younghoon Kim and Sungwoong Kim}, title = {Learning Loss for Test-Time Augmentation}, journal = {CoRR}, volume = {abs/2010.11422}, year = {2020}, url = {https://arxiv.org/abs/2010.11422}, eprinttype = {arXiv}, eprint = {2010.11422}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11422.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-16011, author = {Yeong{-}Dae Kwon and Jinho Choo and Byoungjip Kim and Iljoo Yoon and Seungjai Min and Youngjune Gwon}, title = {{POMO:} Policy Optimization with Multiple Optima for Reinforcement Learning}, journal = {CoRR}, volume = {abs/2010.16011}, year = {2020}, url = {https://arxiv.org/abs/2010.16011}, eprinttype = {arXiv}, eprint = {2010.16011}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-16011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-00317, author = {Youngwan Lee and Hyungil Kim and Kimin Yun and Jinyoung Moon}, title = {Diverse Temporal Aggregation and Depthwise Spatiotemporal Factorization for Efficient Video Classification}, journal = {CoRR}, volume = {abs/2012.00317}, year = {2020}, url = {https://arxiv.org/abs/2012.00317}, eprinttype = {arXiv}, eprint = {2012.00317}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-00317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHK19, author = {Junhyeong Kim and Youngnam Han and Ilgyu Kim}, title = {Efficient Groupcast Schemes for Vehicle Platooning in {V2V} Network}, journal = {{IEEE} Access}, volume = {7}, pages = {171333--171345}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2955791}, doi = {10.1109/ACCESS.2019.2955791}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonKM19, author = {Hyeon{-}Jin Moon and Young{-}Jin Kim and Seung{-}Il Moon}, title = {Frequency-Based Decentralized Conservation Voltage Reduction Incorporated Into Voltage-Current Droop Control for an Inverter-Based Islanded Microgrid}, journal = {{IEEE} Access}, volume = {7}, pages = {140542--140552}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2943538}, doi = {10.1109/ACCESS.2019.2943538}, timestamp = {Sun, 19 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MoonKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKSYLKS19, author = {Gil Ju Lee and Yeong Jae Kim and Han Sung Song and Dong Eun Yoo and Dong{-}Wook Lee and Il{-}Suk Kang and Young Min Song}, title = {The Facile Implementation of Soft/Tunable Multiband Optical Filters by Stacking Vertical Silicon Nanowire Arrays for Smart Sensing}, journal = {Adv. Intell. Syst.}, volume = {1}, number = {6}, pages = {1900072}, year = {2019}, url = {https://doi.org/10.1002/aisy.201900072}, doi = {10.1002/AISY.201900072}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKSYLKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/KimSZP19, author = {Ilhyung Kim and Mark Springer and Zhe George Zhang and Young{-}Sun Park}, title = {Organizational learning: Approximation of multiple-level learning and forgetting by an aggregated single-level model}, journal = {Comput. Ind. Eng.}, volume = {131}, pages = {442--454}, year = {2019}, url = {https://doi.org/10.1016/j.cie.2018.10.004}, doi = {10.1016/J.CIE.2018.10.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/KimSZP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/ShinKM19, author = {Youngchul Shin and Sungwoo Kim and Ilkyeong Moon}, title = {Integrated optimal scheduling of repair crew and relief vehicle after disaster}, journal = {Comput. Oper. Res.}, volume = {105}, pages = {237--247}, year = {2019}, url = {https://doi.org/10.1016/j.cor.2019.01.015}, doi = {10.1016/J.COR.2019.01.015}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/ShinKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/KimWJLKL19, author = {Young Sung Kim and Young Ju Won and Hyerim Jeong and Byung Gun Lim and Myoung Hoon Kong and Il Ok Lee}, title = {A Comparison of Bispectral Index and Entropy During Sevoflurane Anesthesia Induction in Children with and without Diplegic Cerebral Palsy}, journal = {Entropy}, volume = {21}, number = {5}, pages = {498}, year = {2019}, url = {https://doi.org/10.3390/e21050498}, doi = {10.3390/E21050498}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/KimWJLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimLSYCNSYK19, author = {Eunji Kim and Jehyuk Lee and Hunsik Shin and Hoseong Yang and Sungzoon Cho and Seung{-}kwan Nam and Youngmi Song and Jeong{-}a Yoon and Jong{-}il Kim}, title = {Champion-challenger analysis for credit card fraud detection: Hybrid ensemble and deep learning}, journal = {Expert Syst. Appl.}, volume = {128}, pages = {214--224}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.03.042}, doi = {10.1016/J.ESWA.2019.03.042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KimLSYCNSYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/Kim19b, author = {Young Il Kim}, title = {Analysis of Time, Duality, Difference, and Virtual Image in Partially Moving Image Cinemagraph}, journal = {J. Multim. Inf. Syst.}, volume = {6}, number = {4}, pages = {191--196}, year = {2019}, url = {https://doi.org/10.33851/jmis.2019.6.4.191}, doi = {10.33851/JMIS.2019.6.4.191}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/Kim19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/Kim19d, author = {Young Il Kim}, title = {Analysis of Visual Perception of Cinemagraph Images: Focusing on {Q} Methodology}, journal = {J. Multim. Inf. Syst.}, volume = {6}, number = {4}, pages = {251--258}, year = {2019}, url = {https://doi.org/10.33851/jmis.2019.6.4.251}, doi = {10.33851/JMIS.2019.6.4.251}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/Kim19d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinKLJJPAHLC19, author = {Cheng{-}Bin Jin and Hakil Kim and Mingjie Liu and Wonmo Jung and Seongsu Joo and Eunsik Park and Young Saem Ahn and In Ho Han and Jae Il Lee and Xuenan Cui}, title = {Deep {CT} to {MR} Synthesis Using Paired and Unpaired Data}, journal = {Sensors}, volume = {19}, number = {10}, pages = {2361}, year = {2019}, url = {https://doi.org/10.3390/s19102361}, doi = {10.3390/S19102361}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinKLJJPAHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/LeeJYJYLKCGBPHM19, author = {EunJo Lee and Yoonjae Jang and DuMim Yoon and JiHoon Jeon and Seong{-}Il Yang and Sang{-}Kwang Lee and Dae{-}Wook Kim and Pei Pei Chen and Anna Guitart and Paul Bertens and {\'{A}}frica Peri{\'{a}}{\~{n}}ez and Fabian Hadiji and Marc M{\"{u}}ller and Youngjun Joo and Jiyeon Lee and Inchon Hwang and Kyung{-}Joong Kim}, title = {Game Data Mining Competition on Churn Prediction and Survival Analysis Using Commercial Game Log Data}, journal = {{IEEE} Trans. Games}, volume = {11}, number = {3}, pages = {215--226}, year = {2019}, url = {https://doi.org/10.1109/TG.2018.2888863}, doi = {10.1109/TG.2018.2888863}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tciaig/LeeJYJYLKCGBPHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiKJK19, author = {Ki{-}Young Choi and Sang{-}Il Kim and Sang{-}Min Jung and Rae{-}Young Kim}, title = {Generalized Switching Modification Method Using Carrier Shift for DC-link Capacitor {RMS} Current Reduction in Real-Time Implementation}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {8}, pages = {5992--6001}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2873160}, doi = {10.1109/TIE.2018.2873160}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiKJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JunPMKH19, author = {Jaeyung Jun and Yoonah Paik and Gyeong Il Min and Seon Wook Kim and Youngsun Han}, title = {Fault Tolerance Technique Offlining Faulty Blocks by Heap Memory Management}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {4}, pages = {47:1--47:25}, year = {2019}, url = {https://doi.org/10.1145/3329079}, doi = {10.1145/3329079}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/JunPMKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimSPCCKH19, author = {Junhyeong Kim and Mathis Schmieder and Michael Peter and Hee{-}Sang Chung and Sung{-}Woo Choi and Ilgyu Kim and Youngnam Han}, title = {A Comprehensive Study on mmWave-Based Mobile Hotspot Network System for High-Speed Train Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {3}, pages = {2087--2101}, year = {2019}, url = {https://doi.org/10.1109/TVT.2018.2865700}, doi = {10.1109/TVT.2018.2865700}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimSPCCKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeKLL19, author = {Woo{-}Seok Lee and Jin{-}Hak Kim and Jun{-}Young Lee and Il{-}Oun Lee}, title = {Design of an Isolated {DC/DC} Topology With High Efficiency of Over 97{\%} for {EV} Fast Chargers}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {12}, pages = {11725--11737}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2949080}, doi = {10.1109/TVT.2019.2949080}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeKLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ChoiGASPHKCK19, author = {Kae Won Choi and Lorenz Ginting and Arif Abdul Aziz and Dedi Setiawan and Je Hyeon Park and Sa Il Hwang and Dong Soo Kang and Min Young Chung and Dong In Kim}, title = {Toward Realization of Long-Range Wireless-Powered Sensor Networks}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {4}, pages = {184--192}, year = {2019}, url = {https://doi.org/10.1109/MWC.2019.1800475}, doi = {10.1109/MWC.2019.1800475}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/ChoiGASPHKCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/JangKJCK19, author = {Young Rok Jang and Gyuyeol Kong and Minchae Jung and Sooyong Choi and Il{-}Min Kim}, title = {Deep Autoencoder Based {CSI} Feedback With Feedback Errors and Feedback Delay in {FDD} Massive {MIMO} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {3}, pages = {833--836}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2895039}, doi = {10.1109/LWC.2019.2895039}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/JangKJCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/YouPAK19, author = {Young{-}Hwan You and Jong{-}Hong Park and Il{-}Yeup Ahn and Moo Young Kim}, title = {Low-Complexity Detection of Integer Carrier Frequency Offset and Sidelink Identity for {LTE-D2D} Communications}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {5}, pages = {1477--1480}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2922910}, doi = {10.1109/LWC.2019.2922910}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/YouPAK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/YunWKKS19, author = {Tae{-}Seob Yun and Kyu{-}Young Whang and Hyuk{-}Yoon Kwon and Jun{-}Sung Kim and Il{-}Yeol Song}, title = {Two-dimensional indexing to provide one-integrated-memory view of distributed memory for a massively-parallel search engine}, journal = {World Wide Web}, volume = {22}, number = {6}, pages = {2437--2467}, year = {2019}, url = {https://doi.org/10.1007/s11280-018-0647-1}, doi = {10.1007/S11280-018-0647-1}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/www/YunWKKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/YunWKKS19a, author = {Tae{-}Seob Yun and Kyu{-}Young Whang and Hyuk{-}Yoon Kwon and Jun{-}Sung Kim and Il{-}Yeol Song}, title = {Correction to: Two-dimensional indexing to provide one-integrated-memory view of distributed memory for a massively-parallel search engine}, journal = {World Wide Web}, volume = {22}, number = {6}, pages = {2469--2470}, year = {2019}, url = {https://doi.org/10.1007/s11280-019-00679-9}, doi = {10.1007/S11280-019-00679-9}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/YunWKKS19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, year = {2019}, crossref = {DBLP:conf/bibm/2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/OhKHKLKLLC19, author = {Tae{-}Ho Oh and Tae{-}Il Kim and Ji{-}Seok Han and Young{-}Seok Kim and Ji{-}Hyung Lee and Sang{-}Oh Kim and Sang{-}Sub Lee and Sang{-}Hoon Lee and Dong{-}Il Dan Cho}, title = {Deep Deterministic Policy Gradient-based Parameter Selection Method of Notch Filters for Suppressing Mechanical Resonance in Industrial Servo Systems}, booktitle = {2019 {IEEE} Conference on Control Technology and Applications, {CCTA} 2019, Hong Kong, SAR, China, August 19-21, 2019}, pages = {320--324}, year = {2019}, crossref = {DBLP:conf/ccta/2019}, url = {https://doi.org/10.1109/CCTA.2019.8920682}, doi = {10.1109/CCTA.2019.8920682}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccta/OhKHKLKLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKJKCJ19, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Juil Jeon and Kyongho Kim and Hyunkyun Choi and Byungtae Jang}, title = {Configurable Automotive Cluster Display Considering Driver's Cognitive Characteristics}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--2}, year = {2019}, crossref = {DBLP:conf/elinfocom/2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706469}, doi = {10.23919/ELINFOCOM.2019.8706469}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKJKCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiLKS19, author = {Jun Ho Choi and Kang Il Lee and Young Chan Kim and Byung Cheol Song}, title = {Accurate Eye Pupil Localization Using Heterogeneous {CNN} Models}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {2179--2183}, year = {2019}, crossref = {DBLP:conf/icip/2019}, url = {https://doi.org/10.1109/ICIP.2019.8803121}, doi = {10.1109/ICIP.2019.8803121}, timestamp = {Wed, 11 Dec 2019 16:30:23 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChoiLKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/RohKJJLKK19, author = {Dongyoung Roh and Bonwook Koo and Younghoon Jung and Ilwoong Jeong and Donggeon Lee and Daesung Kwon and Woo{-}Hwan Kim}, title = {Revised Version of Block Cipher {CHAM}}, booktitle = {Information Security and Cryptology - {ICISC} 2019 - 22nd International Conference, Seoul, South Korea, December 4-6, 2019, Revised Selected Papers}, pages = {1--19}, year = {2019}, crossref = {DBLP:conf/icisc/2019}, url = {https://doi.org/10.1007/978-3-030-40921-0\_1}, doi = {10.1007/978-3-030-40921-0\_1}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisc/RohKJJLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ChoCKJLPKL19, author = {Jungrae Cho and Inchul Choi and Jaeil Kim and Sungmoon Jeong and Young{-}Sup Lee and Jaechan Park and Jungjoon Kim and Minho Lee}, title = {Affinity Graph Based End-to-End Deep Convolutional Networks for {CT} Hemorrhage Segmentation}, booktitle = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {I}}, pages = {546--555}, year = {2019}, crossref = {DBLP:conf/iconip/2019-1}, url = {https://doi.org/10.1007/978-3-030-36708-4\_45}, doi = {10.1007/978-3-030-36708-4\_45}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ChoCKJLPKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKJK19, author = {Kang{-}Il Choi and Haksuh Kim and Heeyoung Jung and Sunme Kim}, title = {A Design of Publish Subscribe System over Named Data Networking}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1192--1194}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8940033}, doi = {10.1109/ICTC46691.2019.8940033}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKKJJ19, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Kyongho Kim and Juil Jeon and Byungtae Jang}, title = {Driver Behavior Analysis and Warning System for Digital Cockpit Based on Driving Data}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1397--1399}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939875}, doi = {10.1109/ICTC46691.2019.8939875}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKKJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungCKK19, author = {Heeyoung Jung and Kangil Choi and Haksuh Kim and Sunme Kim}, title = {A Networking Scheme for Large-Scale Pub/Sub Service over {NDN}}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1195--1200}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939760}, doi = {10.1109/ICTC46691.2019.8939760}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCJK19, author = {Hak Suh Kim and Kangil Choi and Heeyoung Jung and Sunme Kim}, title = {A Subscription-Based Push Mechanism for IoT-ICN}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1201--1203}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939873}, doi = {10.1109/ICTC46691.2019.8939873}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimCJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKJCCJ19, author = {Kyongho Kim and Young{-}Jin Kwon and Juil Jeon and Jin{-}Kyu Choi and Hyunkyun Choi and Byungtae Jang}, title = {Vehicle Interaction Engine Adaptive to Driver Characteristics and Driving Situations}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {737--739}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8940009}, doi = {10.1109/ICTC46691.2019.8940009}, timestamp = {Sat, 06 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKJCCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KwonCJKJ19, author = {Young{-}Jin Kwon and Jin{-}Kyu Choi and Juil Jeon and Kyongho Kim and Byungtae Jang}, title = {Design of Automotive Digital Instrument Cluster Adjustable to Driver's Cognitive Characteristics}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {461--463}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939993}, doi = {10.1109/ICTC46691.2019.8939993}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KwonCJKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeSLK19, author = {Jeong{-}In Lee and Young{-}Mee Shin and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {Solar Power Generation Forecasting Service}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {971--973}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939757}, doi = {10.1109/ICTC46691.2019.8939757}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeSLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiKK19a, author = {Young{-}Il Choi and Jae{-}Ho Kim and Chang{-}Ki Kim}, title = {Mobility Management in the 5G Network between Various Access Networks}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {751--755}, year = {2019}, crossref = {DBLP:conf/icufn/2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806110}, doi = {10.1109/ICUFN.2019.8806110}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiKK19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimKH19, author = {Moon{-}Young Kim and Jeong{-}Il Kang and Jonghee Han}, title = {Cost-effective Design of Magnetically Coupled Power Transfer System with Standby Mode}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {5746--5751}, year = {2019}, crossref = {DBLP:conf/iecon/2019}, url = {https://doi.org/10.1109/IECON.2019.8927064}, doi = {10.1109/IECON.2019.8927064}, timestamp = {Wed, 01 Jan 2020 14:47:53 +0100}, biburl = {https://dblp.org/rec/conf/iecon/KimKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KilCKBKA19, author = {Jun{-}Young Kil and Kang{-}Il Cho and Ho{-}Jin Kim and Jun{-}Ho Boo and Yong{-}Sik Kwak and Gil{-}Cho Ahn}, title = {A 101 dB Dynamic Range Delta-Sigma Modulator Using Modified Feed-Forward Architecture for Audio Application}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {7--8}, year = {2019}, crossref = {DBLP:conf/isocc/2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9027739}, doi = {10.1109/ISOCC47750.2019.9027739}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KilCKBKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeeYKHKSJBCLC19, author = {Sun Jung Lee and Sung Hye Yu and Yejin Kim and Jun Hyuk Hong and Choung{-}Soo Kim and Seong Il Seo and Chang Wook Jeong and Seok{-}Soo Byun and Byung Ha Chung and Ji Youl Lee and In Young Choi}, title = {Development of Integrated Data and Prediction System Platform for the Localized Prostate Cancer}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, pages = {1506--1507}, year = {2019}, crossref = {DBLP:conf/medinfo/2019}, url = {https://doi.org/10.3233/SHTI190507}, doi = {10.3233/SHTI190507}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LeeYKHKSJBCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KwonAKCJLPL19, author = {Doyoung Kwon and Jaesin Ahn and Jaeil Kim and Inchul Choi and Sungmoon Jeong and Young{-}Sup Lee and Jaechan Park and Minho Lee}, title = {Siamese U-Net with Healthy Template for Accurate Segmentation of Intracranial Hemorrhage}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {III}}, pages = {848--855}, year = {2019}, crossref = {DBLP:conf/miccai/2019-3}, url = {https://doi.org/10.1007/978-3-030-32248-9\_94}, doi = {10.1007/978-3-030-32248-9\_94}, timestamp = {Mon, 19 Feb 2024 14:24:13 +0100}, biburl = {https://dblp.org/rec/conf/miccai/KwonAKCJLPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, year = {2019}, crossref = {DBLP:conf/vlsic/2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/LeePKC18, author = {Kyuhan Lee and Jinsoo Park and Iljoo Kim and Youngseok Choi}, title = {Predicting movie success with machine learning techniques: ways to improve accuracy}, journal = {Inf. Syst. Frontiers}, volume = {20}, number = {3}, pages = {577--588}, year = {2018}, url = {https://doi.org/10.1007/s10796-016-9689-z}, doi = {10.1007/S10796-016-9689-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/LeePKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimPS18, author = {Jong{-}Bok Kim and Youngbin Park and Il Hong Suh}, title = {Tracking human-like natural motion by combining two deep recurrent neural networks with Kalman filter}, journal = {Intell. Serv. Robotics}, volume = {11}, number = {4}, pages = {313--322}, year = {2018}, url = {https://doi.org/10.1007/s11370-018-0255-z}, doi = {10.1007/S11370-018-0255-Z}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/KimYH18, author = {Changho Kim and Kwang{-}Il You and Youngsoo Ha}, title = {Hybrid Finite Difference Weighted Essentially Non-oscillatory Schemes for the Compressible Ideal Magnetohydrodynamics Equation}, journal = {J. Sci. Comput.}, volume = {74}, number = {2}, pages = {607--630}, year = {2018}, url = {https://doi.org/10.1007/s10915-017-0462-3}, doi = {10.1007/S10915-017-0462-3}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jscic/KimYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/KimMAC18, author = {Jae Kyeong Kim and Hyun Sil Moon and Byong Ju An and Il Young Choi}, title = {A grocery recommendation for off-line shoppers}, journal = {Online Inf. Rev.}, volume = {42}, number = {4}, pages = {468--481}, year = {2018}, url = {https://doi.org/10.1108/OIR-04-2016-0104}, doi = {10.1108/OIR-04-2016-0104}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/KimMAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AbbasLBIHPLKKCK18, author = {Naseem Abbas and Xun Lu and Mohsin Ali Badshah and Jung Bin In and Won Il Heo and Kui Young Park and Mi{-}Kyung Lee and Cho Hee Kim and Pilwon Kang and Woo{-}Jin Chang and Seok{-}Min Kim and Seong Jun Seo}, title = {Development of a Protein Microarray Chip with Enhanced Fluorescence for Identification of Semen and Vaginal Fluid}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3874}, year = {2018}, url = {https://doi.org/10.3390/s18113874}, doi = {10.3390/S18113874}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AbbasLBIHPLKKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongLBOPKL18, author = {Han Pill Song and Yongil Lee and Vu Khac Hoang Bui and You{-}Kwon Oh and Hyun Gyu Park and Moon Il Kim and Young{-}Chul Lee}, title = {Effective Peroxidase-Like Activity of Co-Aminoclay [CoAC] and Its Application for Glucose Detection}, journal = {Sensors}, volume = {18}, number = {2}, pages = {457}, year = {2018}, url = {https://doi.org/10.3390/s18020457}, doi = {10.3390/S18020457}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongLBOPKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ChaPWLRCQKSLISX18, author = {Young{-}Woon Cha and True Price and Zhen Wei and Xinran Lu and Nicholas Rewkowski and Rohan Chabra and Zihe Qin and Hyounghun Kim and Zhaoqi Su and Yebin Liu and Adrian Ilie and Andrei State and Zhenlin Xu and Jan{-}Michael Frahm and Henry Fuchs}, title = {Towards Fully Mobile 3D Face, Body, and Environment Capture Using Only Head-worn Cameras}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {24}, number = {11}, pages = {2993--3004}, year = {2018}, url = {https://doi.org/10.1109/TVCG.2018.2868527}, doi = {10.1109/TVCG.2018.2868527}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/ChaPWLRCQKSLISX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/XuYTK18, author = {Jingjing Xu and Wei Yang and Yuxin Tan and Young{-}Il Kim}, title = {A joint cross-layer transmission design with time-frequency coded cooperation {HARQ} for underground coal mine {MC-CDMA} WSNs}, journal = {Wirel. Networks}, volume = {24}, number = {5}, pages = {1655--1666}, year = {2018}, url = {https://doi.org/10.1007/s11276-016-1421-x}, doi = {10.1007/S11276-016-1421-X}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/XuYTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ParkWKKS18, author = {BeomHee Park and Ilsan Woo and Won{-}Young Kim and Namkug Kim and Dongwoo Seo}, title = {Preliminary Validation of Prediction of Poor Outcome in Initially Stable Upper Gastrointestinal Bleeding {ED} Patients Using Multiple Machine Learning Algorithms}, booktitle = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, year = {2018}, crossref = {DBLP:conf/amia/2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402/t007-1.4262189/t007-1.4262190/2977186-1.4262581/2977134-1.4262578}, timestamp = {Wed, 17 Apr 2024 11:47:15 +0200}, biburl = {https://dblp.org/rec/conf/amia/ParkWKKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, year = {2018}, crossref = {DBLP:conf/asscc/2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/JinKJJPAHLC18, author = {Cheng{-}Bin Jin and Hakil Kim and Wonmo Jung and Seongsu Joo and Eunsik Park and Young Saem Ahn and In Ho Han and Jae Il Lee and Xuenan Cui}, title = {CT-Based {MR} Synthesis Using Adversarial Cycle-Consistent Networks with Paired Data Learning}, booktitle = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/bmei/2018}, url = {https://doi.org/10.1109/CISP-BMEI.2018.8633074}, doi = {10.1109/CISP-BMEI.2018.8633074}, timestamp = {Thu, 02 Feb 2023 10:46:28 +0100}, biburl = {https://dblp.org/rec/conf/bmei/JinKJJPAHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/LeeKKCLKC18, author = {Jae Yoon Lee and Youngmin Kim and Ikhyeon Kworn and Il Hwan Cho and Jae Yeon Lee and Soo Gil Kim and Seongjae Cho}, title = {Fabrication and Characterization of a Fully Si Compatible Forming-Free GeOxResistive Switching Random-Access Memory}, booktitle = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA, June 24-27, 2018}, pages = {1--2}, year = {2018}, crossref = {DBLP:conf/drc/2018}, url = {https://doi.org/10.1109/DRC.2018.8442248}, doi = {10.1109/DRC.2018.8442248}, timestamp = {Mon, 09 Aug 2021 01:32:18 +0200}, biburl = {https://dblp.org/rec/conf/drc/LeeKKCLKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChoiCKKKKK18, author = {Jae{-}Young Choi and Young{-}Hwa Cho and Chin{-}Chol Kim and Yeong{-}Il Kwon and JeongAh Kim and Suntae Kim and EunSeok Kim}, title = {Study on Process of Data Processing and Analysis Based on Geographic Information}, booktitle = {Computational Science and Its Applications - {ICCSA} 2018 - 18th International Conference, Melbourne, VIC, Australia, July 2-5, 2018, Proceedings, Part {IV}}, pages = {382--393}, year = {2018}, crossref = {DBLP:conf/iccsa/2018-4}, url = {https://doi.org/10.1007/978-3-319-95171-3\_30}, doi = {10.1007/978-3-319-95171-3\_30}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChoiCKKKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKJKCJ18, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Juil Jeon and Kyongho Kim and Hyun Kyun Choi and Byungtae Jang}, title = {Conceptual Design of Driver-Adaptive Human-Machine Interface for Digital Cockpit}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1005--1007}, year = {2018}, crossref = {DBLP:conf/ictc/2018}, url = {https://doi.org/10.1109/ICTC.2018.8539644}, doi = {10.1109/ICTC.2018.8539644}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKJKCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonCKKCJ18, author = {Juil Jeon and Jin{-}Kyu Choi and Young{-}Jin Kwon and Kyongho Kim and Hyunkyun Choi and Byungtae Jang}, title = {On-road Reconfigurable Instrument Cluster: Concept and Contexts of Application}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1510--1513}, year = {2018}, crossref = {DBLP:conf/ictc/2018}, url = {https://doi.org/10.1109/ICTC.2018.8539675}, doi = {10.1109/ICTC.2018.8539675}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JeonCKKCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangKBKKLLYCJL18, author = {Kyu{-}Dong Hwang and Boram Kim and Sang{-}Yeon Byeon and Kyu{-}Young Kim and Dae{-}Han Kwon and Hyun{-}Bae Lee and Geun{-}Il Lee and Sang{-}Sic Yoon and Jin{-}Youp Cha and Soo{-}Young Jang and Seung{-}Hun Lee and Yongsuk Joo and Gang{-}Sik Lee and Sung{-}Soo Xi and Soo{-}Bin Lim and Kyung{-}Ho Chu and Joohwan Cho and Junhyun Chun and Jonghoon Oh and Jinkook Kim and Seok Hee Lee}, title = {A 16Gb/s/pin 8Gb {GDDR6} {DRAM} with bandwidth extension techniques for high-speed applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {210--212}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310258}, doi = {10.1109/ISSCC.2018.8310258}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HwangKBKKLLYCJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc2/KimC18, author = {Youngho Kim and Gyuil Cha}, title = {Design of the Cost Effective Execution Worker Scheduling Algorithm for FaaS Platform Using Two-Step Allocation and Dynamic Scaling}, booktitle = {8th {IEEE} International Symposium on Cloud and Service Computing, {SC2} 2018, Paris, France, November 18-21, 2018}, pages = {131--134}, year = {2018}, crossref = {DBLP:conf/sc2/2018}, url = {https://doi.org/10.1109/SC2.2018.00027}, doi = {10.1109/SC2.2018.00027}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc2/KimC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/syroco/HanKOKLKLLC18, author = {Ji{-}Seok Han and Tae{-}Il Kim and Tae{-}Ho Oh and Young{-}Seok Kim and Ji{-}Hyung Lee and Sang{-}Oh Kim and Sang{-}Sub Lee and Sang{-}Hoon Lee and Dong{-}Il Dan Cho}, title = {Frequency-Domain Design Method for Discrete-time Sliding Mode Control and Generalized Decoupled Disturbance Compensator with Industrial Servo Applications}, booktitle = {12th {IFAC} Symposium on Robot Control, SyRoCo 2018, Budapest, Hungary, August 27-30, 2018}, pages = {96--101}, year = {2018}, crossref = {DBLP:conf/syroco/2018}, url = {https://doi.org/10.1016/j.ifacol.2018.11.524}, doi = {10.1016/J.IFACOL.2018.11.524}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/syroco/HanKOKLKLLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, year = {2018}, crossref = {DBLP:conf/vlsic/2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimHKH18, author = {Junhyeong Kim and Bing Hui and Ilgyu Kim and Youngnam Han}, title = {A Latency Reducing Method for TDD-Based High-Speed Train Communications}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/vtc/2018s}, url = {https://doi.org/10.1109/VTCSpring.2018.8417545}, doi = {10.1109/VTCSPRING.2018.8417545}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KimHKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-02301, author = {Kyung{-}Joong Kim and DuMim Yoon and JiHoon Jeon and Seong{-}Il Yang and Sang{-}Kwang Lee and EunJo Lee and Yoonjae Jang and Dae{-}Wook Kim and Pei Pei Chen and Anna Guitart and Paul Bertens and {\'{A}}frica Peri{\'{a}}{\~{n}}ez and Fabian Hadiji and Marc M{\"{u}}ller and Youngjun Joo and Jiyeon Lee and Inchon Hwang}, title = {Game Data Mining Competition on Churn Prediction and Survival Analysis using Commercial Game Log Data}, journal = {CoRR}, volume = {abs/1802.02301}, year = {2018}, url = {http://arxiv.org/abs/1802.02301}, eprinttype = {arXiv}, eprint = {1802.02301}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-02301.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-02489, author = {Mostafa Zaman Chowdhury and Young{-}Il Kim and Won Ryu and Yeong Min Jang}, title = {Popularity based Bandwidth Allocation for Video Broadcast/Multicast over Wireless Networks}, journal = {CoRR}, volume = {abs/1810.02489}, year = {2018}, url = {http://arxiv.org/abs/1810.02489}, eprinttype = {arXiv}, eprint = {1810.02489}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-02489.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-03470, author = {Mostafa Zaman Chowdhury and Tuan Nguyen and Young{-}Il Kim and Won Ryu and Yeong Min Jang}, title = {Bandwidth Adaptation for Scalable Videos over Wireless Networks}, journal = {CoRR}, volume = {abs/1810.03470}, year = {2018}, url = {http://arxiv.org/abs/1810.03470}, eprinttype = {arXiv}, eprint = {1810.03470}, timestamp = {Thu, 18 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-03470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-12627, author = {Hyungu Kahng and Yonghyun Jung and Yoon{-}Sang Cho and Gonie Ahn and Youngjoon Park and Uk Jo and Hankyu Lee and Hyungrok Do and Junseung Lee and Hyunjin Choi and Iljoo Yoon and Hyunjae Lee and Daehun Jun and Changhyeon Bae and Seoung Bum Kim}, title = {Clear the Fog: Combat Value Assessment in Incomplete Information Games with Convolutional Encoder-Decoders}, journal = {CoRR}, volume = {abs/1811.12627}, year = {2018}, url = {http://arxiv.org/abs/1811.12627}, eprinttype = {arXiv}, eprint = {1811.12627}, timestamp = {Mon, 03 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-12627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ParkKKKSJMKPK17, author = {Jaeyeong Park and Jun{-}Young Kim and Hyun Deok Kim and Young Cheol Kim and Anna Seo and Minkyu Je and Jong Uk Mun and Bia Kim and Il Hyung Park and Shin{-}Yoon Kim}, title = {Analysis of acetabular orientation and femoral anteversion using images of three-dimensional reconstructed bone models}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {12}, number = {5}, pages = {855--864}, year = {2017}, url = {https://doi.org/10.1007/s11548-016-1514-0}, doi = {10.1007/S11548-016-1514-0}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ParkKKKSJMKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NohWSKJSKK17, author = {Gosan Noh and Hanho Wang and Changyong Shin and Seunghyeon Kim and Youngil Jeon and Hyunchol Shin and Jinup Kim and Ilgyu Kim}, title = {Enabling Technologies toward Fully LTE-Compatible Full-Duplex Radio}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {3}, pages = {188--195}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2017.1600791CM}, doi = {10.1109/MCOM.2017.1600791CM}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NohWSKJSKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/KimLSY17, author = {Sung{-}Eun Kim and Kyung Young Lee and Soo Il Shin and Sung{-}Byung Yang}, title = {Effects of tourism information quality in social media on destination image formation: The case of Sina Weibo}, journal = {Inf. Manag.}, volume = {54}, number = {6}, pages = {687--702}, year = {2017}, url = {https://doi.org/10.1016/j.im.2017.02.009}, doi = {10.1016/J.IM.2017.02.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/KimLSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/KimSSY17, author = {Byung{-}Kyu Kim and Hyoung{-}Kyu Song and Sung{-}Il Seo and Young{-}Hwan You}, title = {Frame and carrier frequency synchronization algorithm for wireless body area network}, journal = {Int. J. Commun. Syst.}, volume = {30}, number = {3}, year = {2017}, url = {https://doi.org/10.1002/dac.2988}, doi = {10.1002/DAC.2988}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/KimSSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/HwangKKMSHP17, author = {Youngmee Hwang and Kwangsun Kim and Ohyoung Kwon and Ilyoung Moon and Gangho Shin and Jongho Ham and Jintae Park}, title = {Analyzing Box-Office Hit Factors Using Big Data: Focusing on Korean Films for the Last 5 Years}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {15}, number = {4}, year = {2017}, url = {https://doi.org/10.6109/jicce.2017.15.4.217}, doi = {10.6109/JICCE.2017.15.4.217}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/HwangKKMSHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/KimKK17, author = {Young Il Kim and Hyeon{-}Seok Kim and Chee{-}Yong Kim}, title = {A Study on Cinemagraph Unfamiliar to Freud Uncanny}, journal = {J. Multim. Inf. Syst.}, volume = {4}, number = {1}, pages = {27--32}, year = {2017}, url = {https://doi.org/10.9717/JMIS.2017.4.1.27}, doi = {10.9717/JMIS.2017.4.1.27}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/KimKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/OhKD17, author = {Se Jong Oh and Young Jae Kim and Ill Chul Doo}, title = {Study of profit model of web-dramas on portal sites using big data; Focused on the Web-dramas with the K-pop Singers as the Lead Casts: "EXO, Next Door" and "I Order You"}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6097--6110}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3556-2}, doi = {10.1007/S11042-016-3556-2}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/OhKD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JungLCK17, author = {Chung Il Jung and Yonggwan Lee and Younghyun Cho and Seongjoon Kim}, title = {A Study of Spatial Soil Moisture Estimation Using a Multiple Linear Regression Model and {MODIS} Land Surface Temperature Data Corrected by Conditional Merging}, journal = {Remote. Sens.}, volume = {9}, number = {8}, pages = {870}, year = {2017}, url = {https://doi.org/10.3390/rs9080870}, doi = {10.3390/RS9080870}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/JungLCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HanHKLP17, author = {Miseon Han and Youngsun Han and Seon Wook Kim and Hokyoon Lee and Il Park}, title = {Content-Aware Bit Shuffling for Maximizing {PCM} Endurance}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {3}, pages = {48:1--48:26}, year = {2017}, url = {https://doi.org/10.1145/3017445}, doi = {10.1145/3017445}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/HanHKLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, year = {2017}, crossref = {DBLP:conf/asscc/2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/BaekYLKH17, author = {Myung{-}Sun Baek and Joungil Yun and Hyoungsoo Lim and Youngsu Kim and Namho Hur}, title = {Joint masking and {PAPR} reduction for digital broadcasting system with faster-than-Nyquist signaling}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--2}, year = {2017}, crossref = {DBLP:conf/bmsb/2017}, url = {https://doi.org/10.1109/BMSB.2017.7986196}, doi = {10.1109/BMSB.2017.7986196}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/BaekYLKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/ChoYKLKALK17, author = {Bo Ram Cho and Ji Young Yoon and Sukgyu Kho and Jong{-}il Lee and Soon{-}O. Kwon and Hee Kyung An and Suwoong Lee and Changwon Kim}, title = {Seat posture stabilizing function for an electric wheelchair based on controlled pendulum mechanism}, booktitle = {4th International Conference on Control, Decision and Information Technologies, CoDIT 2017, Barcelona, Spain, April 5-7, 2017}, pages = {365--370}, year = {2017}, crossref = {DBLP:conf/codit/2017}, url = {https://doi.org/10.1109/CoDIT.2017.8102619}, doi = {10.1109/CODIT.2017.8102619}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/codit/ChoYKLKALK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimYKWLKSC17, author = {Hyun Kim and Sun{-}Young Yoo and Ji Sung Kim and Zihuan Wang and Woon{-}Hee Lee and Kyo{-}In Koo and Jong{-}Mo Seo and Dong{-}Il Cho}, title = {Simple and fast polydimethylsiloxane {(PDMS)} patterning using a cutting plotter and vinyl adhesives to achieve etching results}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {1885--1888}, year = {2017}, crossref = {DBLP:conf/embc/2017}, url = {https://doi.org/10.1109/EMBC.2017.8037215}, doi = {10.1109/EMBC.2017.8037215}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimYKWLKSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/ChungKNHKCCLK17, author = {Hee{-}Sang Chung and Junhyeong Kim and Gosan Noh and Bing Hui and Il{-}Gyu Kim and Youngmin Choi and Changseob Choi and Myongsik Lee and Dongha KimDongha Kim}, title = {From architecture to field trial: {A} millimeter wave based {MHN} system for {HST} Communications toward 5G}, booktitle = {2017 European Conference on Networks and Communications, EuCNC 2017, Oulu, Finland, June 12-15, 2017}, pages = {1--5}, year = {2017}, crossref = {DBLP:conf/eucnc/2017}, url = {https://doi.org/10.1109/EuCNC.2017.7980752}, doi = {10.1109/EUCNC.2017.7980752}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eucnc/ChungKNHKCCLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NohKCHCK17, author = {Gosan Noh and Junhyeong Kim and Hee{-}Sang Chung and Bing Hui and Youngmin Choi and Ilgyu Kim}, title = {mmWave-Based Mobile Backhaul Transceiver for High Speed Train Communication Systems}, booktitle = {2017 {IEEE} Globecom Workshops, Singapore, December 4-8, 2017}, pages = {1--5}, year = {2017}, crossref = {DBLP:conf/globecom/2017w}, url = {https://doi.org/10.1109/GLOCOMW.2017.8269215}, doi = {10.1109/GLOCOMW.2017.8269215}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/NohKCHCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChaOSHPJCJSCAK17, author = {Sang{-}uhn Cha and Seongil O and Hyunsung Shin and Sangjoon Hwang and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi and Gyo{-}Young Jin and Young Hoon Son and Hyunyoon Cho and Jung Ho Ahn and Nam Sung Kim}, title = {Defect Analysis and Cost-Effective Resilience Architecture for Future {DRAM} Devices}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {61--72}, year = {2017}, crossref = {DBLP:conf/hpca/2017}, url = {https://doi.org/10.1109/HPCA.2017.30}, doi = {10.1109/HPCA.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ChaOSHPJCJSCAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/BaekYKLKH17, author = {Myung{-}Sun Baek and Joungil Yun and Sangwoon Kwak and Hyoungsoo Lim and Youngsu Kim and Namho Hur}, title = {Physical layer security based on coded {FTN} signaling for premium services in satellite digital broadcasting system}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, pages = {147--148}, year = {2017}, crossref = {DBLP:conf/iccel/2017}, url = {https://doi.org/10.1109/ICCE.2017.7889264}, doi = {10.1109/ICCE.2017.7889264}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/BaekYKLKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/LimAKCC17, author = {Eun{-}Ji Lim and Shin{-}Young Ahn and Young{-}Ho Kim and Gyu{-}Il Cha and Wan Choi}, title = {Design of Cache Backend Using Remote Memory for Network File System}, booktitle = {2017 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017}, pages = {864--869}, year = {2017}, crossref = {DBLP:conf/ieeehpcs/2017}, url = {https://doi.org/10.1109/HPCS.2017.131}, doi = {10.1109/HPCS.2017.131}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/LimAKCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimPLM17, author = {Sungwoo Kim and Young Soo Park and Kihyun Lee and Ilkyeong Moon}, title = {Repair Crew Scheduling Considering Variable Disaster Aspects}, booktitle = {Advances in Production Management Systems. The Path to Intelligent, Collaborative and Sustainable Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2017, Hamburg, Germany, September 3-7, 2017, Proceedings, Part {I}}, pages = {57--63}, year = {2017}, crossref = {DBLP:conf/ifip5-7/2017apms1}, url = {https://doi.org/10.1007/978-3-319-66923-6\_7}, doi = {10.1007/978-3-319-66923-6\_7}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimPLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, year = {2017}, crossref = {DBLP:conf/isscc/2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, year = {2017}, crossref = {DBLP:conf/isscc/2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, year = {2017}, crossref = {DBLP:conf/isscc/2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimHKSLK17, author = {Dae{-}Young Kim and Sun{-}ho Hwang and Min{-}Gyu Kim and Joon Hyun Song and Sin{-}Woong Lee and Il Kon Kim}, title = {Development of Parkinson Patient Generated Data Collection Platform Using {FHIR} and IoT Devices}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, pages = {141--145}, year = {2017}, crossref = {DBLP:conf/medinfo/2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-141}, doi = {10.3233/978-1-61499-830-3-141}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimHKSLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/DoCPKS17, author = {Hyunmin Do and Tae{-}Yong Choi and Dong Il Park and Doo{-}Hyung Kim and Youngsu Son}, title = {Automatically generated kinematics and control engine for modular and reconfigurable manipulators}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {2136--2141}, year = {2017}, crossref = {DBLP:conf/robio/2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324735}, doi = {10.1109/ROBIO.2017.8324735}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/DoCPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KwakWK17, author = {Byung Il Kwak and Jiyoung Woo and Huy Kang Kim}, title = {Know Your Master: Driver Profiling-based Anti-theft Method}, journal = {CoRR}, volume = {abs/1704.05223}, year = {2017}, url = {http://arxiv.org/abs/1704.05223}, eprinttype = {arXiv}, eprint = {1704.05223}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KwakWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KimPL16, author = {Jeongeun Kim and Dung A. Pham and Young{-}Il Lim}, title = {Gas-liquid multiphase computational fluid dynamics {(CFD)} of amine absorption column with structured-packing for CO\({}_{\mbox{2}}\) capture}, journal = {Comput. Chem. Eng.}, volume = {88}, pages = {39--49}, year = {2016}, url = {https://doi.org/10.1016/j.compchemeng.2016.02.006}, doi = {10.1016/J.COMPCHEMENG.2016.02.006}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/KimPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/BangRPLNSLJKSSC16, author = {Yonghwan Bang and June{-}Koo Kevin Rhee and KyungSoo Park and Kyongchun Lim and Giyoung Nam and John D. Shinn and Jongmin Lee and Sungmin Jo and Ja{-}Ryeong Koo and Jonggyu Sung and Young{-}Il Seo and Taesang Choi and Hong{-}Ik Kim and Junyoung Park and Chang Hee Yun}, title = {{CDN} interconnection service trial: implementation and analysis}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {6}, pages = {94--100}, year = {2016}, url = {https://doi.org/10.1109/MCOM.2016.7498094}, doi = {10.1109/MCOM.2016.7498094}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/BangRPLNSLJKSSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/ChoiOKR16, author = {Il Young Choi and Myung Geun Oh and Jae Kyeong Kim and Young U. Ryu}, title = {Collaborative filtering with facial expressions for online video recommendation}, journal = {Int. J. Inf. Manag.}, volume = {36}, number = {3}, pages = {397--402}, year = {2016}, url = {https://doi.org/10.1016/j.ijinfomgt.2016.01.005}, doi = {10.1016/J.IJINFOMGT.2016.01.005}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/ChoiOKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLYJJKKKSP16, author = {Soo{-}Min Lee and Ji{-}Hoon Lim and Il{-}Min Yi and Young Jae Jang and Hae{-}Kang Jung and Kyunghoon Kim and Dae{-}Han Kwon and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {8}, pages = {1890--1901}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2559512}, doi = {10.1109/JSSC.2016.2559512}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLYJJKKKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MahanKWHKMH16, author = {Kenny Mahan and Byung Kim and Bulong Wu and Bongtae Han and Ilho Kim and Hojeong Moon and Young Nam Hwang}, title = {Modified single cantilever adhesion test for {EMC/PSR} interface in thin semiconductor packages}, journal = {Microelectron. Reliab.}, volume = {63}, pages = {134--141}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.05.015}, doi = {10.1016/J.MICROREL.2016.05.015}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MahanKWHKMH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimCLR16, author = {Hyungil Kim and Jae Young Choi and Seung{-}Ho Lee and Yong Man Ro}, title = {Feature scalability for a low complexity face recognition with unconstrained spatial resolution}, journal = {Multim. Tools Appl.}, volume = {75}, number = {12}, pages = {6887--6908}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2616-3}, doi = {10.1007/S11042-015-2616-3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimCLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YiLBSCJKSP16, author = {Il{-}Min Yi and Soo{-}Min Lee and Seung{-}Jun Bae and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A 40 mV-Differential-Channel-Swing Transceiver Using a {RX} Current-Integrating {TIA} and a {TX} Pre-Emphasis Equalizer With a {CML} Driver at 9 Gb/s}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {122--133}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2500420}, doi = {10.1109/TCSI.2015.2500420}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YiLBSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimISK16, author = {Sang{-}Il Kim and Jun{-}Hyuk Im and Eui{-}Young Song and Rae{-}Young Kim}, title = {A New Rotor Position Estimation Method of {IPMSM} Using All-Pass Filter on High-Frequency Rotating Voltage Signal Injection}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {10}, pages = {6499--6509}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2592464}, doi = {10.1109/TIE.2016.2592464}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimISK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/KimWKS16, author = {Jun{-}Sung Kim and Kyu{-}Young Whang and Hyuk{-}Yoon Kwon and Il{-}Yeol Song}, title = {{PARADISE:} Big data analytics using the {DBMS} tightly integrated with the distributed file system}, journal = {World Wide Web}, volume = {19}, number = {3}, pages = {299--322}, year = {2016}, url = {https://doi.org/10.1007/s11280-014-0312-2}, doi = {10.1007/S11280-014-0312-2}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/www/KimWKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camad/EomLLKH16, author = {Tae{-}Il Eom and Woo{-}Yong Lee and Do{-}Young Lee and Jong{-}Han Kim and Woon{-}Haing Hur}, title = {Procedure-based development platform for communication protocol stack software}, booktitle = {21st {IEEE} International Workshop on Computer Aided Modelling and Design of Communication Links and Networks, {CAMAD} 2016, Toronto, ON, Canada, October 23-25, 2016}, pages = {12--17}, year = {2016}, crossref = {DBLP:conf/camad/2016}, url = {https://doi.org/10.1109/CAMAD.2016.7790323}, doi = {10.1109/CAMAD.2016.7790323}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/camad/EomLLKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edb/KimSKC16, author = {Hyeong{-}Il Kim and Young{-}Sung Shin and Hyeong{-}Jin Kim and Jae{-}Woo Chang}, title = {Efficient and secure top-k query processing algorithm using garbled circuit based secure protocols on outsourced databases}, booktitle = {Proceedings of the Sixth International Conference on Emerging Databases: Technologies, Applications, and Theory, {EDB} 2016, Jeju Island, Republic of Korea, October 17-19, 2016}, pages = {124--134}, year = {2016}, crossref = {DBLP:conf/edb/2016}, url = {https://doi.org/10.1145/3007818.3007842}, doi = {10.1145/3007818.3007842}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edb/KimSKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimKKHS16, author = {Sun{-}Jung Kim and Beom Su Kim and Hong Il Kim and Tae{-}Hwa Hong and Joo{-}Young Son}, title = {The method for defocusing selfie taken by mobile frontal camera using burst shot}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {1816--1820}, year = {2016}, crossref = {DBLP:conf/icassp/2016}, url = {https://doi.org/10.1109/ICASSP.2016.7471990}, doi = {10.1109/ICASSP.2016.7471990}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimKKHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/AhnCKLBK16, author = {Shin{-}Young Ahn and Gyu{-}Il Cha and Young{-}Ho Kim and Eun{-}Ji Lim and Seung{-}Jo Bae and Won{-}Young Kim}, title = {Design of distributed memory integration framework(DMIf)}, booktitle = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, pages = {343--347}, year = {2016}, crossref = {DBLP:conf/icoin/2016}, url = {https://doi.org/10.1109/ICOIN.2016.7427128}, doi = {10.1109/ICOIN.2016.7427128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/AhnCKLBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLCPPCHCSCC16, author = {Minjun Kim and Woongyong Lee and Jae Yeon Choi and Yong Sik Park and Sung Ho Park and Goo Bong Chung and Kyung{-}Lyong Han and Il Seop Choi and Il Hong Suh and Youngjin Choi and Wan Kyun Chung}, title = {Powered upper-limb control using passivity-based nonlinear disturbance observer for unknown payload carrying applications}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {2340--2346}, year = {2016}, crossref = {DBLP:conf/icra/2016}, url = {https://doi.org/10.1109/ICRA.2016.7487384}, doi = {10.1109/ICRA.2016.7487384}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimLCPPCHCSCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKP16, author = {Young{-}Il Choi and Jae{-}Ho Kim and Noik Park}, title = {Revolutionary direction for 5G mobile core network architecture}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {992--996}, year = {2016}, crossref = {DBLP:conf/ictc/2016}, url = {https://doi.org/10.1109/ICTC.2016.7763350}, doi = {10.1109/ICTC.2016.7763350}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LimK16, author = {Sun{-}Hwa Lim and Young{-}Il Kim}, title = {The efficient algorithm for autonomous configuration in WSNs-based trains}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {787--790}, year = {2016}, crossref = {DBLP:conf/ictc/2016}, url = {https://doi.org/10.1109/ICTC.2016.7763297}, doi = {10.1109/ICTC.2016.7763297}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LimK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkSYLKC16, author = {Daegeun Park and Soonyong Song and Kunmin Yeo and Sun{-}Hwa Lim and Young{-}Il Kim and ByeongCheol Choi}, title = {Evaluation of communication channel for train safety monitoring system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {819--821}, year = {2016}, crossref = {DBLP:conf/ictc/2016}, url = {https://doi.org/10.1109/ICTC.2016.7763306}, doi = {10.1109/ICTC.2016.7763306}, timestamp = {Mon, 30 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkSYLKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JeonJKPC16, author = {Juil Jeon and Myungin Ji and Juyoung Kim and Sangjoon Park and Youngsu Cho}, title = {Design of positioning {DB} automatic update method using Google tango tablet for image based localization system}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {644--646}, year = {2016}, crossref = {DBLP:conf/icufn/2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537112}, doi = {10.1109/ICUFN.2016.7537112}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JeonJKPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJJPC16, author = {Jooyoung Kim and Myungin Ji and Ju{-}Il Jeon and Sangjoon Park and Youngsu Cho}, title = {{K-NN} based positioning performance estimation for fingerprinting localization}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {468--470}, year = {2016}, crossref = {DBLP:conf/icufn/2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537073}, doi = {10.1109/ICUFN.2016.7537073}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimJJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LeeLKM16, author = {Wonsung Lee and Youngmin Lee and Heeyoung Kim and Il{-}Chul Moon}, title = {Bayesian Nonparametric Collaborative Topic Poisson Factorization for Electronic Health Records-Based Phenotyping}, booktitle = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, pages = {2544--2552}, year = {2016}, crossref = {DBLP:conf/ijcai/2016}, url = {http://www.ijcai.org/Abstract/16/362}, timestamp = {Tue, 20 Aug 2019 16:19:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/LeeLKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooBSKHA0KKKCSK16, author = {Hye{-}Yoon Joo and Seung{-}Jun Bae and Young{-}Soo Sohn and Young{-}Sik Kim and Kyung{-}Soo Ha and Min{-}Su Ahn and Young{-}Ju Kim and Yong{-}Jun Kim and Ju{-}Hwan Kim and Won{-}Jun Choi and Chang{-}Ho Shin and Soo Hwan Kim and Byeong{-}Cheol Kim and Seung{-}Bum Ko and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.1 {A} 20nm 9Gb/s/pin 8Gb {GDDR5} {DRAM} with an {NBTI} monitor, jitter reduction techniques and improved power distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {314--315}, year = {2016}, crossref = {DBLP:conf/isscc/2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418033}, doi = {10.1109/ISSCC.2016.7418033}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooBSKHA0KKKCSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, year = {2016}, crossref = {DBLP:conf/isscc/2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChoLKKSL16, author = {Sumi Cho and Je Hyeok Lee and Il Kon Kim and Min{-}Gyu Kim and Kim Young Sik and Eunjoo Lee}, title = {The Educational and Supportive Mobile Application for Caregivers of Dementia People}, booktitle = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, pages = {1045--1046}, year = {2016}, crossref = {DBLP:conf/ni/2016}, url = {https://doi.org/10.3233/978-1-61499-658-3-1045}, doi = {10.3233/978-1-61499-658-3-1045}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/ChoLKKSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pst/KwakWK16, author = {Byung Il Kwak and Jiyoung Woo and Huy Kang Kim}, title = {Know your master: Driver profiling-based anti-theft method}, booktitle = {14th Annual Conference on Privacy, Security and Trust, {PST} 2016, Auckland, New Zealand, December 12-14, 2016}, pages = {211--218}, year = {2016}, crossref = {DBLP:conf/pst/2016}, url = {https://doi.org/10.1109/PST.2016.7906929}, doi = {10.1109/PST.2016.7906929}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pst/KwakWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimKKKKKLCSPSS16, author = {Min{-}Su Kim and Chunghee Kim and Yong{-}geol Kim and Ah{-}Reum Kim and Jikyum Kim and Juhyun Kang and Daeseong Lee and Changjun Choi and Ilsuk Suh and Jungyul Pyo and Youngmin Shin and Jae Cheol Son}, title = {Single-ended {D} flip-flop with implicit scan mux for high performance mobile {AP}}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {91--95}, year = {2016}, crossref = {DBLP:conf/socc/2016}, url = {https://doi.org/10.1109/SOCC.2016.7905442}, doi = {10.1109/SOCC.2016.7905442}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimKKKKKLCSPSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/KooKBC16, author = {Hyung Il Koo and Beom Su Kim and Young Ki Baik and Nam Ik Cho}, title = {Fast and simple text replacement algorithm for text-based augmented reality}, booktitle = {2016 Visual Communications and Image Processing, {VCIP} 2016, Chengdu, China, November 27-30, 2016}, pages = {1--4}, year = {2016}, crossref = {DBLP:conf/vcip/2016}, url = {https://doi.org/10.1109/VCIP.2016.7805429}, doi = {10.1109/VCIP.2016.7805429}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/vcip/KooKBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YiBCLJCSCJKSP16, author = {Il{-}Min Yi and Seung{-}Jun Bae and Min{-}Kyun Chae and Soo{-}Min Lee and Young Jae Jang and Young{-}Chul Cho and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A low-EMI four-bit four-wire single-ended {DRAM} interface by using a three-level balanced coding scheme}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/vlsic/2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573524}, doi = {10.1109/VLSIC.2016.7573524}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YiBCLJCSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChunKKKCL16, author = {Shun{-}Shim Chun and Young{-}Il Kim and Un{-}Jung Kwan and Jong{-}Hwan Kim and Ki{-}Sung Cho and Hyun{-}Woo Lee}, title = {Resource Management Algorithm for Multicast Services in Multi-CCs Wireless System}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--5}, year = {2016}, crossref = {DBLP:conf/vtc/2016s}, url = {https://doi.org/10.1109/VTCSpring.2016.7504454}, doi = {10.1109/VTCSPRING.2016.7504454}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChunKKKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LaiMCWNBYKKG15, author = {Ching{-}Man Lai and Kwok{-}Kei Mak and Cecilia Cheng and Hiroko Watanabe and Shinobu Nomachi and Norharlina Bahar and Kimberly S. Young and Huei{-}Chen Ko and Dong{-}Il Kim and Mark D. Griffiths}, title = {Measurement Invariance of the Internet Addiction Test Among Hong Kong, Japanese, and Malaysian Adolescents}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {10}, pages = {609--617}, year = {2015}, url = {https://doi.org/10.1089/cyber.2015.0069}, doi = {10.1089/CYBER.2015.0069}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LaiMCWNBYKKG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejcon/KimPYL15, author = {Seok{-}Kyoon Kim and Chang Reung Park and Tae{-}Woong Yoon and Young Il Lee}, title = {Disturbance-observer-based model predictive control for output voltage regulation of three-phase inverter for uninterruptible-power-supply applications}, journal = {Eur. J. Control}, volume = {23}, pages = {71--83}, year = {2015}, url = {https://doi.org/10.1016/j.ejcon.2015.02.004}, doi = {10.1016/J.EJCON.2015.02.004}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejcon/KimPYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/LeeKK15, author = {Young{-}Il Lee and Seong{-}Gon Kim and Yong{-}Gi Kim}, title = {Fuzzy Relational Product for Collision Avoidance of Autonomous Ships}, journal = {Intell. Autom. Soft Comput.}, volume = {21}, number = {1}, pages = {21--38}, year = {2015}, url = {https://doi.org/10.1080/10798587.2014.914273}, doi = {10.1080/10798587.2014.914273}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/LeeKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkSPKS15, author = {Young{-}il Park and Reem Song and Myun{-}Joo Park and Byung{-}Jung Kim and Munkyo Seo}, title = {Four-way beam steering monopole array antenna with switched feeding network}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141091}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141091}, doi = {10.1587/ELEX.11.20141091}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkSPKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkLHKHKH15, author = {Hayoung Park and Sang{-}il Lee and Hee Hwang and Yoon Kim and Eun{-}Young Heo and Jeong{-}Whun Kim and Kyooseob Ha}, title = {Can a health information exchange save healthcare costs? Evidence from a pilot program in South Korea}, journal = {Int. J. Medical Informatics}, volume = {84}, number = {9}, pages = {658--666}, year = {2015}, url = {https://doi.org/10.1016/j.ijmedinf.2015.05.008}, doi = {10.1016/J.IJMEDINF.2015.05.008}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ParkLHKHKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeonCKH15, author = {Young{-}Ae Jeon and Sangsung Choi and Dae{-}Young Kim and Kwang{-}il Hwang}, title = {An Adaptive Superframe Duration Allocation Algorithm for Resource-Efficient Beacon Scheduling}, journal = {J. Inf. Process. Syst.}, volume = {11}, number = {2}, pages = {295--309}, year = {2015}, url = {https://doi.org/10.3745/JIPS.03.0025}, doi = {10.3745/JIPS.03.0025}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/JeonCKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCPLODKLKLLHCCBJPPJC15, author = {Tae{-}Young Oh and Hoeju Chung and Jun{-}Young Park and Ki{-}Won Lee and Seung{-}Hoon Oh and Su{-}Yeon Doo and Hyoung{-}Joo Kim and ChangYong Lee and Hye{-}Ran Kim and Jong{-}Ho Lee and Jin{-}Il Lee and Kyung{-}Soo Ha and Young{-}Ryeol Choi and Young{-}Chul Cho and Yong{-}Cheol Bae and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {A 3.2 Gbps/pin 8 Gbit 1.0 {V} {LPDDR4} {SDRAM} With Integrated {ECC} Engine for Sub-1 {V} {DRAM} Core Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {178--190}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2353799}, doi = {10.1109/JSSC.2014.2353799}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCPLODKLKLLHCCBJPPJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiKLJAKJPYCKK15, author = {Mi{-}Ri Choi and Hyung{-}Giun Kim and Taeg{-}Woo Lee and Young{-}Jun Jeon and Yong{-}Keun Ahn and Kyo{-}Wang Koo and You{-}Cheol Jang and So{-}Yeon Park and Jae{-}Hak Yee and Nam{-}Kwon Cho and Il{-}Tae Kang and Sangshik Kim and Seung{-}Zeon Han and Sung{-}Hwan Lim}, title = {Microstructural evaluation and failure analysis of Ag wire bonded to Al pads}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2306--2315}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.038}, doi = {10.1016/J.MICROREL.2015.07.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiKLJAKJPYCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ShinKCKKJP15, author = {Jiwon Shin and Il Kim and Yong{-}Won Choi and Young Soon Kim and Un Byung Kang and Young Kun Jee and Kyung{-}Wook Paik}, title = {Non-conductive film with Zn-nanoparticles (Zn-NCF) for 40 {\(\mu\)}m pitch Cu-pillar/Sn-Ag bump interconnection}, journal = {Microelectron. Reliab.}, volume = {55}, number = {2}, pages = {432--441}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2014.10.007}, doi = {10.1016/J.MICROREL.2014.10.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ShinKCKKJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimCLL15, author = {Seok{-}Kyoon Kim and Dae{-}Keun Choi and Kyo{-}Beum Lee and Young Il Lee}, title = {Offset-Free Model Predictive Control for the Power Control of Three-Phase {AC/DC} Converters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {11}, pages = {7114--7126}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2436353}, doi = {10.1109/TIE.2015.2436353}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimCLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/YangZYXK15, author = {Wei Yang and Linyuan Zhang and Zhenhua Yang and Changlong Xu and Young{-}Il Kim}, title = {A unified design of channel coding for {LTE} uplink control information}, journal = {Wirel. Networks}, volume = {21}, number = {5}, pages = {1661--1667}, year = {2015}, url = {https://doi.org/10.1007/s11276-014-0879-7}, doi = {10.1007/S11276-014-0879-7}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/YangZYXK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ChoiKR15, author = {Il Young Choi and Jae Kyeong Kim and Young U. Ryu}, title = {A Two-Tiered Recommender System for Tourism Product Recommendations}, booktitle = {48th Hawaii International Conference on System Sciences, {HICSS} 2015, Kauai, Hawaii, USA, January 5-8, 2015}, pages = {3354--3363}, year = {2015}, crossref = {DBLP:conf/hicss/2015}, url = {https://doi.org/10.1109/HICSS.2015.405}, doi = {10.1109/HICSS.2015.405}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/ChoiKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YoonLKLOYMHC15, author = {Soon Young Yoon and Suwon Lee and Youngjin Kim and Panhyung Lee and Chang{-}Yeong Oh and Iljin Youn and Edwin Monroy and Ziaul Hasany and Jungah Choi}, title = {Mobile data service QoE analytics and optimization}, booktitle = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, pages = {1699--1704}, year = {2015}, crossref = {DBLP:conf/icc/2015w}, url = {https://doi.org/10.1109/ICCW.2015.7247425}, doi = {10.1109/ICCW.2015.7247425}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/YoonLKLOYMHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChaKLB15, author = {Gyu{-}Il Cha and Young{-}Ho Kim and Eun{-}Ji Lim and Seung{-}Jo Bae}, title = {A software integration architecture for support of the large-capacity memory}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1085--1087}, year = {2015}, crossref = {DBLP:conf/ictc/2015}, url = {https://doi.org/10.1109/ICTC.2015.7354744}, doi = {10.1109/ICTC.2015.7354744}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChaKLB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanKL15, author = {Jae{-}Il Han and Young{-}Man Kim and Jaeyoul Lee}, title = {Adaptive interrupt coalescing for energy efficiency and performance}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {41--46}, year = {2015}, crossref = {DBLP:conf/ictc/2015}, url = {https://doi.org/10.1109/ICTC.2015.7354490}, doi = {10.1109/ICTC.2015.7354490}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LimKCB15, author = {Eun{-}Ji Lim and Young{-}Ho Kim and Gyu{-}Il Cha and Seung{-}Jo Bae}, title = {Self-provisioning and configuration system for heterogeneous diskless cluster system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1243--1245}, year = {2015}, crossref = {DBLP:conf/ictc/2015}, url = {https://doi.org/10.1109/ICTC.2015.7354786}, doi = {10.1109/ICTC.2015.7354786}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LimKCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LimKL15, author = {Sun{-}Hwa Lim and Young{-}Il Kim and Yong{-}Tae Lee}, title = {Device failure detection algorithm over {MAC} for radio resource efficiency based on {IEEE} 802.15.4}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {884--887}, year = {2015}, crossref = {DBLP:conf/ictc/2015}, url = {https://doi.org/10.1109/ICTC.2015.7354692}, doi = {10.1109/ICTC.2015.7354692}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LimKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YeoKLR15, author = {Kunmin Yeo and Young{-}Il Kim and Yong{-}Tae Lee and Won Ryu}, title = {A packet-relaying method in wireless sensor communications}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1078--1080}, year = {2015}, crossref = {DBLP:conf/ictc/2015}, url = {https://doi.org/10.1109/ICTC.2015.7354742}, doi = {10.1109/ICTC.2015.7354742}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YeoKLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimPBK15, author = {Jun Woo Kim and Hyeong{-}sook Park and Young{-}Jo Bang and Ilgyu Kim}, title = {Precise estimation of noise power and {SNR} from {OFDM} signals}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {367--371}, year = {2015}, crossref = {DBLP:conf/icufn/2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182566}, doi = {10.1109/ICUFN.2015.7182566}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimPBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeKSLC15, author = {Ilro Lee and Yunsik Kim and Donghoon Shin and Youngwoo Lee and Chung Choo Chung}, title = {Nonlinear adaptive speed control for permanent magnet synchronous motors under unbalanced resistances}, booktitle = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, pages = {1692--1697}, year = {2015}, crossref = {DBLP:conf/iecon/2015}, url = {https://doi.org/10.1109/IECON.2015.7392345}, doi = {10.1109/IECON.2015.7392345}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LeeKSLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimKHHCJ15, author = {Ki{-}Hyun Kim and Hyung{-}Shin Kwon and Hee{-}Il Hong and Hong{-}Sun Hwang and Kang{-}Yong Cho and Gyo{-}Young Jin}, title = {The classification methodology of chip quality using canonical correlation analysis-based variable selection on chip level data}, booktitle = {2015 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2015, Singapore, December 6-9, 2015}, pages = {381--385}, year = {2015}, crossref = {DBLP:conf/ieem/2015}, url = {https://doi.org/10.1109/IEEM.2015.7385673}, doi = {10.1109/IEEM.2015.7385673}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieem/KimKHHCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, year = {2015}, crossref = {DBLP:conf/isscc/2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063105}, doi = {10.1109/ISSCC.2015.7063105}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PyoSLBKKSKOLLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeKSJHKL15, author = {Sangil Lee and Minhyun Kim and Youngwook Sirl and Eui{-}Rim Jeong and Soon{-}Il Hong and Seongjin Kim and Yong Hoon Lee}, title = {Digital Predistortion for Power Amplifiers in Hybrid {MIMO} Systems with Antenna Subarrays}, booktitle = {{IEEE} 81st Vehicular Technology Conference, {VTC} Spring 2015, Glasgow, United Kingdom, 11-14 May, 2015}, pages = {1--5}, year = {2015}, crossref = {DBLP:conf/vtc/2015s}, url = {https://doi.org/10.1109/VTCSpring.2015.7145777}, doi = {10.1109/VTCSPRING.2015.7145777}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeKSJHKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChungSBKSY15, author = {Eui{-}Young Chung and Chang{-}Il Son and Kwanhu Bang and Dong Kim and Soong{-}Mann Shin and Sungroh Yoon}, title = {A High-Performance Solid-State Disk with Double-Data-Rate {NAND} Flash Memory}, journal = {CoRR}, volume = {abs/1502.02239}, year = {2015}, url = {http://arxiv.org/abs/1502.02239}, eprinttype = {arXiv}, eprint = {1502.02239}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChungSBKSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acj/BangKLC14, author = {Ki{-}Seok Bang and Il{-}Gon Kim and Ji{-}Yeon Lee and Jin{-}Young Choi}, title = {Using a Process Algebraic Approach of Verifying Access Control in XML-based Healthcare Systems}, journal = {J. Res. Pract. Inf. Technol.}, volume = {46}, number = {2-3}, year = {2014}, url = {http://ws.acs.org.au/jrpit/JRPITVolumes/JRPIT46/JRPIT46.23.107\%20Ji-Yeon\%20Lee\%20Using\%20a\%20Process\%20Algebraic.pdf}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/acj/BangKLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/MakLWKBRYHAC14, author = {Kwok{-}Kei Mak and Ching{-}Man Lai and Hiroko Watanabe and Dong{-}Il Kim and Norharlina Bahar and Milen S. Ramos and Kimberly S. Young and Roger C. M. Ho and Na{-}Rae Aum and Cecilia Cheng}, title = {Epidemiology of Internet Behaviors and Addiction Among Adolescents in Six Asian Countries}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {17}, number = {11}, pages = {720--728}, year = {2014}, url = {https://doi.org/10.1089/cyber.2014.0139}, doi = {10.1089/CYBER.2014.0139}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/MakLWKBRYHAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimJCKP14, author = {Jin{-}Mook Kim and Hwa{-}Young Jeong and IlKwon Cho and Sun Moo Kang and Jong Hyuk Park}, title = {A secure smart-work service model based OpenStack for Cloud computing}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {691--702}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0251-1}, doi = {10.1007/S10586-013-0251-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KimJCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/KimPS14, author = {Jong Soo Kim and Sung Il Park and Ki Young Shin}, title = {A quantity flexibility contract model for a system with heterogeneous suppliers}, journal = {Comput. Oper. Res.}, volume = {41}, pages = {98--108}, year = {2014}, url = {https://doi.org/10.1016/j.cor.2013.08.012}, doi = {10.1016/J.COR.2013.08.012}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/KimPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/LimKL14, author = {Jae Sik Lim and Jung{-}Su Kim and Young Il Lee}, title = {Robust tracking model predictive control for input-constrained uncertain linear time invariant systems}, journal = {Int. J. Control}, volume = {87}, number = {1}, pages = {120--130}, year = {2014}, url = {https://doi.org/10.1080/00207179.2013.823669}, doi = {10.1080/00207179.2013.823669}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/LimKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JooJHK14, author = {Sung{-}Il Joo and Seok{-}Woo Jang and Seung{-}Wan Han and Gye{-}Young Kim}, title = {ASM-Based Objectionable Image Detection in Social Network Services}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/673721}, doi = {10.1155/2014/673721}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/JooJHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YoonJKC14, author = {Min Yoon and Miyoung Jang and Hyeong{-}Il Kim and Jae{-}Woo Chang}, title = {A Signature-Based Data Security Technique for Energy-Efficient Data Aggregation in Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/272537}, doi = {10.1155/2014/272537}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YoonJKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimKHY14, author = {Youngdae Kim and Ilhwan Ko and Wook{-}Shin Han and Hwanjo Yu}, title = {iKernel: Exact indexing for support vector machines}, journal = {Inf. Sci.}, volume = {257}, pages = {32--53}, year = {2014}, url = {https://doi.org/10.1016/j.ins.2013.09.017}, doi = {10.1016/J.INS.2013.09.017}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KimKHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdwm/YoonKJC14, author = {Min Yoon and Hyeong{-}Il Kim and Mi{-}Young Jang and Jae{-}Woo Chang}, title = {A New Spatial Transformation Scheme for Preventing Location Data Disclosure in Cloud Computing}, journal = {Int. J. Data Warehous. Min.}, volume = {10}, number = {4}, pages = {26--49}, year = {2014}, url = {https://doi.org/10.4018/ijdwm.2014100102}, doi = {10.4018/IJDWM.2014100102}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdwm/YoonKJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/LeiLYK14, author = {Guo H. Lei and Ting T. Liu and Wei Yang and Young{-}Il Kim}, title = {A Peer-To-Peer Membership Management Protocol for Wireless Mesh Networks}, journal = {J. Networks}, volume = {9}, number = {11}, pages = {3015--3024}, year = {2014}, url = {https://doi.org/10.4304/jnw.9.11.3015-3024}, doi = {10.4304/JNW.9.11.3015-3024}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/LeiLYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/YimLLSK14, author = {Byung{-}seung Yim and Jeong{-}Il Lee and Byung{-}Hun Lee and Young{-}Eui Shin and Jong{-}Min Kim}, title = {An investigation of the reliability of solderable {ICA} with low-melting-point alloy {(LMPA)} filler}, journal = {Microelectron. Reliab.}, volume = {54}, number = {12}, pages = {2944--2950}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2014.07.143}, doi = {10.1016/J.MICROREL.2014.07.143}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/YimLLSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/ConsortiumFKRB14, author = {The Fantom Consortium and RIKEN PMII and RIKEN CLST (DGT) and Alistair R. R. Forrest and Hideya Kawaji and Michael Rehli and J. Kenneth Baillie and Michiel J. L. de Hoon and Vanja Haberle and Timo Lassmann and Ivan V. Kulakovskiy and Marina Lizio and Masayoshi Itoh and Robin Andersson and Christopher J. Mungall and Terrence F. Meehan and Sebastian Schmeier and Nicolas Bertin and Mette J{\o}rgensen and Emmanuel Dimont and Erik Arner and Christian Schmidl and Ulf Schaefer and Yulia A. Medvedeva and Charles Plessy and Morana Vitezic and Jessica Severin and Colin A. M. Semple and Yuri Ishizu and Robert S. Young and Margherita Francescatto and Intikhab Alam and Davide Albanese and Gabriel M. Altschuler and Takahiro Arakawa and John A. C. Archer and Peter Arner and Magda Babina and Sarah Rennie and Piotr J. Balwierz and Anthony G. Beckhouse and Swati Pradhan{-}Bhatt and Judith A. Blake and Antje Blumenthal and Beatrice Bodega and Alessandro Bonetti and James Briggs and Frank Brombacher and A. Maxwell Burroughs and Andrea Califano and Carlo V. Cannistraci and Daniel Carbajo and Yun Chen and Marco Chierici and Yari Ciani and Hans Clevers and Emiliano Dalla and Carrie A. Davis and Michael Detmar and Alexander D. Diehl and Taeko Dohi and Finn Drabl{\o}s and Albert S. B. Edge and Matthias Edinger and Karl Ekwall and Mitsuhiro Endoh and Hideki Enomoto and Michela Fagiolini and Lynsey Fairbairn and Hai Fang and Mary C. Farach{-}Carson and Geoffrey J. Faulkner and Alexander V. Favorov and Malcolm E. Fisher and Martin C. Frith and Rie Fujita and Shiro Fukuda and Cesare Furlanello and Masaaki Furuno and Jun{-}ichi Furusawa and Teunis B. Geijtenbeek and Andrew P. Gibson and Thomas R. Gingeras and Daniel Goldowitz and Julian Gough and Sven Guhl and Reto Guler and Stefano Gustincich and Thomas J. Ha and Masahide Hamaguchi and Mitsuko Hara and Matthias Harbers and Jayson Harshbarger and Akira Hasegawa and Yuki Hasegawa and Takehiro Hashimoto and Meenhard Herlyn and Kelly J. Hitchens and Shannan J. Ho Sui and Oliver M. Hofmann and Ilka Hoof and Fumi Hori and Lukasz Huminiecki and Kei Iida and Tomokatsu Ikawa and Boris R. Jankovic and Hui Jia and Anagha Joshi and Giuseppe Jurman and Bogumil Kaczkowski and Chieko Kai and Kaoru Kaida and Ai Kaiho and Kazuhiro Kajiyama and Mutsumi Kanamori{-}Katayama and Artem S. Kasianov and Takeya Kasukawa and Shintaro Katayama and Sachi Kato and Shuji Kawaguchi and Hiroshi Kawamoto and Yuki I. Kawamura and Tsugumi Kawashima and Judith S. Kempfle and Tony J. Kenna and Juha Kere and Levon M. Khachigian and Toshio Kitamura and S. Peter Klinken and Alan J. Knox and Miki Kojima and Soichi Kojima and Naoto Kondo and Haruhiko Koseki and Shigeo Koyasu and Sarah Krampitz and Atsutaka Kubosaki and Andrew T. Kwon and Jeroen F. J. Laros and Weonju Lee and Andreas Lennartsson and Kang Li and Berit Lilje and Leonard Lipovich and Alan Mackay{-}Sim and Ri{-}ichiroh Manabe and Jessica Cara Mar and Benoit Marchand and Anthony Mathelier and Niklas Mejhert and Alison M. Meynert and Yosuke Mizuno and David A. de Lima Morais and Hiromasa Morikawa and Mitsuru Morimoto and Kazuyo Moro and Efthymios Motakis and Hozumi Motohashi and Christine Mummery and Mitsuyoshi Murata and Sayaka Nagao{-}Sato and Yutaka Nakachi and Fumio Nakahara and Toshiyuki Nakamura and Yukio Nakamura and Kenichi Nakazato and Erik van Nimwegen and Noriko Ninomiya and Hiromi Nishiyori and Shohei Noma and Tadasuke Nozaki and Soichi Ogishima and Naganari Ohkura and Hiroko Ohmiya and Hiroshi Ohno and Mitsuhiro Ohshima and Mariko Okada{-}Hatakeyama and Yasushi Okazaki and Valerio Orlando and Dmitry A. Ovchinnikov and Arnab Pain and Robert Passier and Margaret Patrikakis and Helena Persson and Silvano Piazza and James G. D. Prendergast and Owen J. L. Rackham and Jordan A. Ramilowski and Mamoon Rashid and Timothy Ravasi and Patrizia Rizzu and Marco Roncador and Sugata Roy and Morten B. Rye and Eri Saijyo and Antti Sajantila and Akiko Saka and Shimon Sakaguchi and Mizuho Sakai and Hiroki Sato and Hironori Sato and Suzana Savvi and Alka Saxena and Claudio Schneider and Erik A. Schultes and Gundula G. Schulze{-}Tanzil and Anita Schwegmann and Thierry Sengstag and Guojun Sheng and Hisashi Shimoji and Yishai Shimoni and Jay W. Shin and Christophe Simon and Daisuke Sugiyama and Takaaki Sugiyama and Masanori Suzuki and Naoko Suzuki and Rolf K. Swoboda and Peter A. C. 't Hoen and Michihira Tagami and Naoko Takahashi and Jun Takai and Hiroshi Tanaka and Hideki Tatsukawa and Zuotian Tatum and Mark Thompson and Hiroo Toyoda and Tetsuro Toyoda and Eivind Valen and Marc van de Wetering and Linda M. van den Berg and Roberto Verardo and Dipti Vijayan and Ilya E. Vorontsov and Wyeth W. Wasserman and Shoko Watanabe and Christine A. Wells and Louise N. Winteringham and Ernst Wolvetang and Emily J. Wood and Yoko Yamaguchi and Masayuki Yamamoto and Misako Yoneda and Yohei Yonekura and Shigehiro Yoshida and Susan E. Zabierowski and Peter G. Zhang and Xiaobei Zhao and Silvia Zucchelli and Kim M. Summers and Harukazu Suzuki and Carsten O. Daub and Jun Kawai and Peter Heutink and Winston Hide and Tom C. Freeman and Boris Lenhard and Vladimir B. Bajic and Martin S. Taylor and Vsevolod J. Makeev and Albin Sandelin and David A. Hume and Piero Carninci and Yoshihide Hayashizaki}, title = {A promoter-level mammalian expression atlas}, journal = {Nat.}, volume = {507}, number = {7493}, pages = {462--470}, year = {2014}, url = {https://doi.org/10.1038/nature13182}, doi = {10.1038/NATURE13182}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nature/ConsortiumFKRB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/KimLL14, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Kwang Lee}, title = {A study on algorithm to identify the abnormal status of a patient using acceleration algorithm}, journal = {Pers. Ubiquitous Comput.}, volume = {18}, number = {6}, pages = {1337--1350}, year = {2014}, url = {https://doi.org/10.1007/s00779-013-0736-1}, doi = {10.1007/S00779-013-0736-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/KimLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangYHK14, author = {Yu Zhang and Wei Yang and Dongsheng Han and Young{-}Il Kim}, title = {An Integrated Environment Monitoring System for Underground Coal Mines - Wireless Sensor Network Subsystem with Multi-Parameter Monitoring}, journal = {Sensors}, volume = {14}, number = {7}, pages = {13149--13170}, year = {2014}, url = {https://doi.org/10.3390/s140713149}, doi = {10.3390/S140713149}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangYHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YiLBSCKSP14, author = {Il{-}Min Yi and Soo{-}Min Lee and Seung{-}Jun Bae and Young{-}Soo Sohn and Jung{-}Hwan Choi and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A 40-mV-Swing Single-Ended Transceiver for {TSV} with a Switched-Diode {RX} Termination}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {12}, pages = {987--991}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2362660}, doi = {10.1109/TCSII.2014.2362660}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YiLBSCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/KimPKL14, author = {Seok{-}Kyoon Kim and Chang Reung Park and Jung{-}Su Kim and Young Il Lee}, title = {A Stabilizing Model Predictive Controller for Voltage Regulation of a {DC/DC} Boost Converter}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {22}, number = {5}, pages = {2016--2023}, year = {2014}, url = {https://doi.org/10.1109/TCST.2013.2296508}, doi = {10.1109/TCST.2013.2296508}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/KimPKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChowdhuryNKRJ14, author = {Mostafa Zaman Chowdhury and Tuan Nguyen and Young{-}Il Kim and Won Ryu and Yeong Min Jang}, title = {Radio Resource Allocation for Scalable Video Services Over Wireless Cellular Networks}, journal = {Wirel. Pers. Commun.}, volume = {74}, number = {3}, pages = {1061--1079}, year = {2014}, url = {https://doi.org/10.1007/s11277-013-1344-0}, doi = {10.1007/S11277-013-1344-0}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ChowdhuryNKRJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimLC14, author = {Youngjun Kim and Sanghum Lee and Ilyoung Chong}, title = {Orchestration in Distributed Web-of-Objects for Creation of User-Centered IoT Service Capability}, journal = {Wirel. Pers. Commun.}, volume = {78}, number = {4}, pages = {1965--1980}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-2056-9}, doi = {10.1007/S11277-014-2056-9}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcc/KimLLLNL14, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Pil Lee and Jae{-}Gwang Lee and Hyun Nam{-}Gung and Jae{-}Kwang Lee}, title = {Study on Encryption of Wireless Network-Based Mobility Energy-Enterprise Security Management}, booktitle = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, pages = {221--227}, year = {2014}, crossref = {DBLP:conf/fcc/2014}, url = {https://doi.org/10.1007/978-94-017-8798-7\_27}, doi = {10.1007/978-94-017-8798-7\_27}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fcc/KimLLLNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/PratamaSKJKKJJ14, author = {Pandu Sandi Pratama and Yuhanes Dedy Setiawan and Dae Hwan Kim and Young Seok Jung and Hak Kyeong Kim and Sang Bong Kim and Sang Kwun Jeong and Jin Il Jeong}, title = {Fault detection algorithm for automatic guided vehicle based on multiple positioning modules}, booktitle = {2014 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2014, Delhi, India, September 24-27, 2014}, pages = {751--757}, year = {2014}, crossref = {DBLP:conf/icacci/2014}, url = {https://doi.org/10.1109/ICACCI.2014.6968511}, doi = {10.1109/ICACCI.2014.6968511}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/PratamaSKJKKJJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeLLKHL14, author = {Jae{-}Gwang Lee and Jae{-}Pil Lee and Il{-}Kwon Lim and Young{-}Hyuk Kim and Hyun Nam{-}Gung and Jae{-}Kwang Lee}, title = {Kinect-Based Monitoring System to Prevent Seniors Who Live Alone from Solitary Death}, booktitle = {Computational Science and Its Applications - {ICCSA} 2014 - 14th International Conference, Guimar{\~{a}}es, Portugal, June 30 - July 3, 2014, Proceedings, Part {IV}}, pages = {709--719}, year = {2014}, crossref = {DBLP:conf/iccsa/2014-4}, url = {https://doi.org/10.1007/978-3-319-09147-1\_51}, doi = {10.1007/978-3-319-09147-1\_51}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeLLKHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LimKLLNL14, author = {Il{-}Kwon Lim and Young{-}Hyuk Kim and Jae{-}Gwang Lee and Jae{-}Pil Lee and Hyun Nam{-}Gung and Jae{-}Kwang Lee}, title = {The Analysis and Countermeasures on Security Breach of Bitcoin}, booktitle = {Computational Science and Its Applications - {ICCSA} 2014 - 14th International Conference, Guimar{\~{a}}es, Portugal, June 30 - July 3, 2014, Proceedings, Part {IV}}, pages = {720--732}, year = {2014}, crossref = {DBLP:conf/iccsa/2014-4}, url = {https://doi.org/10.1007/978-3-319-09147-1\_52}, doi = {10.1007/978-3-319-09147-1\_52}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LimKLLNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanKL14, author = {Jae{-}Il Han and Young{-}Man Kim and Jaeyoul Lee}, title = {Achieving energy-efficiency with a next generation NVRAM-based {SSD}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {563--568}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983212}, doi = {10.1109/ICTC.2014.6983212}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LimKLR14, author = {Sun{-}Hwa Lim and Young{-}Il Kim and Yong{-}Tae Lee and Won Ryu}, title = {Transmission scheme over {MAC} for energy consumption reduction in wireless sensor networks based on {IEEE} 802.15.4}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {756--759}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983279}, doi = {10.1109/ICTC.2014.6983279}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LimKLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkKLR14, author = {Daegeun Park and Young{-}Il Kim and Yong{-}Tae Lee and Won Ryu}, title = {Implementation of mobile relay system for supporting adjustable time division duplex}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {653--654}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983244}, doi = {10.1109/ICTC.2014.6983244}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkKLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/WonCCKB14, author = {Seok Ho Won and Su Chang Chae and Sae Young Cho and Ilgyu Kim and Seung Chan Bang}, title = {Massive {MIMO} test-bed design for next-generation long term evolution {(LTE)} mobile systems in the frequency division duplex {(FDD)} mode}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {841--844}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983308}, doi = {10.1109/ICTC.2014.6983308}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/WonCCKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimK14, author = {Sang{-}Il Kim and Rae{-}Young Kim}, title = {A sensorless vector drive using self-dynamics for interior permanent magnet machine without external signal injection}, booktitle = {{IECON} 2014 - 40th Annual Conference of the {IEEE} Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}, pages = {367--372}, year = {2014}, crossref = {DBLP:conf/iecon/2014}, url = {https://doi.org/10.1109/IECON.2014.7048526}, doi = {10.1109/IECON.2014.7048526}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/KimK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {430--431}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757500}, doi = {10.1109/ISSCC.2014.6757500}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCCRLLLKJHKMBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/HeoJKCC14, author = {Byeongho Heo and Hawook Jeong and Jiyun Kim and Sang{-}Il Choi and Jin Young Choi}, title = {Weighted Pooling Based on Visual Saliency for Image Classification}, booktitle = {Advances in Visual Computing - 10th International Symposium, {ISVC} 2014, Las Vegas, NV, USA, December 8-10, 2014, Proceedings, Part {I}}, pages = {647--657}, year = {2014}, crossref = {DBLP:conf/isvc/2014-1}, url = {https://doi.org/10.1007/978-3-319-14249-4\_62}, doi = {10.1007/978-3-319-14249-4\_62}, timestamp = {Tue, 21 Mar 2023 20:54:36 +0100}, biburl = {https://dblp.org/rec/conf/isvc/HeoJKCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/ChaK14, author = {YoungJun Cha and Ki{-}Il Kim}, title = {Heuristic algorithm for virtual link configuration in {AFDX} networks}, booktitle = {{REACTION} 2014, 3rd {IEEE} International Workshop on Real-time and distributed computing in emerging applications, Proceedings, Rome, Italy. December 2nd, 2014}, year = {2014}, crossref = {DBLP:conf/rtss/2014reaction}, url = {https://hdl.handle.net/10016/19690}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/rtss/ChaK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socinfo/WooKLK14, author = {Jiyoung Woo and Byung Il Kwak and Jiyoun Lim and Huy Kang Kim}, title = {Generosity as Social Contagion in Virtual Community}, booktitle = {Social Informatics - SocInfo 2014 International Workshops, Barcelona, Spain, November 11, 2014, Revised Selected Papers}, pages = {191--199}, year = {2014}, crossref = {DBLP:conf/socinfo/2014w}, url = {https://doi.org/10.1007/978-3-319-15168-7\_24}, doi = {10.1007/978-3-319-15168-7\_24}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/socinfo/WooKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChowdhuryNKRJ14, author = {Mostafa Zaman Chowdhury and Tuan Nguyen and Young{-}Il Kim and Won Ryu and Yeong Min Jang}, title = {Radio Resource Allocation for Scalable Video Services over Wireless Cellular Networks}, journal = {CoRR}, volume = {abs/1412.3628}, year = {2014}, url = {http://arxiv.org/abs/1412.3628}, eprinttype = {arXiv}, eprint = {1412.3628}, timestamp = {Thu, 18 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChowdhuryNKRJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimWKS14, author = {Jun{-}Sung Kim and Kyu{-}Young Whang and Hyuk{-}Yoon Kwon and Il{-}Yeol Song}, title = {Odysseus/DFS: Integration of {DBMS} and Distributed File System for Transaction Processing of Big Data}, journal = {CoRR}, volume = {abs/1406.0435}, year = {2014}, url = {http://arxiv.org/abs/1406.0435}, eprinttype = {arXiv}, eprint = {1406.0435}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimWKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/HongLBJJYKPKS13, author = {Dongwan Hong and Jongkeun Lee and Thomas Bleazard and Hyunchul Jung and Young Seok Ju and Saet{-}Byeol Yu and Sujung Kim and Sung{-}Soo Park and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{TIARA} genome database: update 2013}, journal = {Database J. Biol. Databases Curation}, volume = {2013}, year = {2013}, url = {https://doi.org/10.1093/database/bat003}, doi = {10.1093/DATABASE/BAT003}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/HongLBJJYKPKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BangIKPC13, author = {Kwanhu Bang and Kyung{-}Il Im and Dong{-}gun Kim and Sang{-}Hoon Park and Eui{-}Young Chung}, title = {Power Failure Protection Scheme for Reliable High-Performance Solid State Disks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {5}, pages = {1078--1085}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.1078}, doi = {10.1587/TRANSINF.E96.D.1078}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BangIKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/WeonKCPC13, author = {Sunhee Weon and Gye{-}Young Kim and Jeong{-}Hee Cha and KeeHong Park and Hyung{-}Il Choi}, title = {A mapping method for 3D satellite and sensor images using a road extraction algorithm for occlusion processing of virtual targets}, journal = {Int. J. Comput. Appl. Technol.}, volume = {46}, number = {1}, pages = {45--53}, year = {2013}, url = {https://doi.org/10.1504/IJCAT.2013.051387}, doi = {10.1504/IJCAT.2013.051387}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/WeonKCPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkLKHLPH13, author = {Hayoung Park and Sang{-}il Lee and Yoon Kim and Eun{-}Young Heo and Jisun Lee and Jung Ho Park and Kyooseob Ha}, title = {Patients' perceptions of a health information exchange: {A} pilot program in South Korea}, journal = {Int. J. Medical Informatics}, volume = {82}, number = {2}, pages = {98--107}, year = {2013}, url = {https://doi.org/10.1016/j.ijmedinf.2012.05.001}, doi = {10.1016/J.IJMEDINF.2012.05.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ParkLKHLPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itm/RyuKC13, author = {Young U. Ryu and Jae Kyeong Kim and Il Young Choi}, title = {The role of {IT} in Korea's economic development}, journal = {Inf. Technol. Manag.}, volume = {14}, number = {1}, pages = {3--6}, year = {2013}, url = {https://doi.org/10.1007/s10799-012-0148-3}, doi = {10.1007/S10799-012-0148-3}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itm/RyuKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/LiuYXK13, author = {Ting T. Liu and Wei Yang and Chang L. Xu and Young{-}Il Kim}, title = {A SNR-based Multi-channel Multicast Scheme for Popular Video in Wireless Networks}, journal = {J. Networks}, volume = {8}, number = {3}, pages = {628--635}, year = {2013}, url = {https://doi.org/10.4304/jnw.8.3.628-635}, doi = {10.4304/JNW.8.3.628-635}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/LiuYXK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimHYKKKYLPSLRC13, author = {Hyunsik Kim and Sang{-}Wook Han and Junhyeok Yang and Sung{-}il Kim and Young Kim and Sangwook Kim and Dae{-}Kun Yoon and Jun Su Lee and Jae{-}Chul Park and Younghun Sung and Seong{-}Deok Lee and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {An Asynchronous Sampling-Based 128x128 Direct Photon-Counting X-Ray Image Detector with Multi-Energy Discrimination and High Spatial Resolution}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {2}, pages = {541--558}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2221196}, doi = {10.1109/JSSC.2012.2221196}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimHYKKKYLPSLRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimL13, author = {Young{-}Il Kim and Sang{-}Sun Lee}, title = {A Capacitorless {LDO} Regulator With Fast Feedback Technique and Low-Quiescent Current Error Amplifier}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {6}, pages = {326--330}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2013.2258250}, doi = {10.1109/TCSII.2013.2258250}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/JungCSK13, author = {Il{-}Lyong Jung and Taeyoung Chung and Jae{-}Young Sim and Chang{-}Su Kim}, title = {Consistent Stereo Matching Under Varying Radiometric Conditions}, journal = {{IEEE} Trans. Multim.}, volume = {15}, number = {1}, pages = {56--69}, year = {2013}, url = {https://doi.org/10.1109/TMM.2012.2225041}, doi = {10.1109/TMM.2012.2225041}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/JungCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/PengYZK13, author = {Yuefeng Peng and Wei Yang and Yuan Zhu and Young{-}Il Kim}, title = {An Enhanced Link Adaptation Scheme Based on Cooperative Interference Prediction for {MIMO-OFDM} Systems}, journal = {Wirel. Pers. Commun.}, volume = {70}, number = {2}, pages = {519--535}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0706-3}, doi = {10.1007/S11277-012-0706-3}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/PengYZK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/PengYZK13a, author = {Yuefeng Peng and Wei Yang and Yuan Zhu and Young{-}Il Kim}, title = {A Novel {CSI} Feedback Method for Dynamic {SU/MU} {MIMO} Adaptation}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {3}, pages = {2111--2123}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0926-6}, doi = {10.1007/S11277-012-0926-6}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/PengYZK13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JungSKL13, author = {Il{-}Lyong Jung and Jae{-}Young Sim and Chang{-}Su Kim and Sang{-}Uk Lee}, title = {Robust stereo matching under radiometric variations based on cumulative distributions of gradients}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {2082--2085}, year = {2013}, crossref = {DBLP:conf/icip/2013}, url = {https://doi.org/10.1109/ICIP.2013.6738429}, doi = {10.1109/ICIP.2013.6738429}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/JungSKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/KimLLLNL13, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Gwang Lee and Jae{-}Pil Lee and Hyun Namgung and Jae{-}Kwang Lee}, title = {Study on Medical Emergency Alert Algorithm Based on Acceleration Algorithm}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--4}, year = {2013}, crossref = {DBLP:conf/icisa/2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579343}, doi = {10.1109/ICISA.2013.6579343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/KimLLLNL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/LeeKLLNL13, author = {Jae{-}Gwang Lee and Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Pil Lee and Hyun Nam{-}Gung and Jae{-}Kwang Lee}, title = {Implementation of u-RPMS Using Google Chart in Hybrid Application for Visualization of Patient's Biometric Information}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--4}, year = {2013}, crossref = {DBLP:conf/icisa/2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579486}, doi = {10.1109/ICISA.2013.6579486}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/LeeKLLNL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/LeeKLLNL13a, author = {Jae{-}Pil Lee and Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Gwang Lee and Hyun Namgung and Jae{-}Kwang Lee}, title = {Comparison {\&} Analysis of {HIGHT} and {SEED} for the Protection of Biometric Information at U-Wellness Healthcare System}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--4}, year = {2013}, crossref = {DBLP:conf/icisa/2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579431}, doi = {10.1109/ICISA.2013.6579431}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/LeeKLLNL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/JeongKP13, author = {Il{-}Young Jeong and Biho Kim and Hyung{-}Min Park}, title = {Single-Channel Speech Dereverberation Based on Non-negative Blind Deconvolution and Prior Imposition on Speech and Filter}, booktitle = {Neural Information Processing - 20th International Conference, {ICONIP} 2013, Daegu, Korea, November 3-7, 2013. Proceedings, Part {III}}, pages = {469--476}, year = {2013}, crossref = {DBLP:conf/iconip/2013-3}, url = {https://doi.org/10.1007/978-3-642-42051-1\_58}, doi = {10.1007/978-3-642-42051-1\_58}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/JeongKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/YoonKJC13, author = {Min Yoon and Hyeong{-}Il Kim and Miyoung Jang and Jae{-}Woo Chang}, title = {Linear Function Based Transformation Scheme for Preserving Database Privacy in Cloud Computing}, booktitle = {19th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2013, Seoul, Korea, December 15-18, 2013}, pages = {498--503}, year = {2013}, crossref = {DBLP:conf/icpads/2013}, url = {https://doi.org/10.1109/ICPADS.2013.90}, doi = {10.1109/ICPADS.2013.90}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/YoonKJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ShimK13, author = {Eu{-}Suk Shim and Young{-}Il Kim}, title = {The improved {SFO} estimation method for {DRM} Plus with cyclic delay diversity}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {936--937}, year = {2013}, crossref = {DBLP:conf/ictc/2013}, url = {https://doi.org/10.1109/ICTC.2013.6675522}, doi = {10.1109/ICTC.2013.6675522}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ShimK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongYKR13, author = {Soonyong Song and Kunmin Yeo and Young{-}Il Kim and Won Ryu}, title = {Symbol detection under multi-path fading environments for ZigBee receiver}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {84--85}, year = {2013}, crossref = {DBLP:conf/ictc/2013}, url = {https://doi.org/10.1109/ICTC.2013.6675312}, doi = {10.1109/ICTC.2013.6675312}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongYKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KangKKH13, author = {Shin{-}Won Kang and Sang{-}Il Kim and Rae{-}Young Kim and Dong{-}Seok Hyun}, title = {High power factor control of an inverter-controlled synchronous motor drive system with small DC-link capacitor}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {7769--7774}, year = {2013}, crossref = {DBLP:conf/iecon/2013}, url = {https://doi.org/10.1109/IECON.2013.6700429}, doi = {10.1109/IECON.2013.6700429}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/KangKKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoLMMKK13, author = {Shin{-}Young Cho and Il{-}Oun Lee and SangCheol Moon and Gun{-}Woo Moon and Bong{-}Chul Kim and Ki Young Kim}, title = {Constant current charging in series-series compensated non-radiative wireless power link}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2792--2795}, year = {2013}, crossref = {DBLP:conf/iscas/2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572458}, doi = {10.1109/ISCAS.2013.6572458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoLMMKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChoKLLS13, author = {Yongsoo Cho and Dong{-}Hee Kim and Kyo{-}Beum Lee and Young Il Lee and Joong{-}Ho Song}, title = {Torque ripple reduction and fast torque response strategy of direct torque control for permanent-magnet synchronous motor}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, year = {2013}, crossref = {DBLP:conf/isie/2013}, url = {https://doi.org/10.1109/ISIE.2013.6563639}, doi = {10.1109/ISIE.2013.6563639}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/ChoKLLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KimHLSL13, author = {Hyunseob Kim and Jungho Han and Young{-}Il Lee and Joong{-}Ho Song and Kyo{-}Beum Lee}, title = {Torque predictive control of permanent-magnet synchronous motor using duty ratio prediction}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--5}, year = {2013}, crossref = {DBLP:conf/isie/2013}, url = {https://doi.org/10.1109/ISIE.2013.6563664}, doi = {10.1109/ISIE.2013.6563664}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/KimHLSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KimKL13, author = {Seok{-}Kyoon Kim and Jung{-}Su Kim and Young Il Lee}, title = {Model predictive control {(MPC)} based direct torque control {(DTC)} of permanent magnet synchronous motors (PMSMs)}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, year = {2013}, crossref = {DBLP:conf/isie/2013}, url = {https://doi.org/10.1109/ISIE.2013.6563637}, doi = {10.1109/ISIE.2013.6563637}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/KimKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinSKKLSMKIKCBHJLCHSH13, author = {Youngmin Shin and Ken Shin and Prashant Kenkare and Rajesh Kashyap and Hoi{-}Jin Lee and Dongjoo Seo and Brian Millar and Yohan Kwon and Ravi Iyengar and Min{-}Su Kim and Ahsan Chowdhury and Sung{-}il Bae and Inpyo Hong and Wookyeong Jeong and Aaron Lindner and Ukrae Cho and Keith Hawkins and Jae{-}Cheol Son and Seung Ho Hwang}, title = {28nm high- metal-gate heterogeneous quad-core CPUs for high-performance and energy-efficient mobile application processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {154--155}, year = {2013}, crossref = {DBLP:conf/isscc/2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487678}, doi = {10.1109/ISSCC.2013.6487678}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinSKKLSMKIKCBHJLCHSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/KimJYC13, author = {Hyeong{-}Il Kim and Mi{-}Young Jang and Min Yoon and Jae{-}Woo Chang}, title = {A New Grid-Based Cloaking Scheme for Continuous Queries in Centralized {LBS} Systems}, booktitle = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, pages = {345--352}, year = {2013}, crossref = {DBLP:conf/mue/2013}, url = {https://doi.org/10.1007/978-94-007-6738-6\_43}, doi = {10.1007/978-94-007-6738-6\_43}, timestamp = {Sun, 04 Jun 2017 10:10:13 +0200}, biburl = {https://dblp.org/rec/conf/mue/KimJYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/YoonJKC13, author = {Min Yoon and Miyoung Jang and Hyoung{-}il Kim and Jae{-}Woo Chang}, title = {A New Sensitive Data Aggregation Scheme for Protecting Data Integrity in Wireless Sensor Network}, booktitle = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, pages = {277--284}, year = {2013}, crossref = {DBLP:conf/mue/2013}, url = {https://doi.org/10.1007/978-94-007-6738-6\_35}, doi = {10.1007/978-94-007-6738-6\_35}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mue/YoonJKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/WhangYYSKK13, author = {Kyu{-}Young Whang and Tae{-}Seob Yun and Yeon{-}Mi Yeo and Il{-}Yeol Song and Hyuk{-}Yoon Kwon and In{-}Joong Kim}, title = {{ODYS:} an approach to building a massively-parallel search engine using a {DB-IR} tightly-integrated parallel {DBMS} for higher-level functionality}, booktitle = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2013, New York, NY, USA, June 22-27, 2013}, pages = {313--324}, year = {2013}, crossref = {DBLP:conf/sigmod/2013}, url = {https://doi.org/10.1145/2463676.2465316}, doi = {10.1145/2463676.2465316}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/WhangYYSKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/GaudetABBBCDFGHKKMKMNOOPRSSSAVWYM12, author = {Pascale Gaudet and Cecilia N. Arighi and Frederic B. Bastian and Alex Bateman and Judith A. Blake and J. Michael Cherry and Peter D'Eustachio and Robert D. Finn and Michelle G. Giglio and Lynette Hirschman and Renate Kania and William Klimke and Maria Jesus Martin and Ilene Karsch{-}Mizrachi and Monica C. Munoz{-}Torres and Darren A. Natale and Claire O'Donovan and B. F. Francis Ouellette and Kim D. Pruitt and Marc Robinson{-}Rechavi and Susanna{-}Assunta Sansone and Paul N. Schofield and Granger G. Sutton and Kimberly Van Auken and Sona Vasudevan and Cathy H. Wu and Jasmine Young and Raja Mazumder}, title = {Recent advances in biocuration: Meeting Report from the fifth International Biocuration Conference}, journal = {Database J. Biol. Databases Curation}, volume = {2012}, year = {2012}, url = {https://doi.org/10.1093/database/bas036}, doi = {10.1093/DATABASE/BAS036}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/GaudetABBBCDFGHKKMKMNOOPRSSSAVWYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HongRPLJKYBPRCYLKLKS12, author = {Dongwan Hong and Arang Rhie and Sung{-}Soo Park and Jongkeun Lee and Young Seok Ju and Sujung Kim and Saet{-}Byeol Yu and Thomas Bleazard and Hyun Seok Park and Hwanseok Rhee and Hyonyong Chong and Kap{-}Seok Yang and Yeon{-}Su Lee and In{-}Hoo Kim and Jin Soo Lee and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{FX:} an RNA-Seq analysis tool on the cloud}, journal = {Bioinform.}, volume = {28}, number = {5}, pages = {721--723}, year = {2012}, url = {https://doi.org/10.1093/bioinformatics/bts023}, doi = {10.1093/BIOINFORMATICS/BTS023}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HongRPLJKYBPRCYLKLKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/NguyenSLSK12, author = {Thanh D. B. Nguyen and Myung Won Seo and Young{-}Il Lim and Byung{-}Ho Song and Sang{-}Done Kim}, title = {{CFD} simulation with experiments in a dual circulating fluidized bed gasifier}, journal = {Comput. Chem. Eng.}, volume = {36}, pages = {48--56}, year = {2012}, url = {https://doi.org/10.1016/j.compchemeng.2011.07.005}, doi = {10.1016/J.COMPCHEMENG.2011.07.005}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/NguyenSLSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NamAKLBE12, author = {Young{-}Han Nam and Yosuke Akimoto and Younsun Kim and Moon{-}il Lee and Kapil Bhattad and Anthony E. Ekpenyong}, title = {Evolution of reference signals for LTE-advanced systems}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {2}, pages = {132--138}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6146492}, doi = {10.1109/MCOM.2012.6146492}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NamAKLBE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkKCK12, author = {Deuk Hee Park and Hyea Kyeong Kim and Il Young Choi and Jae Kyeong Kim}, title = {A literature review and classification of recommender systems research}, journal = {Expert Syst. Appl.}, volume = {39}, number = {11}, pages = {10059--10072}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.02.038}, doi = {10.1016/J.ESWA.2012.02.038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ParkKCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/MoonKLS12, author = {Ilhwan Moon and Samkeun Kim and Young{-}Uhg Lho and Sang C. Suh}, title = {An Intelligent Decision Support System for Cropland Suitability Evaluation}, journal = {J. Integr. Des. Process. Sci.}, volume = {16}, number = {2}, pages = {65--78}, year = {2012}, url = {https://doi.org/10.3233/jid-2012-0012}, doi = {10.3233/JID-2012-0012}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/MoonKLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisis/KimPLYY12, author = {Sungkwan Kim and Junyoung Park and Kyungroul Lee and Ilsun You and Kangbin Yim}, title = {A Brief Survey on Rootkit Techniques in Malicious Codes}, journal = {J. Internet Serv. Inf. Secur.}, volume = {2}, number = {3/4}, pages = {134--147}, year = {2012}, url = {https://doi.org/10.22667/JISIS.2012.11.31.134}, doi = {10.22667/JISIS.2012.11.31.134}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisis/KimPLYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12, author = {Hyun{-}Woo Lee and Hoon Choi and Beom{-}Ju Shin and Kyung{-}Hoon Kim and Kyung Whan Kim and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Jae{-}Hwan Kim and Eun Young Park and Jong{-}Sam Kim and Jong{-}Hwan Kim and Jin{-}Hee Cho and Nam Gyu Rye and Jun Hyun Chun and Yunsaing Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS} Latency Controller for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1436--1447}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2191027}, doi = {10.1109/JSSC.2012.2191027}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/SureshRKRK12, author = {Suresh Sundaram and Cui Run and Hyoung Joong Kim and Thomas G. Robertazzi and Young{-}Il Kim}, title = {Scheduling Second-Order Computational Load in Master-Slave Paradigm}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {48}, number = {1}, pages = {780--793}, year = {2012}, url = {https://doi.org/10.1109/TAES.2012.6129670}, doi = {10.1109/TAES.2012.6129670}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/SureshRKRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/YuPCCK12, author = {Ho Yu and Young Jin Park and Il Seop Choi and Wan Kyun Chung and Kihwan Kim}, title = {Design and control of multi-degree-of-freedom shroud nozzle hydraulic manipulator in steel manufacturing}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {538--543}, year = {2012}, crossref = {DBLP:conf/case/2012}, url = {https://doi.org/10.1109/CoASE.2012.6386308}, doi = {10.1109/COASE.2012.6386308}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/YuPCCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeeLOOLLK12, author = {Seungsin Lee and Seok Lee and Byungtae Oh and Kwan{-}Jung Oh and Ilsoon Lim and Jin Young Lee and Changyeong Kim}, title = {3D video format and compression methods for Efficient Multiview Video Transfer}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {10--14}, year = {2012}, crossref = {DBLP:conf/ccnc/2012}, url = {https://doi.org/10.1109/CCNC.2012.6181054}, doi = {10.1109/CCNC.2012.6181054}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeeLOOLLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/KimK12, author = {Young Il Kim and Kyung{-}il Kim}, title = {State Anxiety and the Processing of Covariation Information in Causal Reasoning}, booktitle = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, year = {2012}, crossref = {DBLP:conf/cogsci/2012}, url = {https://mindmodeling.org/cogsci2012/papers/0558/index.html}, timestamp = {Wed, 17 Apr 2024 12:44:19 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/KimK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ParkK12, author = {Youngjun Park and Kyung{-}il Kim}, title = {Judgment under uncertainty is not always certainty-oriented}, booktitle = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, year = {2012}, crossref = {DBLP:conf/cogsci/2012}, url = {https://mindmodeling.org/cogsci2012/papers/0671/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ParkK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-ipmva/ChoiKKC12, author = {Jae Hyung Choi and Jung Gap Kuk and Young Il Kim and Nam Ik Cho}, title = {Estimating the coordinates of pillars and posts in the parking lots for intelligent parking assist system}, booktitle = {Image Processing: Machine Vision Applications V, Burlingame, California, USA, January 22-26, 2012}, pages = {83000L}, year = {2012}, crossref = {DBLP:conf/ei-ipmva/2012}, url = {https://doi.org/10.1117/12.908333}, doi = {10.1117/12.908333}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-ipmva/ChoiKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KimKM12, author = {Yu{-}Doo Kim and Mohan Kim and Il{-}Young Moon}, title = {Web Based File Transmission System for Delivery of E-Training Contents}, booktitle = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, pages = {272--276}, year = {2012}, crossref = {DBLP:conf/fgit/2012el}, url = {https://doi.org/10.1007/978-3-642-35603-2\_40}, doi = {10.1007/978-3-642-35603-2\_40}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KimKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeSKKP12, author = {Ki{-}Young Lee and Il{-}Hee Seo and Jeong{-}Joon Kim and Eun{-}Young Kang and Jong{-}Jin Park}, title = {A Study on Improved Similarity Measure Algorithm for Text-Based Document}, booktitle = {Future Generation Information Technology - 4th International Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, pages = {181--187}, year = {2012}, crossref = {DBLP:conf/fgit/2012}, url = {https://doi.org/10.1007/978-3-642-35585-1\_25}, doi = {10.1007/978-3-642-35585-1\_25}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/fgit/LeeSKKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/KimK12, author = {Il Young Kim and Jong{-}Kook Kim}, title = {Enhancing the Performance of a Distributed Mobile Computing Environment by Topology Construction}, booktitle = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {II}}, pages = {21--30}, year = {2012}, crossref = {DBLP:conf/ica3pp/2012-2}, url = {https://doi.org/10.1007/978-3-642-33065-0\_3}, doi = {10.1007/978-3-642-33065-0\_3}, timestamp = {Fri, 31 Jul 2020 08:38:55 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/KimK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimKSC12, author = {Young Sung Kim and Bae Sun Kim and Yong{-}Ki Son and Ilyeon Cho}, title = {Nonintrusive measurement of heart rate using a flexible sensor array}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {484--485}, year = {2012}, crossref = {DBLP:conf/iccel/2012}, url = {https://doi.org/10.1109/ICCE.2012.6161986}, doi = {10.1109/ICCE.2012.6161986}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimKSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimLLLL12, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Pil Lee and Jae{-}Gwang Lee and Jae{-}Kwang Lee}, title = {Development of Mobile Hybrid MedIntegraWeb App for Interoperation between u-RPMS and {HIS}}, booktitle = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {III}}, pages = {248--258}, year = {2012}, crossref = {DBLP:conf/iccsa/2012-3}, url = {https://doi.org/10.1007/978-3-642-31137-6\_19}, doi = {10.1007/978-3-642-31137-6\_19}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimLLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimKNC12, author = {Youngjun Kim and Eung Kyu Kim and Byoung Woo Nam and Ilyoung Chong}, title = {Service composition using new {DSON} platform architecture for {M2M} service}, booktitle = {2012 International Conference on Information Networking, {ICOIN} 2012, Bali, Indonesia, February 1-3, 2012}, pages = {114--119}, year = {2012}, crossref = {DBLP:conf/icoin/2012}, url = {https://doi.org/10.1109/ICOIN.2012.6164360}, doi = {10.1109/ICOIN.2012.6164360}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimKNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChungLKCN12, author = {Yeonjoon Chung and Sun{-}Hwa Lim and Young{-}Il Kim and Jina Choi and Hyun{-}sung Na}, title = {Design and implementation of {MBS} server for mobile {IPTV} service in WiMAX networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {384--385}, year = {2012}, crossref = {DBLP:conf/ictc/2012}, url = {https://doi.org/10.1109/ICTC.2012.6386865}, doi = {10.1109/ICTC.2012.6386865}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChungLKCN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/Chowdhury0KRJ12, author = {Mostafa Zaman Chowdhury and Tuan Nguyen and Young{-}Il Kim and Won Ryu and Yeong Min Jang}, title = {Bandwidth adaptation for scalable videos over wireless networks}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {407--410}, year = {2012}, crossref = {DBLP:conf/icufn/2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261739}, doi = {10.1109/ICUFN.2012.6261739}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/Chowdhury0KRJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimHYKKKYLPSLRC12, author = {Hyunsik Kim and Sang{-}Wook Han and Junhyeok Yang and Sung{-}il Kim and Young Kim and Sangwook Kim and Dae{-}Kun Yoon and Jun Su Lee and Jae{-}Chul Park and Younghun Sung and Seong{-}Deok Lee and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {A sampling-based 128{\texttimes}128 direct photon-counting X-ray image sensor with 3 energy bins and spatial resolution of 60{\(\mu\)}m/pixel}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {110--112}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176941}, doi = {10.1109/ISSCC.2012.6176941}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimHYKKKYLPSLRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/JungSK12, author = {Il{-}Lyong Jung and Jae{-}Young Sim and Chang{-}Su Kim}, title = {Histogram-Based stereo matching under varying illumination conditions}, booktitle = {2012 Visual Communications and Image Processing, {VCIP} 2012, San Diego, CA, USA, November 27-30, 2012}, pages = {1--5}, year = {2012}, crossref = {DBLP:conf/vcip/2012}, url = {https://doi.org/10.1109/VCIP.2012.6410819}, doi = {10.1109/VCIP.2012.6410819}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vcip/JungSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1208-4270, author = {Kyu{-}Young Whang and Tae{-}Seob Yun and Yeon{-}Mi Yeo and Il{-}Yeol Song and Hyuk{-}Yoon Kwon and In{-}Joong Kim}, title = {{ODYS:} {A} Massively-Parallel Search Engine Using a {DB-IR} Tightly-Integrated Parallel {DBMS}}, journal = {CoRR}, volume = {abs/1208.4270}, year = {2012}, url = {http://arxiv.org/abs/1208.4270}, eprinttype = {arXiv}, eprint = {1208.4270}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1208-4270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/VinhLHNKHL11, author = {La The Vinh and Sungyoung Lee and Le Xuan Hung and Hung Quoc Ngo and Hyoung{-}Il Kim and Manhyung Han and Young{-}Koo Lee}, title = {Semi-Markov conditional random fields for accelerometer-based activity recognition}, journal = {Appl. Intell.}, volume = {35}, number = {2}, pages = {226--241}, year = {2011}, url = {https://doi.org/10.1007/s10489-010-0216-5}, doi = {10.1007/S10489-010-0216-5}, timestamp = {Wed, 26 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/VinhLHNKHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/LeeCSSKLK11, author = {Donghun Lee and Doyoung Chang and Young{-}Il Shin and Donghoon Son and Tae{-}wan Kim and Kyu{-}Yeul Lee and JongWon Kim}, title = {Design and Application of a Wire-Driven Bidirectional Telescopic Mechanism for Workspace Expansion with a Focus on Shipbuilding Tasks}, journal = {Adv. Robotics}, volume = {25}, number = {6-7}, pages = {699--715}, year = {2011}, url = {https://doi.org/10.1163/016918611X563265}, doi = {10.1163/016918611X563265}, timestamp = {Fri, 23 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ar/LeeCSSKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimKH11, author = {Sung{-}Il Kim and Young{-}Chai Ko and Jun Heo}, title = {Outage Analysis of Amplify-and-Forward Partial Relay Selection Scheme with Multiple Interferers}, journal = {{IEEE} Commun. Lett.}, volume = {15}, number = {12}, pages = {1281--1283}, year = {2011}, url = {https://doi.org/10.1109/LCOMM.2011.100611.110441}, doi = {10.1109/LCOMM.2011.100611.110441}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KimKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimLL11, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Kwang Lee}, title = {Study on Efficient Telemedicine System Design for Ambulance Emergency Situation}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {9}, number = {1}, pages = {89--94}, year = {2011}, url = {https://doi.org/10.6109/jicce.2011.9.1.089}, doi = {10.6109/JICCE.2011.9.1.089}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimM11, author = {Yu{-}Doo Kim and Il{-}Young Moon}, title = {{P2P} Network Simulation System for Performance Evaluation in Convergence Networks}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {9}, number = {4}, pages = {396--400}, year = {2011}, url = {https://doi.org/10.6109/jicce.2011.9.4.396}, doi = {10.6109/JICCE.2011.9.4.396}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/ParkKC11, author = {Jae{-}Hyeon Park and Young{-}Il Kim and Yeon{-}Gyu Choo}, title = {Chaotic Predictability for Time Series Forecasts of Maximum Electrical Power using the Lyapunov Exponent}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {9}, number = {4}, pages = {369--374}, year = {2011}, url = {https://doi.org/10.6109/jicce.2011.9.4.369}, doi = {10.6109/JICCE.2011.9.4.369}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/ParkKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/MinPJKC11, author = {Young{-}il Min and Hwan Min Park and Jun{-}Hee Jang and Keun{-}dae Kim and Hyung{-}Jin Choi}, title = {The Enhanced Decoding Method for {QO-SFBC} System in Frequency Selective Fading Channel Environment}, journal = {J. Networks}, volume = {6}, number = {3}, pages = {378--386}, year = {2011}, url = {https://doi.org/10.4304/jnw.6.3.378-386}, doi = {10.4304/JNW.6.3.378-386}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/MinPJKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7 Gb/s/pin 1 Gbit {GDDR5} {SDRAM} With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {107--118}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2085991}, doi = {10.1109/JSSC.2010.2085991}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HongPJKSKYLLPKS11, author = {Dongwan Hong and Sung{-}Soo Park and Young Seok Ju and Sheehyun Kim and Jong{-}Yeon Shin and Sujung Kim and Saet{-}Byeol Yu and Won{-}Chul Lee and Seungbok Lee and Hansoo Park and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{TIARA:} a database for accurate analysis of multiple personal genomes based on cross-technology}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {883--888}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1101}, doi = {10.1093/NAR/GKQ1101}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HongPJKSKYLLPKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChoCKL11, author = {Jinsung Cho and Ilyong Cho and Dae{-}Young Kim and Ben Lee}, title = {A combined approach for QoS-guaranteed and low-power video decoding}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {2}, pages = {651--657}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.5955204}, doi = {10.1109/TCE.2011.5955204}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChoCKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLP11, author = {Il Han Kim and David James Love and Seung Young Park}, title = {Optimal and Successive Approaches to Signal Design for Multiple Antenna Physical Layer Multicasting}, journal = {{IEEE} Trans. Commun.}, volume = {59}, number = {8}, pages = {2316--2327}, year = {2011}, url = {https://doi.org/10.1109/TCOMM.2011.060911.080464}, doi = {10.1109/TCOMM.2011.060911.080464}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/KimSC11, author = {Hyeong{-}Il Kim and Young{-}Sung Shin and Jae{-}Woo Chang}, title = {A Grid-Based Cloaking Scheme for Continuous Queries in Distributed Systems}, booktitle = {11th {IEEE} International Conference on Computer and Information Technology, {CIT} 2011, Pafos, Cyprus, 31 August-2 September 2011}, pages = {75--82}, year = {2011}, crossref = {DBLP:conf/IEEEcit/2011}, url = {https://doi.org/10.1109/CIT.2011.87}, doi = {10.1109/CIT.2011.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/KimSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciasg/KimKC11, author = {Young{-}Il Kim and Jong{-}min Ko and Seung{-}Hwan Choi}, title = {Methods for generating TLPs (typical load profiles) for smart grid-based energy programs}, booktitle = {{IEEE} Symposium on Computational Intelligence Applications In Smart Grid, {CIASG} 2011, 11-15 April, 2011, Paris, France}, pages = {49--54}, year = {2011}, crossref = {DBLP:conf/ciasg/2011}, url = {https://doi.org/10.1109/CIASG.2011.5953331}, doi = {10.1109/CIASG.2011.5953331}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ciasg/KimKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/LeeKYKJJHKSK11, author = {Dong{-}Hoon Lee and Kwang{-}Il Kim and Geun{-}Soo Yun and Min{-}Sung Kim and Ji{-}Hye Jang and Liyan Jin and Pan{-}Bong Ha and Young{-}Hee Kim and Kil{-}Soo Seo and Nam{-}Kyun Kim}, title = {Design of {HBLED} Driver {IC} with a Built-In Bandgap Reference Voltage Generator of Stable Output Current}, booktitle = {14th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2011, Dalian, China, August 24-26, 2011}, pages = {229--232}, year = {2011}, crossref = {DBLP:conf/cse/2011}, url = {https://doi.org/10.1109/CSE.2011.49}, doi = {10.1109/CSE.2011.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/LeeKYKJJHKSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/KimVFKKKL11, author = {Jae{-}Myung Kim and Vladimir Verjovkin and Sergey A. Fedorov and Younghun Kim and Dae{-}Il Kim and Sungjin Kim and Sang{-}Won Lee}, title = {Altibase {DSM:} CTable for pull-based processing in {SPE}}, booktitle = {Proceedings of the Fifth {ACM} International Conference on Distributed Event-Based Systems, {DEBS} 2011, New York, NY, USA, July 11-15, 2011}, pages = {377--378}, year = {2011}, crossref = {DBLP:conf/debs/2011}, url = {https://doi.org/10.1145/2002259.2002318}, doi = {10.1145/2002259.2002318}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/debs/KimVFKKKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KangCJHK11, author = {Hyun{-}il Kang and Won{-}Suk Choi and Yeon{-}Ho Jung and Hyun{-}suk Hwang and Do{-}young Kim}, title = {Structural and Electrical Properties of High Temperature Polycrystalline Silicon Films on Molybdenum Substrate}, booktitle = {Control and Automation, and Energy System Engineering - International Conferences, {CA} and {CES3} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, pages = {96--101}, year = {2011}, crossref = {DBLP:conf/fgit/2011ca}, url = {https://doi.org/10.1007/978-3-642-26010-0\_11}, doi = {10.1007/978-3-642-26010-0\_11}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgit/KangCJHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeKLKKS11, author = {Ki{-}Young Lee and Tae{-}Min Kwun and Myung{-}Jae Lim and Kyu{-}Ho Kim and Jeong{-}Lae Kim and Il{-}Hee Seo}, title = {Intelligent Music Recommendation System Based on Cloud Computing}, booktitle = {Multimedia, Computer Graphics and Broadcasting - International Conference, MulGraB 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {II}}, pages = {169--174}, year = {2011}, crossref = {DBLP:conf/fgit/2011mulgrab2}, url = {https://doi.org/10.1007/978-3-642-27186-1\_21}, doi = {10.1007/978-3-642-27186-1\_21}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/LeeKLKKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JinYKLK11, author = {Hyojeong Jin and Il Yeon Yeo and Youn{-}Gyou Kook and Byung{-}Hee Lee and Jaesoo Kim}, title = {R{\&}D Information System to Support Knowledge Creation}, booktitle = {{HCI} International 2011 - Posters' Extended Abstracts - International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, pages = {576--579}, year = {2011}, crossref = {DBLP:conf/hci/2011-22}, url = {https://doi.org/10.1007/978-3-642-22098-2\_115}, doi = {10.1007/978-3-642-22098-2\_115}, timestamp = {Wed, 24 May 2017 08:28:59 +0200}, biburl = {https://dblp.org/rec/conf/hci/JinYKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkLSKBL11, author = {Myon{-}Woong Park and Soo{-}Hong Lee and Young Tae Sohn and Jae Kwan Kim and Ilju Bae and Jae{-}Kwon Lim}, title = {Development of a System for Proactive Information Service}, booktitle = {Human Interface and the Management of Information. Interacting with Information - Symposium on Human Interface 2011, Held as Part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, pages = {577--584}, year = {2011}, crossref = {DBLP:conf/hci/2011-11}, url = {https://doi.org/10.1007/978-3-642-21793-7\_65}, doi = {10.1007/978-3-642-21793-7\_65}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ParkLSKBL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JiLKKLSCBB11, author = {Su Mi Ji and Beom Seok Lee and Kyoung Il Kang and Sung Gook Kim and Cheolwhan Lee and Oh{-}Young Song and Joon Yeon Choeh and Ran Baik and Sung Wook Baik}, title = {A Study on the Generation of {OLAP} Data Cube Based on 3D Visualization Interaction}, booktitle = {International Conference on Computational Science and Its Applications, {ICCSA} 2011, Santander, Spain, June 20-23, 2011}, pages = {231--234}, year = {2011}, crossref = {DBLP:conf/iccsa/2011-w}, url = {https://doi.org/10.1109/ICCSA.2011.40}, doi = {10.1109/ICCSA.2011.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/JiLKKLSCBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimLL11, author = {Young{-}Hyuk Kim and Il{-}Kwon Lim and Jae{-}Kwang Lee}, title = {Mobile Based {HIGHT} Encryption for Secure Biometric Information Transfer of {USN} Remote Patient Monitoring System}, booktitle = {Computational Science and Its Applications - {ICCSA} 2011 - International Conference, Santander, Spain, June 20-23, 2011. Proceedings, Part {V}}, pages = {83--95}, year = {2011}, crossref = {DBLP:conf/iccsa/2011-5}, url = {https://doi.org/10.1007/978-3-642-21934-4\_8}, doi = {10.1007/978-3-642-21934-4\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/KimOKKKC11, author = {Young{-}Jun Kim and Do{-}Eun Oh and Jong{-}min Ko and Young{-}Il Kim and Shin Jae Kang and Seung{-}Hwan Choi}, title = {A Remote Firmware Upgrade Method of {NAN} and {HAN} Devices to Support AMI's Energy Services}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, pages = {303--310}, year = {2011}, crossref = {DBLP:conf/ichit/2011-2}, url = {https://doi.org/10.1007/978-3-642-24106-2\_40}, doi = {10.1007/978-3-642-24106-2\_40}, timestamp = {Fri, 25 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/KimOKKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/OhKKCY11, author = {Do{-}Eun Oh and Young{-}Il Kim and Young{-}Jun Kim and Seung{-}Hwan Choi and Il{-}Kwon Yang}, title = {A Study on the Integration of Power System Operational Data and Application}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, pages = {355--362}, year = {2011}, crossref = {DBLP:conf/ichit/2011-2}, url = {https://doi.org/10.1007/978-3-642-24106-2\_46}, doi = {10.1007/978-3-642-24106-2\_46}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/OhKKCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimVH11, author = {Young{-}Man Kim and Anh{-}Duy Vu and Jea{-}Il Han}, title = {Tracking a moving target in wireless sensor networks using {PDR} sensors}, booktitle = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, pages = {394--398}, year = {2011}, crossref = {DBLP:conf/icoin/2011}, url = {https://doi.org/10.1109/ICOIN.2011.5723116}, doi = {10.1109/ICOIN.2011.5723116}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimVH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinYCKKLA11, author = {Chang{-}Seob Shin and Min{-}Ho Yoon and Kang{-}Il Cho and Young{-}Ju Kim and Kwang{-}Soo Kim and Seung{-}Hoon Lee and Gil{-}Cho Ahn}, title = {A 6.25 MHz {BW} 8-OSR fifth-order single-stage sigma-delta {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1117--1120}, year = {2011}, crossref = {DBLP:conf/iscas/2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937766}, doi = {10.1109/ISCAS.2011.5937766}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinYCKKLA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {498--500}, year = {2011}, crossref = {DBLP:conf/isscc/2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746414}, doi = {10.1109/ISSCC.2011.5746414}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/YuKKHH11, author = {Hwanjo Yu and Ilhwan Ko and Youngdae Kim and Seung{-}won Hwang and Wook{-}Shin Han}, title = {Exact indexing for support vector machines}, booktitle = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2011, Athens, Greece, June 12-16, 2011}, pages = {709--720}, year = {2011}, crossref = {DBLP:conf/sigmod/2011}, url = {https://doi.org/10.1145/1989323.1989398}, doi = {10.1145/1989323.1989398}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/YuKKHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucma/LimKLP11, author = {Il{-}Kwon Lim and Young{-}Hyuk Kim and Jae{-}Kwang Lee and Woo{-}Jun Park}, title = {Designing a Video Control System for a Traffic Monitoring and Controlling System of Intelligent Traffic Systems}, booktitle = {Ubiquitous Computing and Multimedia Applications - Second International Conference, {UCMA} 2011, Daejeon, Korea, April 13-15, 2011. Proceedings, Part {I}}, pages = {91--99}, year = {2011}, crossref = {DBLP:conf/ucma/2011-1}, url = {https://doi.org/10.1007/978-3-642-20975-8\_10}, doi = {10.1007/978-3-642-20975-8\_10}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ucma/LimKLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucma/RheeKJSPL11, author = {Ill{-}Keun Rhee and Sang{-}Am Kim and Keewan Jung and Erchin Serpedin and Jong{-}Min Park and Young{-}Hun Lee}, title = {Uplink Interference Adjustment for Mobile Satellite Service in Multi-beam Environments}, booktitle = {Ubiquitous Computing and Multimedia Applications - Second International Conference, {UCMA} 2011, Daejeon, Korea, April 13-15, 2011. Proceedings, Part {II}}, pages = {371--380}, year = {2011}, crossref = {DBLP:conf/ucma/2011-2}, url = {https://doi.org/10.1007/978-3-642-20998-7\_46}, doi = {10.1007/978-3-642-20998-7\_46}, timestamp = {Thu, 18 Oct 2018 15:51:42 +0200}, biburl = {https://dblp.org/rec/conf/ucma/RheeKJSPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimJKLKKMYKL11, author = {Sung Il Kim and Jae Young Jun and Jong{-}Kook Kim and Kyung{-}Chan Lee and Gyu Seong Kang and Taek{-}Soo Kim and Hee Kyoung Moon and Hye Chan Yoon and Hyungmin Kim and Sang{-}Hoon Lee}, title = {Dynamic Resource Management for a Cell-Based Distributed Mobile Computing Environment}, booktitle = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, pages = {174--184}, year = {2011}, crossref = {DBLP:conf/uic/2011}, url = {https://doi.org/10.1007/978-3-642-23641-9\_16}, doi = {10.1007/978-3-642-23641-9\_16}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/KimJKLKKMYKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/OhPKLK11, author = {Seung{-}Hun Oh and Il{-}Kyun Park and Seok{-}Kap Ko and Byung{-}Tak Lee and Young{-}Sun Kim}, title = {Transitional approaches in {DTN} routing algorithm}, booktitle = {14th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2011, Brest, France, October 3-7, 2011}, pages = {1--4}, year = {2011}, crossref = {DBLP:conf/wpmc/2011}, url = {https://ieeexplore.ieee.org/document/6081588/}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/OhPKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1105-4431, author = {Mostafa Zaman Chowdhury and Bui Minh Trung and Yeong Min Jang and Young{-}Il Kim and Won Ryu}, title = {Service Level Agreement for the QoS Guaranteed Mobile {IPTV} Services over Mobile WiMAX Networks}, journal = {CoRR}, volume = {abs/1105.4431}, year = {2011}, url = {http://arxiv.org/abs/1105.4431}, eprinttype = {arXiv}, eprint = {1105.4431}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1105-4431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/NguyenLEKY10, author = {Thanh D. B. Nguyen and Young{-}Il Lim and Won{-}Hyeon Eom and Seong{-}Joon Kim and Kyung{-}Seun Yoo}, title = {Experiment and {CFD} simulation of hybrid {SNCR-SCR} using urea solution in a pilot-scale reactor}, journal = {Comput. Chem. Eng.}, volume = {34}, number = {10}, pages = {1580--1589}, year = {2010}, url = {https://doi.org/10.1016/j.compchemeng.2009.12.012}, doi = {10.1016/J.COMPCHEMENG.2009.12.012}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/NguyenLEKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhCKKSLYPLL10, author = {Jae Sub Oh and Kwang Il Choi and Young Su Kim and Min Ho Kang and Myeong Ho Song and Sung Kyu Lim and Dong Eun Yoo and Jeong Gyu Park and Hi Deok Lee and Ga Won Lee}, title = {SONOS-Type Flash Memory with HfO\({}_{\mbox{2}}\) Thinner than 4 nm as Trapping Layer Using Atomic Layer Deposition}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {590--595}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.590}, doi = {10.1587/TRANSELE.E93.C.590}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/OhCKKSLYPLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimM10, author = {Yu{-}Doo Kim and Il{-}Young Moon}, title = {Design and Implementation of Super-peer {P2P} Overlay Network Protocol and System for mobile {IPTV}}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {8}, number = {3}, pages = {295--300}, year = {2010}, url = {https://doi.org/10.6109/jicce.2010.8.3.295}, doi = {10.6109/JICCE.2010.8.3.295}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPJCK10, author = {Kwang{-}Il Oh and Lee{-}Sup Kim and Kwang{-}Il Park and Young{-}Hyun Jun and Joo{-}Sun Choi and Kinam Kim}, title = {Correction on "A 5-Gb/s/pin Transceiver for {DDR} Memory Interface With a Crosstalk Suppression Scheme" [Aug 09 2222-2232]}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {2}, pages = {497}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2038425}, doi = {10.1109/JSSC.2009.2038425}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhKPJCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChoHHKKKKCPK10, author = {Zang{-}Hee Cho and Jae{-}Yong Han and Seok{-}Il Hwang and Dae{-}Shik Kim and Kyoung{-}Nam Kim and Nam{-}Beom Kim and Seog Ju Kim and Je{-}Geun Chi and Chan{-}Woong Park and Young{-}Bo Kim}, title = {Quantitative analysis of the hippocampus using images obtained from 7.0 {T} {MRI}}, journal = {NeuroImage}, volume = {49}, number = {3}, pages = {2134--2140}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.11.002}, doi = {10.1016/J.NEUROIMAGE.2009.11.002}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ChoHHKKKKCPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KimA10, author = {Young{-}Il Kim and Benjamin M. Adams}, title = {Multivariate {SPC} for recipe preservation of batch processes}, journal = {Qual. Reliab. Eng. Int.}, volume = {26}, number = {3}, pages = {267--277}, year = {2010}, url = {https://doi.org/10.1002/qre.1053}, doi = {10.1002/QRE.1053}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/KimA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimIHK10, author = {Eun Cheol Kim and Seo Sung Il and Jun Heo and Jin Young Kim}, title = {Performance of double binary turbo coding for high speed {PLC} systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {3}, pages = {1211--1217}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5606248}, doi = {10.1109/TCE.2010.5606248}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimIHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/YouJK10, author = {Young Il You and Seok Myung Jung and Dong Keun Kim}, title = {Integrated mobile terrestrial digital multimedia broadcasting player compliant with the T-DMB, CMMB, and {ISDB-T} standards}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {488--493}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5505960}, doi = {10.1109/TCE.2010.5505960}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/YouJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KoKYYC10, author = {Yo Han Ko and Yeung Jun Kim and Hyun{-}Il Yoo and Won Young Yang and Yong Soo Cho}, title = {2-D DoA Estimation with Cell Searching for a Mobile Relay Station with Uniform Circular Array}, journal = {{IEEE} Trans. Commun.}, volume = {58}, number = {10}, pages = {2805--2809}, year = {2010}, url = {https://doi.org/10.1109/TCOMM.2010.082710.090274}, doi = {10.1109/TCOMM.2010.082710.090274}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KoKYYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimHC10, author = {Il Gyu Kim and Youngnam Han and Hyun Chung}, title = {An efficient synchronization signal structure for OFDM-based cellular systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {9}, number = {1}, pages = {99--105}, year = {2010}, url = {https://doi.org/10.1109/TWC.2010.01.090516}, doi = {10.1109/TWC.2010.01.090516}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ParkMCKK10, author = {Yoo{-}mi Park and Aekyung Moon and Young{-}Il Choi and Sang{-}Ki Kim and Sangha Kim}, title = {An Efficient Context Model for Fast Responsiveness of Context-Aware Services in Mobile Networks}, booktitle = {7th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2010, Las Vegas, NV, USA, January 9-12, 2010}, pages = {1--5}, year = {2010}, crossref = {DBLP:conf/ccnc/2010}, url = {https://doi.org/10.1109/CCNC.2010.5421850}, doi = {10.1109/CCNC.2010.5421850}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ParkMCKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KimLL10, author = {Jin{-}Il Kim and Young{-}Hun Lee and Hee{-}Hyol Lee}, title = {Development of a Mobile Language Learning Assistant System Based on Smartphone}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, pages = {321--329}, year = {2010}, crossref = {DBLP:conf/fgit/2010fgcn-2}, url = {https://doi.org/10.1007/978-3-642-17604-3\_39}, doi = {10.1007/978-3-642-17604-3\_39}, timestamp = {Mon, 19 Aug 2024 08:29:45 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KimLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ParkKS10, author = {Youngbin Park and Sung Su Kim and Il Hong Suh}, title = {Visual Recognition of Types of Structural Corridor Landmarks Using Vanishing Points Detection and Hidden Markov Models}, booktitle = {20th International Conference on Pattern Recognition, {ICPR} 2010, Istanbul, Turkey, 23-26 August 2010}, pages = {3292--3295}, year = {2010}, crossref = {DBLP:conf/icpr/2010}, url = {https://doi.org/10.1109/ICPR.2010.805}, doi = {10.1109/ICPR.2010.805}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ParkKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanVKJLK10, author = {Jea{-}Il Han and Anh{-}Duy Vu and Jin{-}Won Kim and Jun{-}Soo Jeon and Seung{-}Min Lee and Young{-}Man Kim}, title = {The fundamental functions and interfaces for the {ITU-T} {USN} middleware components}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {226--231}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674664}, doi = {10.1109/ICTC.2010.5674664}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanVKJLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKSLGSSCCRSKC10, author = {Young{-}Il Kim and Gonchigsumlaa Khishigjargal and Soon Young Song and Yong Su Lee and Park Dae Geun and Chun Sun Sim and Park Young Soo and Yeonjoon Chung and Cheol{-}Hye Cho and Won Ryu and Seong{-}Young Seo and Suk Chan Kim and Naimannaran Chuluunbandi}, title = {3D image transmission technology for mobile {IPTV}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {280--283}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674681}, doi = {10.1109/ICTC.2010.5674681}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKSLGSSCCRSKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimOVKJLH10, author = {Young{-}Man Kim and Jung{-}Hun Oh and Anh{-}Duy Vu and Jin{-}Won Kim and Jun{-}Soo Jeon and Seung{-}Min Lee and Jea{-}Il Han}, title = {Long range detection using a {PDR} sensor combined with {MIMO} antenna}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {450--454}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674802}, doi = {10.1109/ICTC.2010.5674802}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimOVKJLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKCRK10, author = {Yong Su Lee and Young{-}Il Kim and Cheol{-}Hye Cho and Won Ryu and Whan Woo Kim}, title = {{BER} (Bit Error Rate) performance according to the {FFT} input bit resolution in WiBro system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {195--196}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674270}, doi = {10.1109/ICTC.2010.5674270}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKCRK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SeoKK10, author = {Seong{-}Young Seo and Suk Chan Kim and Young Il Kim}, title = {{MS} aided {MBS} enhancement algorithm}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {101--102}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674712}, doi = {10.1109/ICTC.2010.5674712}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SeoKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongK10, author = {Soon Yong Song and Young{-}Il Kim}, title = {A method of Obtaining Macro diversity gain for multicast and broadcast service {(MBS)}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {284--285}, year = {2010}, crossref = {DBLP:conf/ictc/2010}, url = {https://doi.org/10.1109/ICTC.2010.5674682}, doi = {10.1109/ICTC.2010.5674682}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SongK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimKSMRA10, author = {Young{-}Duk Kim and Jeong{-}Ho Kang and Duk{-}Han Sun and Jeon{-}Il Moon and Young{-}Sun Ryuh and Jinung An}, title = {Design and implementation of user-friendly remote controllers for rescue robots used at fire sites}, booktitle = {2010 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 18-22, 2010, Taipei, Taiwan}, pages = {377--382}, year = {2010}, crossref = {DBLP:conf/iros/2010}, url = {https://doi.org/10.1109/IROS.2010.5649481}, doi = {10.1109/IROS.2010.5649481}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimKSMRA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7Gb/s/pin {GDDR5} {SDRAM} with 2.5ns bank-to-bank active time and no bank-group restriction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {434--435}, year = {2010}, crossref = {DBLP:conf/isscc/2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433889}, doi = {10.1109/ISSCC.2010.5433889}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/KimK10, author = {Il Young Kim and Jong{-}Kook Kim}, title = {Energy-Aware Routing Protocol for a Distributed Mobile Computing Environment}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2010, Las Vegas, Nevada, USA, July 12-15, 2010, 2 Volumes}, pages = {556--561}, year = {2010}, crossref = {DBLP:conf/pdpta/2010}, timestamp = {Tue, 07 Dec 2010 09:22:06 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/KimK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KimWS10, author = {Yi{-}Reun Kim and Kyu{-}Young Whang and Il{-}Yeol Song}, title = {Page-differential logging: an efficient and DBMS-independent approach for storing data into flash memory}, booktitle = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2010, Indianapolis, Indiana, USA, June 6-10, 2010}, pages = {363--374}, year = {2010}, crossref = {DBLP:conf/sigmod/2010}, url = {https://doi.org/10.1145/1807167.1807208}, doi = {10.1145/1807167.1807208}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KimWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1001-3720, author = {Yi{-}Reun Kim and Kyu{-}Young Whang and Il{-}Yeol Song}, title = {Page-Differential Logging: An Efficient and DBMS-independent Approach for Storing Data into Flash Memory}, journal = {CoRR}, volume = {abs/1001.3720}, year = {2010}, url = {http://arxiv.org/abs/1001.3720}, eprinttype = {arXiv}, eprint = {1001.3720}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1001-3720.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biosystems/KimKHKLLK09, author = {Hye Young Kim and Min Jung Kim and Jin Il Han and Bo Kyung Kim and Young Seek Lee and Yong{-}Sung Lee and Jin Hyuk Kim}, title = {Searching the principal genes for neural differentiation of mouse {ES} cells by factorizing eigengenes of clusters}, journal = {Biosyst.}, volume = {95}, number = {1}, pages = {17--25}, year = {2009}, url = {https://doi.org/10.1016/j.biosystems.2008.06.006}, doi = {10.1016/J.BIOSYSTEMS.2008.06.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biosystems/KimKHKLLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/SongKKLS09, author = {Il Young Song and Du Yong Kim and Young Hoon Kim and Suk{-}Jae Lee and Vladimir Shin}, title = {Distributed Fusion Receding Horizon Filtering in Linear Stochastic Systems}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2009}, year = {2009}, url = {https://doi.org/10.1155/2009/929535}, doi = {10.1155/2009/929535}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/SongKKLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KoMKHMY09, author = {Young{-}Don Ko and Pyung Moon and Chang Eun Kim and Moon{-}Ho Ham and Jae{-}Min Myoung and Ilgu Yun}, title = {Modeling and optimization of the growth rate for ZnO thin films using neural networks and genetic algorithms}, journal = {Expert Syst. Appl.}, volume = {36}, number = {2}, pages = {4061--4066}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.03.010}, doi = {10.1016/J.ESWA.2008.03.010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KoMKHMY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/CheKBK09, author = {Hong Bo Che and Jin Wook Kim and Tae Il Bae and Young Hwan Kim}, title = {Accelerating Relaxation Using Dynamic Error Prediction}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {2}, pages = {648--651}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.648}, doi = {10.1587/TRANSFUN.E92.A.648}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/CheKBK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimWKS09, author = {Yi{-}Reun Kim and Kyu{-}Young Whang and Min{-}Soo Kim and Il{-}Yeol Song}, title = {A Logical Model and Data Placement Strategies for {MEMS} Storage Devices}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {92-D}, number = {11}, pages = {2218--2234}, year = {2009}, url = {https://doi.org/10.1587/transinf.E92.D.2218}, doi = {10.1587/TRANSINF.E92.D.2218}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimWKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YooKWKYC09, author = {Hyun{-}Il Yoo and Young{-}Jun Kim and Kyung{-}Soo Woo and Jaekwon Kim and Sangboh Yun and Yong Soo Cho}, title = {A Hierarchical Preamble Design Technique for Efficient Handovers in OFDM-Based Multi-Hop Relay Systems}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {12}, pages = {3907--3910}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.3907}, doi = {10.1587/TRANSCOM.E92.B.3907}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YooKWKYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/KimSSYC09, author = {Young{-}Il Kim and Jae{-}Ju Song and Jin{-}Ho Shin and Bong{-}Jae Yi and Hoon Choi}, title = {Development of power facility management services using {RFID/USN}}, journal = {Int. J. Comput. Appl. Technol.}, volume = {34}, number = {4}, pages = {241--248}, year = {2009}, url = {https://doi.org/10.1504/IJCAT.2009.024075}, doi = {10.1504/IJCAT.2009.024075}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/KimSSYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcns/ChaKC09, author = {Jeong{-}Hee Cha and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Augmented Reality for Realistic Simulation Using Improved Snake and Picking Algorithm by Proportional Relational Expression}, journal = {Int. J. Commun. Netw. Syst. Sci.}, volume = {2}, number = {7}, pages = {687--694}, year = {2009}, url = {https://doi.org/10.4236/ijcns.2009.27079}, doi = {10.4236/IJCNS.2009.27079}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcns/ChaKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/KimMY09, author = {Jung{-}Il Kim and Won Keun Min and Young{-}Ho Yoo}, title = {Fuzzy r-Compactness on Fuzzy r-Minimal Spaces}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {9}, number = {4}, pages = {281--284}, year = {2009}, url = {https://doi.org/10.5391/IJFIS.2009.9.4.281}, doi = {10.5391/IJFIS.2009.9.4.281}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/KimMY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/KimKP09, author = {Yun{-}Young Kim and Byeong{-}Il Kim and Joo{-}Shin Park}, title = {Pareto optimisation of grillage system with multi-objectives}, journal = {Int. J. Model. Identif. Control.}, volume = {8}, number = {3}, pages = {213--221}, year = {2009}, url = {https://doi.org/10.1504/IJMIC.2009.029266}, doi = {10.1504/IJMIC.2009.029266}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmic/KimKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/KimO09, author = {Young{-}Won Kim and Il{-}Seok Oh}, title = {Coarse-to-Fine Classifier Ensemble Selection Using Clustering and Genetic Algorithms}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {23}, number = {6}, pages = {1083--1106}, year = {2009}, url = {https://doi.org/10.1142/S021800140900751X}, doi = {10.1142/S021800140900751X}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/KimO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HeoWKKS09, author = {Jun{-}Seok Heo and Kyu{-}Young Whang and Min{-}Soo Kim and Yi{-}Reun Kim and Il{-}Yeol Song}, title = {The partitioned-layer index: Answering monotone top-k queries using the convex skyline and partitioning-merging technique}, journal = {Inf. Sci.}, volume = {179}, number = {19}, pages = {3286--3308}, year = {2009}, url = {https://doi.org/10.1016/j.ins.2009.05.016}, doi = {10.1016/J.INS.2009.05.016}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HeoWKKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/PengWZWK09, author = {Yuexing Peng and Muzi Wu and Hui Zhao and Wenbo Wang and Young{-}Il Kim}, title = {Cooperative Network Coding with Soft Information Relaying in Two-way Relay Cchannels}, journal = {J. Commun.}, volume = {4}, number = {11}, pages = {849--855}, year = {2009}, url = {https://doi.org/10.4304/jcm.4.11.849-855}, doi = {10.4304/JCM.4.11.849-855}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcm/PengWZWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/KoPSK09, author = {Young Il Ko and Chang{-}Sup Park and In Chul Song and Myoung{-}Ho Kim}, title = {An efficient void resolution method for geographic routing in wireless sensor networks}, journal = {J. Syst. Softw.}, volume = {82}, number = {6}, pages = {963--973}, year = {2009}, url = {https://doi.org/10.1016/j.jss.2008.12.044}, doi = {10.1016/J.JSS.2008.12.044}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/KoPSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 0.13-{\(\mathrm{\mu}\)}m {CMOS} 6 Gb/s/pin Memory Transceiver Using Pseudo-Differential Signaling for Removing Common-Mode Noise Due to {SSN}}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {3146--3162}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2031527}, doi = {10.1109/JSSC.2009.2031527}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKPJC09, author = {Byung{-}Guk Kim and Lee{-}Sup Kim and Kwang{-}Il Park and Young{-}Hyun Jun and Soo{-}In Cho}, title = {A {DLL} With Jitter Reduction Techniques and Quadrature Phase Generation for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {5}, pages = {1522--1530}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2016993}, doi = {10.1109/JSSC.2009.2016993}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKPJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPJCK09, author = {Kwang{-}Il Oh and Lee{-}Sup Kim and Kwang{-}Il Park and Young{-}Hyun Jun and Joo{-}Sun Choi and Kinam Kim}, title = {A 5-Gb/s/pin Transceiver for {DDR} Memory Interface With a Crosstalk Suppression Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {8}, pages = {2222--2232}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2022303}, doi = {10.1109/JSSC.2009.2022303}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhKPJCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKHKCJSJKLJK09, author = {Ki{-}Tae Park and Myounggon Kang and Soonwook Hwang and Doo{-}Gon Kim and Hoosung Cho and Youngwook Jeong and Yong{-}Il Seo and Jae{-}hoon Jang and Hansoo Kim and Yeong{-}Taek Lee and Soon{-}Moon Jung and Changhyun Kim}, title = {A Fully Performance Compatible 45 nm 4-Gigabit Three Dimensional Double-Stacked Multi-Level {NAND} Flash Memory With Shared Bit-Line Structure}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {208--216}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2006437}, doi = {10.1109/JSSC.2008.2006437}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkKHKCJSJKLJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmod/WhangSKL09, author = {Kyu{-}Young Whang and Il{-}Yeol Song and Taek{-}Yoon Kim and Ki{-}Hoon Lee}, title = {The ubiquitous {DBMS}}, journal = {{SIGMOD} Rec.}, volume = {38}, number = {4}, pages = {14--22}, year = {2009}, url = {https://doi.org/10.1145/1815948.1815952}, doi = {10.1145/1815948.1815952}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmod/WhangSKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LeeK09, author = {Young{-}Il Lee and Yong{-}Gi Kim}, title = {Comparison of fuzzy implication operators by means of fuzzy relational products used for intelligent local path-planning of AUVs}, journal = {Soft Comput.}, volume = {13}, number = {6}, pages = {535--549}, year = {2009}, url = {https://doi.org/10.1007/s00500-008-0314-z}, doi = {10.1007/S00500-008-0314-Z}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LeeK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimKOPKPKJ09, author = {Young{-}Won Kim and Joo{-}Seong Kim and Jae{-}Hyuk Oh and Yoon{-}Suk Park and Jong{-}Woo Kim and Kwang{-}Il Park and Bai{-}Sun Kong and Young{-}Hyun Jun}, title = {Low-Power {CMOS} Synchronous Counter With Clock Gating Embedded Into Carry Propagation}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {8}, pages = {649--653}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2025627}, doi = {10.1109/TCSII.2009.2025627}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimKOPKPKJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/YouKJ09, author = {Young Il You and Dong Keun Kim and Seok Myung Jung}, title = {Novel {A/V} synchronization method for portable {T-DMB} players supporting {BIFS}}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {4}, pages = {1860--1865}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5373743}, doi = {10.1109/TCE.2009.5373743}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/YouKJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhengLWWK09, author = {Kan Zheng and Hang Long and Ling Wang and Wenbo Wang and Young{-}Il Kim}, title = {Design and Performance of Space-Time Precoder With Hybrid {ARQ} Transmission}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {58}, number = {4}, pages = {1816--1822}, year = {2009}, url = {https://doi.org/10.1109/TVT.2008.2003018}, doi = {10.1109/TVT.2008.2003018}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ZhengLWWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimPB09, author = {Il{-}Min Kim and Youn{-}Ok Park and Young{-}Jo Bang}, title = {Very fast detection for rate-2 quasi-orthogonal STBCs}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {1}, pages = {95--101}, year = {2009}, url = {https://doi.org/10.1109/T-WC.2009.080267}, doi = {10.1109/T-WC.2009.080267}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimPB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimPLK09, author = {Il Kim and Seung Young Park and David James Love and Sung Kim}, title = {Improved Multiuser {MIMO} Unitary Precoding Using Partial Channel State Information and Insights from the Riemannian Manifold}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {8}, pages = {4014--4023}, year = {2009}, url = {https://doi.org/10.1109/TWC.2009.071384}, doi = {10.1109/TWC.2009.071384}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimPLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/KimKKPJ09, author = {Young{-}Hun Kim and Tae{-}Jin Kim and Hyung{-}Joon Kim and Min{-}Suk Park and Hyo{-}Il Jung}, title = {Microfluidic Cell Stimulator using Bead Impact}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {426--429}, year = {2009}, crossref = {DBLP:conf/biostec/2009bd}, timestamp = {Thu, 21 May 2009 18:31:39 +0200}, biburl = {https://dblp.org/rec/conf/biostec/KimKKPJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/MoonKLO09, author = {Il{-}Chul Moon and Young{-}Min Kim and Hyun{-}Jong Lee and Alice H. Oh}, title = {Temporal Issue Trend Identifications in Blogs}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {619--626}, year = {2009}, crossref = {DBLP:conf/cse/2009}, url = {https://doi.org/10.1109/CSE.2009.343}, doi = {10.1109/CSE.2009.343}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/MoonKLO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KimM09, author = {Yu{-}Doo Kim and Il{-}Young Moon}, title = {Analysis and Comparison of Multicast Routing Protocols for Mobile {IPTV}}, booktitle = {Communication and Networking - International Conference, {FGCN/ACN} 2009, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2009, Jeju Island, Korea, December 10-12, 2009. Proceedings}, pages = {594--601}, year = {2009}, crossref = {DBLP:conf/fgit/2009fgcn}, url = {https://doi.org/10.1007/978-3-642-10844-0\_70}, doi = {10.1007/978-3-642-10844-0\_70}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KimM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WuQPZWK09, author = {Wenjun Wu and Qingyi Quan and Yuexing Peng and Kan Zheng and Wenbo Wang and Young{-}Il Kim}, title = {A Novel Observe-and-Forward Scheme in Wireless Cooperative Relaying Systems}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, year = {2009}, crossref = {DBLP:conf/globecom/2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425975}, doi = {10.1109/GLOCOM.2009.5425975}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WuQPZWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RheeKKLC09, author = {Youngho Rhee and Hyunjoo Kang and Yeojin Kim and Juyeon Lee and IlKu Chang}, title = {Expanding {SNS} Features with {CE} Devices: Space, Profile, Communication}, booktitle = {Human Interface and the Management of Information. Information and Interaction, Symposium on Human Interface 2009, Held as part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {II}}, pages = {458--467}, year = {2009}, crossref = {DBLP:conf/hci/2009-9}, url = {https://doi.org/10.1007/978-3-642-02559-4\_50}, doi = {10.1007/978-3-642-02559-4\_50}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/RheeKKLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/UmKCC09, author = {Jung{-}Ho Um and Hyeong{-}Il Kim and Young{-}Ho Choi and Jae{-}Woo Chang}, title = {A New Grid-Based Cloaking Algorithm for Privacy Protection in Location-Based Services}, booktitle = {11th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2009, 25-27 June 2009, Seoul, Korea}, pages = {362--368}, year = {2009}, crossref = {DBLP:conf/hpcc/2009}, url = {https://doi.org/10.1109/HPCC.2009.47}, doi = {10.1109/HPCC.2009.47}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/UmKCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLCCK09, author = {Byungjoo Lee and Hyukjoon Lee and Yong{-}Hoon Choi and Young{-}uk Chung and Young{-}Il Kim}, title = {A joint bandwidth allocation and routing scheme for the {IEEE} 802.16j multi-hop relay networks}, booktitle = {2009 International Conference on Information Networking, {ICOIN} 2009, Chiang Mai, Thailand, January 21-24, 2009}, pages = {1--5}, year = {2009}, crossref = {DBLP:conf/icoin/2009}, url = {https://ieeexplore.ieee.org/document/4897284/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/LeeLCCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/KhattakLHLLK09, author = {Asad Masood Khattak and Khalid Latif and Manhyung Han and Sungyoung Lee and Young{-}Koo Lee and Hyoung{-}Il Kim}, title = {Change Tracer: Tracking Changes in Web Ontologies}, booktitle = {{ICTAI} 2009, 21st {IEEE} International Conference on Tools with Artificial Intelligence, Newark, New Jersey, USA, 2-4 November 2009}, pages = {449--456}, year = {2009}, crossref = {DBLP:conf/ictai/2009}, url = {https://doi.org/10.1109/ICTAI.2009.42}, doi = {10.1109/ICTAI.2009.42}, timestamp = {Wed, 26 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictai/KhattakLHLLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/LeeKC09, author = {Na{-}Young Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Automatic 3D Model Generation based on Matching of Adaptive Control Points}, booktitle = {Proceedings of the 2009 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2009, July 13-16, 2009, Las Vegas, Nevada, USA, 2 Volumes}, pages = {928--933}, year = {2009}, crossref = {DBLP:conf/ipcv/2009}, timestamp = {Sat, 15 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipcv/LeeKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/ChangKKLLOPYKKKL09, author = {Jin{-}Yeop Chang and Jin Young Kim and Ohyuk Kwon and Chung{-}Hyeok Lee and Won Il Lee and Minhwan Oh and Un Hak Paek and Hyojin Yoon and Jeonghoon Kang and Jung Kwon Ko and Wonsik Ko and Chang{-}Keun Lee}, title = {Demo abstract: ControlCity - Integrating wireless sensor networks and building management systems}, booktitle = {Proceedings of the 8th International Conference on Information Processing in Sensor Networks, {IPSN} 2009, April 13-16, 2009, San Francisco, California, {USA}}, pages = {421--422}, year = {2009}, crossref = {DBLP:conf/ipsn/2009}, url = {https://ieeexplore.ieee.org/document/5211885/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/ChangKKLLOPYKKKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 6Gb/s/pin pseudo-differential signaling using common-mode noise rejection techniques without reference signal for {DRAM} interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {138--139}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977346}, doi = {10.1109/ISSCC.2009.4977346}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeolWCCLK09, author = {Kyoung{-}Sik Seol and Young{-}Jin Woo and Gyu{-}Hyeong Cho and Gyu{-}Ha Cho and Jae{-}Woo Lee and Sung{-}il Kim}, title = {Multiple-output step-up/down switching {DC-DC} converter with vestigial current control}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {442--443}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977498}, doi = {10.1109/ISSCC.2009.4977498}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeolWCCLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimPSCKLC09, author = {Boyon Kim and Il{-}Chan Park and Giseob Song and Wooseong Choi and Byeong{-}Yun Kim and Kyutaek Lee and Chi{-}Young Choi}, title = {A novel multisite testing techniques by using frequency synthesizer}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, year = {2009}, crossref = {DBLP:conf/itc/2009}, url = {https://doi.org/10.1109/TEST.2009.5355814}, doi = {10.1109/TEST.2009.5355814}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimPSCKLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KoKYYC09, author = {Yo Han Ko and Yeong{-}Jun Kim and Hyun{-}Il Yoo and Won Young Yang and Yong Soo Cho}, title = {DoA estimation with cell searching for mobile relay stations with uniform circular array}, booktitle = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, pages = {993--997}, year = {2009}, crossref = {DBLP:conf/pimrc/2009}, url = {https://doi.org/10.1109/PIMRC.2009.5450222}, doi = {10.1109/PIMRC.2009.5450222}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/KoKYYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocomm/ChioCAKEK09, author = {Dong Kwon Chio and Sang Hun Chun and Jeong{-}Gyun Ahn and Yong{-}Sik Kwon and Jonghoon Eom and Young Il Kim}, title = {A UDP-based protocol for mobile robot control over wireless Internet}, booktitle = {2nd International {ICST} Conference on Robot Communication and Coordination, {ROBOCOMM} 2009, Odense, Denmark, March 31 - April 2, 2009}, pages = {1--4}, year = {2009}, crossref = {DBLP:conf/robocomm/2009}, url = {https://doi.org/10.4108/ICST.ROBOCOMM2009.6076}, doi = {10.4108/ICST.ROBOCOMM2009.6076}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robocomm/ChioCAKEK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/PengWK09, author = {Yuexing Peng and Wenbo Wang and Young{-}Il Kim}, title = {Performance analysis of {OFDM} system over time-selective fading channels}, booktitle = {2009 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2009, Proceedings, Budapest, Hungary, 5-8 April 2009}, pages = {1065--1069}, year = {2009}, crossref = {DBLP:conf/wcnc/2009}, url = {https://doi.org/10.1109/WCNC.2009.4917888}, doi = {10.1109/WCNC.2009.4917888}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/PengWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/KimSK08, author = {Nam{-}Il Kim and Dong Ku Shin and Moon{-}Young Kim}, title = {Flexural-torsional buckling loads for spatially coupled stability analysis of thin-walled composite columns}, journal = {Adv. Eng. Softw.}, volume = {39}, number = {12}, pages = {949--961}, year = {2008}, url = {https://doi.org/10.1016/j.advengsoft.2008.03.001}, doi = {10.1016/J.ADVENGSOFT.2008.03.001}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/KimSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/KimDCK08, author = {Jong Ryul Kim and Kyeong{-}Hoon Do and Wan Young Chung and Il Seok Ko}, title = {A Solution of Real-World Ocst Problems with a New Tree Encoding-Based Genetic Algorithm}, journal = {Cybern. Syst.}, volume = {39}, number = {6}, pages = {603--616}, year = {2008}, url = {https://doi.org/10.1080/01969720802312504}, doi = {10.1080/01969720802312504}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cas/KimDCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BaeKK08, author = {Tae Il Bae and Jin Wook Kim and Young Hwan Kim}, title = {New Gate Models for Gate-Level Delay Calculation under Crosstalk Effects}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {91-A}, number = {12}, pages = {3488--3496}, year = {2008}, url = {https://doi.org/10.1093/ietfec/e91-a.12.3488}, doi = {10.1093/IETFEC/E91-A.12.3488}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BaeKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ByunHSK08, author = {Ilmu Byun and Hae Gwang Hwang and Young Jin Sang and Kwang Soon Kim}, title = {Robust Space Time Code for Channel Coded {MIMO} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {1}, pages = {381--384}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.1.381}, doi = {10.1093/IETCOM/E91-B.1.381}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ByunHSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCLC08, author = {Young{-}Ju Kim and Hee{-}Cheol Choi and Seung{-}Hoon Lee and Dongil Cho}, title = {A 12 b 200 kS/s 0.52 mA 0.47 mm\({}^{\mbox{2}}\) Algorithmic {A/D} Converter for {MEMS} Applications}, journal = {{IEICE} Trans. Electron.}, volume = {91-C}, number = {2}, pages = {206--212}, year = {2008}, url = {https://doi.org/10.1093/ietele/e91-c.2.206}, doi = {10.1093/IETELE/E91-C.2.206}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/AnwaryLJK08, author = {Arif Reza Anwary and Young{-}Il Lee and Hee Jung and Yong{-}Gi Kim}, title = {Unsupervised Real-time Obstacle Avoidance Technique based on a Hybrid Fuzzy Method for AUVs}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {8}, number = {1}, pages = {82--86}, year = {2008}, url = {https://doi.org/10.5391/IJFIS.2008.8.1.082}, doi = {10.5391/IJFIS.2008.8.1.082}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/AnwaryLJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaePISLKKPPLBMH08, author = {Seung{-}Jun Bae and Kwang{-}Il Park and Jeong{-}Don Ihm and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Yoon{-}Sik Park and Min{-}Sang Park and Hong{-}Kyong Lee and Sam{-}Young Bang and Gil{-}Shin Moon and Seokwon Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Sunghoon Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim and Soo{-}In Cho}, title = {An 80 nm 4 Gb/s/pin 32 bit 512 Mb {GDDR4} Graphics {DRAM} With Low Power and Low Noise Data Bus Inversion}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {121--131}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908002}, doi = {10.1109/JSSC.2007.908002}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaePISLKKPPLBMH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimNOSLKPKKKLKS08, author = {Jung{-}Sik Kim and Kyung{-}Woo Nam and Chi Sung Oh and Han Gu Sohn and Donghyuk Lee and Sooyoung Kim and Jong{-}Wook Park and Yongjun Kim and Mi{-}Jo Kim and Jin{-}Guk Kim and Hocheol Lee and Jinhyoung Kwon and Dong Il Seo and Young{-}Hyun Jun and Kinam Kim}, title = {A 512 Mb Two-Channel Mobile {DRAM} (OneDRAM) With Shared Memory Array}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {11}, pages = {2381--2389}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2004523}, doi = {10.1109/JSSC.2008.2004523}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimNOSLKPKKKLKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooLCCK08, author = {Young{-}Jin Woo and Hanh{-}Phuc Le and Gyu{-}Ha Cho and Gyu{-}Hyeong Cho and Seong{-}Il Kim}, title = {Load-Independent Control of Switching {DC-DC} Converters With Freewheeling Current Feedback}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {12}, pages = {2798--2808}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2005709}, doi = {10.1109/JSSC.2008.2005709}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooLCCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/JungLBJKWM08, author = {Mi Jung and Seok Lee and Young Tae Byun and Young Min Jhon and Sun Ho Kim and Deok{-}Ha Woo and Sun{-}il Mho}, title = {Characteristics and fabrication of nanohole array on InP semiconductor substrate using nanoporous alumina}, journal = {Microelectron. J.}, volume = {39}, number = {3-4}, pages = {526--528}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2007.07.107}, doi = {10.1016/J.MEJO.2007.07.107}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/JungLBJKWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YangPKKKH08, author = {Jin Seok Yang and Jung Ho Park and Seong{-}Il Kim and Seo Young Kim and Yong Tae Kim and Il Ki Han}, title = {{I-V} characteristics of a methanol sensor for direct methanol fuel cell {(DMFC)} as a function of deposited platinum (Pt) thickness}, journal = {Microelectron. J.}, volume = {39}, number = {9}, pages = {1140--1143}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2007.12.023}, doi = {10.1016/J.MEJO.2007.12.023}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YangPKKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KuKJPLHYKK08, author = {Jeonghun Ku and Jae{-}Jin Kim and Young{-}Chul Jung and Il Ho Park and Hyeongrae Lee and Kiwan Han and Kang Jun Yoon and In{-}Young Kim and Sun I. Kim}, title = {Brain mechanisms involved in processing unreal perceptions}, journal = {NeuroImage}, volume = {43}, number = {4}, pages = {793--800}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2008.08.011}, doi = {10.1016/J.NEUROIMAGE.2008.08.011}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KuKJPLHYKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimO08, author = {Young{-}Won Kim and Il{-}Seok Oh}, title = {Classifier ensemble selection using hybrid genetic algorithms}, journal = {Pattern Recognit. Lett.}, volume = {29}, number = {6}, pages = {796--802}, year = {2008}, url = {https://doi.org/10.1016/j.patrec.2007.12.013}, doi = {10.1016/J.PATREC.2007.12.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimPB08, author = {Il{-}Min Kim and Youn{-}Ok Park and Young{-}Jo Bang}, title = {Fast {ML} Detection for Quasi-Orthogonal STBCs of Rate 2 in Under-Determined Systems [Transactions Papers]}, journal = {{IEEE} Trans. Commun.}, volume = {56}, number = {8}, pages = {1249--1257}, year = {2008}, url = {https://doi.org/10.1109/TCOMM.2008.070019}, doi = {10.1109/TCOMM.2008.070019}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimHKB08, author = {Il Gyu Kim and Youngnam Han and Young Hoon Kim and Seung Chan Bang}, title = {Sequence hopping cell search scheme for {OFDM} cellular systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {7}, number = {5-1}, pages = {1483--1489}, year = {2008}, url = {https://doi.org/10.1109/TWC.2008.060992}, doi = {10.1109/TWC.2008.060992}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimHKB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/OhKKK08, author = {Young{-}Il Oh and Sang{-}Hoon Kim and Jong{-}Hoon Kim and Chang{-}Won Kang}, title = {Effects of Retinoic Acid-induced PKC-d on the Insulin Like Growth Factor-I (IGF-I)System is Involved in Reactive Oxygen Species {(ROS)} in {MCF-7} Cells}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2}, pages = {863--866}, year = {2008}, crossref = {DBLP:conf/bmei/2008-2}, url = {https://doi.org/10.1109/BMEI.2008.194}, doi = {10.1109/BMEI.2008.194}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/OhKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SuhHSRHPKLPL08, author = {Sang{-}Bum Suh and Joo Young Hwang and Joon{-}Young Shim and Jae{-}Min Ryu and Sungkwan Heo and Chanju Park and Chulryun Kim and Jae{-}Ra Lee and Ilpyoung Park and Hosoo Lee}, title = {Computing State Migration Between Mobile Platforms for Seamless Computing Environments}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {1216--1217}, year = {2008}, crossref = {DBLP:conf/ccnc/2008}, url = {https://doi.org/10.1109/ccnc08.2007.274}, doi = {10.1109/CCNC08.2007.274}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SuhHSRHPKLPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SuhLMJHPHYRKPLP08, author = {Sang{-}Bum Suh and Sung{-}Min Lee and Sangdok Mo and Bokdeuk Jeong and Joo Young Hwang and Chan{-}Ju Park and Sung{-}Kwan Heo and Jung{-}Hyun Yoo and Jae{-}Min Ryu and Chul{-}Ryun Kim and Seong{-}Yeol Park and Jae{-}Ra Lee and Il{-}Pyung Park and Hosoo Lee}, title = {Demonstration of the Secure {VMM} for Beyond 3G Mobile Terminal}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {1223}, year = {2008}, crossref = {DBLP:conf/ccnc/2008}, url = {https://doi.org/10.1109/ccnc08.2007.278}, doi = {10.1109/CCNC08.2007.278}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SuhLMJHPHYRKPLP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/OhKPJK08, author = {Kwang{-}Il Oh and Lee{-}Sup Kim and Kwang{-}Il Park and Young{-}Hyun Jun and Kinam Kim}, title = {A 5-Gb/s/pin transceiver for {DDR} memory interface with a crosstalk suppression scheme}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {639--642}, year = {2008}, crossref = {DBLP:conf/cicc/2008}, url = {https://doi.org/10.1109/CICC.2008.4672166}, doi = {10.1109/CICC.2008.4672166}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/OhKPJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/AnKKCP08, author = {Youngeun An and Gwangwon Kang and Il{-}Jung Kim and Hyunsook Chung and Jong{-}An Park}, title = {Shape from Focus through Laplacian Using 3D Window}, booktitle = {The Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 2, Workshops, Hainan Island, China, December 13-15, 2008}, pages = {46--50}, year = {2008}, crossref = {DBLP:conf/fgcn/2008-2}, url = {https://doi.org/10.1109/FGCN.2008.139}, doi = {10.1109/FGCN.2008.139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/AnKKCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/HongCKKJKS08, author = {Yul Pyo Hong and Young{-}Jae Choi and Tae Goo Kim and Yunsik Kwak and Ki Hyung Joe and Hyung{-}Il Kang and Seok Il Song}, title = {Energy Efficient Data Centric Storage for Sensor Networks Employing Multilevel Grid Techniques}, booktitle = {The Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 2, Workshops, Hainan Island, China, December 13-15, 2008}, pages = {133--136}, year = {2008}, crossref = {DBLP:conf/fgcn/2008-2}, url = {https://doi.org/10.1109/FGCN.2008.66}, doi = {10.1109/FGCN.2008.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/HongCKKJKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimMC08, author = {Sang{-}Hee Kim and Youngsong Mun and Hyung{-}Il Choi}, title = {Improved Curvature Scale Space Based on Image Retrieval Using Dynamic Time Warping}, booktitle = {Computational Science and Its Applications - {ICCSA} 2008, International Conference, Perugia, Italy, June 30 - July 3, 2008, Proceedings, Part {II}}, pages = {32--42}, year = {2008}, crossref = {DBLP:conf/iccsa/2008-2}, url = {https://doi.org/10.1007/978-3-540-69848-7\_4}, doi = {10.1007/978-3-540-69848-7\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, year = {2008}, crossref = {DBLP:conf/isscc/2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKHKCJSJKJLKL08, author = {Ki{-}Tae Park and Doo{-}Gon Kim and Soonwook Hwang and Myounggon Kang and Hoosung Cho and Youngwook Jeong and Yong{-}Il Seo and Jae{-}hoon Jang and Hansoo Kim and Soon{-}Moon Jung and Yeong{-}Taek Lee and Changhyun Kim and Won{-}Seong Lee}, title = {A 45nm 4Gb 3-Dimensional Double-Stacked Multi-Level {NAND} Flash Memory with Shared Bitline Structure}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {510--511}, year = {2008}, crossref = {DBLP:conf/isscc/2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523281}, doi = {10.1109/ISSCC.2008.4523281}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkKHKCJSJKJLKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WooLCCK08, author = {Young{-}Jin Woo and Hanh{-}Phuc Le and Gyu{-}Ha Cho and Gyu{-}Hyeong Cho and Seong{-}Il Kim}, title = {Load-Independent Control of Switching {DC-DC} Converters with Freewheeling Current Feedback}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {446--447}, year = {2008}, crossref = {DBLP:conf/isscc/2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523249}, doi = {10.1109/ISSCC.2008.4523249}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WooLCCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/HaKC08, author = {Jeong{-}Yo Ha and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {The Content-Based Image Retrieval Method Using Multiple Features}, booktitle = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 1}, pages = {652--657}, year = {2008}, crossref = {DBLP:conf/ncm/2008-1}, url = {https://doi.org/10.1109/NCM.2008.220}, doi = {10.1109/NCM.2008.220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/HaKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/KimKC08, author = {Ki{-}Sang Kim and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Face Detection Using the Improved Feature Tracker}, booktitle = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 2}, pages = {463--469}, year = {2008}, crossref = {DBLP:conf/ncm/2008-2}, url = {https://doi.org/10.1109/NCM.2008.230}, doi = {10.1109/NCM.2008.230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/KimKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/WeonCK08, author = {Sunhee Weon and Hyung{-}Il Choi and Gye{-}Young Kim}, title = {A Study on 3D Face Modeling for Animation Matching}, booktitle = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 2}, pages = {153--158}, year = {2008}, crossref = {DBLP:conf/ncm/2008-2}, url = {https://doi.org/10.1109/NCM.2008.243}, doi = {10.1109/NCM.2008.243}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/WeonCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LongWZK08, author = {Hang Long and Wenbo Wang and Kan Zheng and Young{-}Il Kim}, title = {Two modified {L2S} interface methods for mixed modulation scheme}, booktitle = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, pages = {1--5}, year = {2008}, crossref = {DBLP:conf/pimrc/2008}, url = {https://doi.org/10.1109/PIMRC.2008.4699557}, doi = {10.1109/PIMRC.2008.4699557}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LongWZK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/SongKAS08, author = {Il Young Song and Du Yong Kim and Hyo{-}Sung Ahn and Vladimir Shin}, title = {Simultaneous pedestrian and multiple mobile robots localization using distributed extended Kalman filter}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2008, February 22-25, 2009, Bangkok, Thailand}, pages = {1065--1069}, year = {2008}, crossref = {DBLP:conf/robio/2008}, url = {https://doi.org/10.1109/ROBIO.2009.4913148}, doi = {10.1109/ROBIO.2009.4913148}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/SongKAS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sutc/ChoiKLJ08, author = {Sun Choi and Doo{-}young Kim and Do Hyeon Lee and Jae{-}Il Jung}, title = {{WAP:} Wormhole Attack Prevention Algorithm in Mobile Ad Hoc Networks}, booktitle = {{IEEE} International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing {(SUTC} 2008), 11-13 June 2008, Taichung, Taiwan}, pages = {343--348}, year = {2008}, crossref = {DBLP:conf/sutc/2008}, url = {https://doi.org/10.1109/SUTC.2008.49}, doi = {10.1109/SUTC.2008.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sutc/ChoiKLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0807-4580, author = {Yi{-}Reun Kim and Kyu{-}Young Whang and Min{-}Soo Kim and Il{-}Yeol Song}, title = {A Logical Model and Data Placement Strategies for {MEMS} Storage Devices}, journal = {CoRR}, volume = {abs/0807.4580}, year = {2008}, url = {http://arxiv.org/abs/0807.4580}, eprinttype = {arXiv}, eprint = {0807.4580}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0807-4580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/KimFK07, author = {Nam{-}Il Kim and Chung C. Fu and Moon{-}Young Kim}, title = {Dynamic stiffness matrix of non-symmetric thin-walled curved beam on Winkler and Pasternak type foundations}, journal = {Adv. Eng. Softw.}, volume = {38}, number = {3}, pages = {158--171}, year = {2007}, url = {https://doi.org/10.1016/j.advengsoft.2006.08.016}, doi = {10.1016/J.ADVENGSOFT.2006.08.016}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/KimFK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KimLKHKLLK07, author = {Hye Young Kim and Seo Eun Lee and Min Jung Kim and Jin Il Han and Bo Kyung Kim and Yong{-}Sung Lee and Young Seek Lee and Jin Hyuk Kim}, title = {Characterization and simulation of cDNA microarray spots using a novel mathematical model}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-485}, doi = {10.1186/1471-2105-8-485}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KimLKHKLLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/KimKKCPOHKNKK07, author = {Jae{-}Hun Kim and Kwanguk Kim and Deog Young Kim and Won Hyek Chang and Chang Il Park and Suk Hoon Ohn and Kiwan Han and Jeonghun Ku and Sang{-}Won Nam and In{-}Young Kim and Sun I. Kim}, title = {Virtual Environment Training System for Rehabilitation of Stroke Patients with Unilateral Neglect: Crossing the Virtual Street}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {10}, number = {1}, pages = {7--15}, year = {2007}, url = {https://doi.org/10.1089/cpb.2006.9998}, doi = {10.1089/CPB.2006.9998}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/KimKKCPOHKNKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeePPKRKKKYRLJL07, author = {Jong Doo Lee and Hae{-}Jeong Park and Eun Sook Park and Dong Goo Kim and Dong{-}wook Rha and Eung{-}Yeop Kim and Dong Ik Kim and Jae{-}Jin Kim and Mijin Yun and Young Hoon Ryu and Jinu Lee and Jae Min Jeong and Dong Soo Lee and Myung Chul Lee and Chang Il Park}, title = {Assessment of regional GABA\({}_{\mbox{A}}\) receptor binding using \({}^{\mbox{18}}\)F-fluoroflumazenil positron emission tomography in spastic type cerebral palsy}, journal = {NeuroImage}, volume = {34}, number = {1}, pages = {19--25}, year = {2007}, url = {https://doi.org/10.1016/j.neuroimage.2006.09.004}, doi = {10.1016/J.NEUROIMAGE.2006.09.004}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LeePPKRKKKYRLJL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/KimWXKI07, author = {Yanghee Kim and Quan Wei and Beijie Xu and Youngah Ko and Vessela Ilieva}, title = {MathGirls: Toward Developing Girls' Positive Attitude and Self-Efficacy through Pedagogical Agents}, booktitle = {Artificial Intelligence in Education, Building Technology Rich Learning Contexts That Work, Proceedings of the 13th International Conference on Artificial Intelligence in Education, {AIED} 2007, July 9-13, 2007, Los Angeles, California, {USA}}, pages = {119--126}, year = {2007}, crossref = {DBLP:conf/aied/2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=6767}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aied/KimWXKI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alpit/ChungLKJKK07, author = {Hee{-}Sung Chung and Young{-}Ran Lee and Jong{-}Il Kim and Young{-}Jin Jung and Sin{-}Kwan Kang and Dae{-}Eung Kim}, title = {The Embedded Prototyping System for Car Based on Object}, booktitle = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, pages = {329--334}, year = {2007}, crossref = {DBLP:conf/alpit/2007}, url = {https://doi.org/10.1109/ALPIT.2007.38}, doi = {10.1109/ALPIT.2007.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alpit/ChungLKJKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alpit/LeeKCR07, author = {Young Min Lee and Jong Bae Kim and Il Woo Choi and Sung Yul Rhew}, title = {A Study on Selection Process of Open Source Software}, booktitle = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, pages = {568--571}, year = {2007}, crossref = {DBLP:conf/alpit/2007}, url = {https://doi.org/10.1109/ALPIT.2007.83}, doi = {10.1109/ALPIT.2007.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alpit/LeeKCR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/KimDPKK07, author = {Youngrag Kim and Shuhrat Dehkanov and Heejoo Park and Jaeil Kim and Chonggun Kim}, title = {The Number of Necessary Nodes for Ad Hoc Network Areas}, booktitle = {Proceedings of The 2nd {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2007, December 11-14, 2007, Tsukuba Science City, Japan}, pages = {146--150}, year = {2007}, crossref = {DBLP:conf/apscc/2007}, url = {https://doi.org/10.1109/APSCC.2007.60}, doi = {10.1109/APSCC.2007.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/KimDPKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/JangKCOB07, author = {Yong{-}Il Jang and Ho Seok Kim and Sook{-}Kyoung Cho and Young{-}Hwan Oh and Hae{-}Young Bae}, title = {A Load Balancing Method Using Ring Network in the Grid Database}, booktitle = {Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2007 International Workshops: {DBMAN} 2007, WebETrends 2007, {PAIS} 2007 and {ASWAN} 2007, Huang Shan, China, June 16-18, 2007, Proceedings}, pages = {217--222}, year = {2007}, crossref = {DBLP:conf/apweb/2007w}, url = {https://doi.org/10.1007/978-3-540-72909-9\_23}, doi = {10.1007/978-3-540-72909-9\_23}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/apweb/JangKCOB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/KimSJKB07, author = {Ho Seok Kim and Jae{-}Jyn Shin and Yong{-}Il Jang and Gyoung Bae Kim and Hae{-}Young Bae}, title = {{RSP-DS:} Real Time Sequential Pattern Analysis over Data Streams}, booktitle = {Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2007 International Workshops: {DBMAN} 2007, WebETrends 2007, {PAIS} 2007 and {ASWAN} 2007, Huang Shan, China, June 16-18, 2007, Proceedings}, pages = {99--110}, year = {2007}, crossref = {DBLP:conf/apweb/2007w}, url = {https://doi.org/10.1007/978-3-540-72909-9\_9}, doi = {10.1007/978-3-540-72909-9\_9}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apweb/KimSJKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/HwangJLSK07, author = {Yoon{-}Cheol Hwang and Yoon{-}Su Jeong and Sang Ho Lee and Jeong{-}Young Song and Jin{-}Il Kim}, title = {Advanced Efficiency and Stability Combined Weight Based Distributed Clustering Algorithm in {MANET}}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {478--483}, year = {2007}, crossref = {DBLP:conf/fgcn/2007}, url = {https://doi.org/10.1109/FGCN.2007.51}, doi = {10.1109/FGCN.2007.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/HwangJLSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/KimKLY07, author = {Bonam Kim and Youngjoon Kim and InSung Lee and Ilsun You}, title = {Design and Implementation of a Ubiquitous {ECG} Monitoring System Using {SIP} and the Zigbee Network}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {599--604}, year = {2007}, crossref = {DBLP:conf/fgcn/2007}, url = {https://doi.org/10.1109/FGCN.2007.97}, doi = {10.1109/FGCN.2007.97}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/KimKLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/KimMK07, author = {Yu{-}Doo Kim and Il{-}Young Moon and Heau{-}Jo Kang}, title = {Performance Analysis of {TCP} over Wireless Network Using Error Model}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {501--504}, year = {2007}, crossref = {DBLP:conf/fgcn/2007}, url = {https://doi.org/10.1109/FGCN.2007.180}, doi = {10.1109/FGCN.2007.180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/KimMK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/KimSH07, author = {Jin{-}Il Kim and Jeong{-}Young Song and Yoon{-}Cheol Hwang}, title = {Location-Based Routing Algorithm Using Clustering in the {MANET}}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {527--531}, year = {2007}, crossref = {DBLP:conf/fgcn/2007}, url = {https://doi.org/10.1109/FGCN.2007.162}, doi = {10.1109/FGCN.2007.162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/KimSH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimPLK07, author = {Il Han Kim and Seung Young Park and David James Love and Sungjin Kim}, title = {Partial Channel State Information Unitary Precoding and Codebook Design for {MIMO} Broadcast Systems}, booktitle = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, pages = {1607--1611}, year = {2007}, crossref = {DBLP:conf/globecom/2007}, url = {https://doi.org/10.1109/GLOCOM.2007.309}, doi = {10.1109/GLOCOM.2007.309}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimPLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JangKC07, author = {HyoJong Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Position and Pose Computation of a Moving Camera Using Geometric Edge Matching for Visual {SLAM}}, booktitle = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, pages = {634--641}, year = {2007}, crossref = {DBLP:conf/hci/2007-3}, url = {https://doi.org/10.1007/978-3-540-73110-8\_69}, doi = {10.1007/978-3-540-73110-8\_69}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/JangKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeKC07, author = {Na{-}Young Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {3D Modeling of the Vessels from X-Ray Angiography}, booktitle = {Digital Human Modeling, First International Conference on Digital Human Modeling, {ICDHM} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, pages = {646--654}, year = {2007}, crossref = {DBLP:conf/hci/2007-12}, url = {https://doi.org/10.1007/978-3-540-73321-8\_74}, doi = {10.1007/978-3-540-73321-8\_74}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WonCKC07, author = {Sunhee Won and Mi Young Choi and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Customer-Dependent Storytelling Tool with Authoring and Viewing Functions}, booktitle = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, pages = {1000--1009}, year = {2007}, crossref = {DBLP:conf/hci/2007-3}, url = {https://doi.org/10.1007/978-3-540-73110-8\_110}, doi = {10.1007/978-3-540-73110-8\_110}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/WonCKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/SeoLPPK07, author = {Byung{-}Kuk Seo and Moon{-}Hyun Lee and Hanhoon Park and Jong{-}Il Park and Young Soo Kim}, title = {Direct-Projected {AR} Based Interactive User Interface for Medical Surgery}, booktitle = {Advances in Artificial Reality and Tele-Existence, 17th International Conference on Artificial Reality and Telexistence, {ICAT} 2007, Esbjerg, Denmark, November 28-30, 2007, Proceedings}, pages = {105--112}, year = {2007}, crossref = {DBLP:conf/icat/2007}, url = {https://doi.org/10.1109/ICAT.2007.42}, doi = {10.1109/ICAT.2007.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icat/SeoLPPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ChaKC07, author = {Jeong{-}Hee Cha and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Resolving Occlusion Method of Virtual Object in Simulation Using Snake and Picking Algorithm}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {II}}, pages = {1--8}, year = {2007}, crossref = {DBLP:conf/iccS/2007-2}, url = {https://doi.org/10.1007/978-3-540-72586-2\_1}, doi = {10.1007/978-3-540-72586-2\_1}, timestamp = {Tue, 08 Nov 2022 08:34:34 +0100}, biburl = {https://dblp.org/rec/conf/iccS/ChaKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KoCKC07, author = {Kyong{-}Cheol Ko and Young Min Cheon and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Robust Scene Change Detection Algorithm for Flashlights}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {I}}, pages = {1003--1013}, year = {2007}, crossref = {DBLP:conf/iccsa/2007-1}, url = {https://doi.org/10.1007/978-3-540-74472-6\_82}, doi = {10.1007/978-3-540-74472-6\_82}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KoCKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKJ07, author = {Do Hyeon Lee and Doo{-}young Kim and Jae{-}Il Jung}, title = {Mobile Agent Based Intrusion Detection System Adopting Hidden Markov Model}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, pages = {122--130}, year = {2007}, crossref = {DBLP:conf/iccsa/2007-2}, url = {https://doi.org/10.1007/978-3-540-74477-1\_12}, doi = {10.1007/978-3-540-74477-1\_12}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkKC07, author = {Jin{-}Young Park and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Image Retrieval Using by Skin Color and Shape Feature}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {I}}, pages = {1045--1053}, year = {2007}, crossref = {DBLP:conf/iccsa/2007-1}, url = {https://doi.org/10.1007/978-3-540-74472-6\_86}, doi = {10.1007/978-3-540-74472-6\_86}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLCCKS07, author = {Keehoon Kim and Jongwon Lee and Wan Kyun Chung and Seungmoon Choi and Young Soo Kim and Il Hong Suh}, title = {A Noble Bilateral Teleoperation System for Human Guided Spinal Fusion}, booktitle = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, pages = {940--946}, year = {2007}, crossref = {DBLP:conf/icra/2007}, url = {https://doi.org/10.1109/ROBOT.2007.363106}, doi = {10.1109/ROBOT.2007.363106}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/KimLCCKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/BaeKSHKAPL07, author = {Tae{-}Wuk Bae and Mu{-}Yeon Kim and In{-}Ho Song and SooYoung Ha and Young{-}Choon Kim and Sang{-}Ho Ahn and Min{-}Ho Park and Kuhn{-}Il Lee}, title = {Design and Implementation of Image Processing Board for the Equipment Which Inspects the Parts of the Automobile using Stereo Vision}, booktitle = {Proceedings of the 2007 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2007, June 25-28, 2007, Las Vegas Nevada, {USA}}, pages = {182--186}, year = {2007}, crossref = {DBLP:conf/ipcv/2007}, timestamp = {Fri, 04 Jan 2008 10:42:23 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/BaeKSHKAPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {492--617}, year = {2007}, crossref = {DBLP:conf/isscc/2007}, url = {https://doi.org/10.1109/ISSCC.2007.373509}, doi = {10.1109/ISSCC.2007.373509}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKPJC07, author = {Byung{-}Guk Kim and Lee{-}Sup Kim and Kwang{-}Il Park and Young{-}Hyun Jun and Soo{-}In Cho}, title = {A {DLL} with Jitter-Reduction Techniques for {DRAM} Interfaces}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {496--497}, year = {2007}, crossref = {DBLP:conf/isscc/2007}, url = {https://doi.org/10.1109/ISSCC.2007.373511}, doi = {10.1109/ISSCC.2007.373511}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKPJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtsummit/SeoKYK07, author = {Young Ae Seo and Chang{-}Hyun Kim and Seong{-}il Yang and Young{-}Gil Kim}, title = {Getting professional translation through user interaction}, booktitle = {Proceedings of Machine Translation Summit {XI:} Papers, Copenhagen, Denmark, September 10-14, 2007}, year = {2007}, crossref = {DBLP:conf/mtsummit/2007}, url = {https://aclanthology.org/2007.mtsummit-papers.55}, timestamp = {Mon, 25 Oct 2021 15:03:55 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/SeoKYK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/PengZ0KL07, author = {Yuexing Peng and Kan Zheng and Wenbo Wang and Young{-}Il Kim and Yong Su Lee}, title = {Iterative Partial-Interference-Cancellation-based Detector for {OFDM} Systems over Doubly-Selective Rayleigh Fading Channels}, booktitle = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, pages = {1--5}, year = {2007}, crossref = {DBLP:conf/pimrc/2007}, url = {https://doi.org/10.1109/PIMRC.2007.4394349}, doi = {10.1109/PIMRC.2007.4394349}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/PengZ0KL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ShinKK07, author = {Young{-}Il Shin and Tae{-}Sung Kang and Hyung{-}Myung Kim}, title = {An Efficient Resource Allocation for Multiuser {MIMO-OFDM} Systems with Zero-Forcing Beamformer}, booktitle = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, pages = {1--5}, year = {2007}, crossref = {DBLP:conf/pimrc/2007}, url = {https://doi.org/10.1109/PIMRC.2007.4394219}, doi = {10.1109/PIMRC.2007.4394219}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ShinKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secperu/KimCL07, author = {Il{-}Jung Kim and Eun Young Choi and Dong Hoon Lee}, title = {Secure Mobile {RFID} system against privacy and security problems}, booktitle = {Third International Workshop on Security, Privacy and Trust in Pervasive and Ubiquitous Computing, SECPerU 2007, Istanbul, Turkey, July 19, 2007}, pages = {67--72}, year = {2007}, crossref = {DBLP:conf/secperu/2007}, url = {https://doi.org/10.1109/SECPERU.2007.10}, doi = {10.1109/SECPERU.2007.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secperu/KimCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/SukmanaLKJKRL07, author = {Husni Teja Sukmana and Jeong{-}Bae Lee and Jong{-}Il Kim and Young J. Jung and Jin B. Kwon and Kee{-}Wook Rim and Young{-}Ran Lee}, title = {A Study of Developing Virtual Prototyping by Using JavaBean Interface Tool and SystemC Engine}, booktitle = {Software Technologies for Embedded and Ubiquitous Systems, 5th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2007, Santorini Island, Greece, May 2007. Revised Papers}, pages = {389--393}, year = {2007}, crossref = {DBLP:conf/seus/2007}, url = {https://doi.org/10.1007/978-3-540-75664-4\_40}, doi = {10.1007/978-3-540-75664-4\_40}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/seus/SukmanaLKJKRL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChangKKB07, author = {Kapseok Chang and Il Gyu Kim and Young Hoon Kim and Seung Chan Bang}, title = {Open-Loop Transmit Diversity for Broadcast Channel Transmission in {E-UTRA}}, booktitle = {Proceedings of the 66th {IEEE} Vehicular Technology Conference, {VTC} Fall 2007, 30 September - 3 October 2007, Baltimore, MD, {USA}}, pages = {1293--1297}, year = {2007}, crossref = {DBLP:conf/vtc/2007f}, url = {https://doi.org/10.1109/VETECF.2007.277}, doi = {10.1109/VETECF.2007.277}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChangKKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/PengYKLW07, author = {Yuexing Peng and Hongzhong Yan and Young{-}Il Kim and Yong Su Lee and Wenbo Wang}, title = {Performance of Convolutional Turbo Coded High-speed Portable Internet (WiBro) System}, booktitle = {Proceedings of the 65th {IEEE} Vehicular Technology Conference, {VTC} Spring 2007, 22-25 April 2007, Dublin, Ireland}, pages = {730--734}, year = {2007}, crossref = {DBLP:conf/vtc/2007s}, url = {https://doi.org/10.1109/VETECS.2007.160}, doi = {10.1109/VETECS.2007.160}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/PengYKLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HongRYK06, author = {Seong{-}Eui Hong and Seong{-}Hwan Rho and Young Il Yeom and Do Han Kim}, title = {HCNet: a database of heart and calcium functional network}, journal = {Bioinform.}, volume = {22}, number = {16}, pages = {2053--2054}, year = {2006}, url = {https://doi.org/10.1093/bioinformatics/btl331}, doi = {10.1093/BIOINFORMATICS/BTL331}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HongRYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/KimKCZKZK06, author = {Il{-}Gon Kim and Miyoung Kang and Jin{-}Young Choi and Peter D. Zegzhda and Maxim O. Kalinin and Dmitry P. Zegzhda and Inhye Kang}, title = {Formal Verification of Security Model Using {SPR} Tool}, journal = {Comput. Artif. Intell.}, volume = {25}, number = {5}, pages = {353--368}, year = {2006}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/348}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/KimKCZKZK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/LeeSCHKC06, author = {Byeong Il Lee and Byong{-}Hwan Son and Hyun{-}Ju Choi and Hae{-}Gil Hwang and Hye{-}Young Kim and Heung{-}Kook Choi}, title = {Modeling of myocardial contractility using parameterized super-quadric {SPECT} images}, journal = {Comput. Medical Imaging Graph.}, volume = {30}, number = {1}, pages = {43--51}, year = {2006}, url = {https://doi.org/10.1016/j.compmedimag.2005.10.004}, doi = {10.1016/J.COMPMEDIMAG.2005.10.004}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/LeeSCHKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimLKPKC06, author = {Namkug Kim and Cheol Lee and Suk{-}Ho Kang and Jae{-}Woo Park and Myung{-}Jin Kim and Young{-}Il Chang}, title = {A three-dimensional analysis of soft and hard tissue changes after a mandibular setback surgery}, journal = {Comput. Methods Programs Biomed.}, volume = {83}, number = {3}, pages = {178--187}, year = {2006}, url = {https://doi.org/10.1016/j.cmpb.2006.06.009}, doi = {10.1016/J.CMPB.2006.06.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimLKPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JangKC06, author = {Seok{-}Woo Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Estimating Motion Parameters Using a Flexible Weight Function}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {89-D}, number = {10}, pages = {2661--2669}, year = {2006}, url = {https://doi.org/10.1093/ietisy/e89-d.10.2661}, doi = {10.1093/IETISY/E89-D.10.2661}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JangKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeCKC06, author = {Seok{-}jin Lee and Seung{-}kwon Cho and Young{-}Il Kim and Kyoung{-}Rok Cho}, title = {Processing Acceleration of Broadband Wireless {MAC} in a Portable Terminal}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1680--1687}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1680}, doi = {10.1093/IETFEC/E89-A.6.1680}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeCKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkLYKSC06, author = {Il{-}Yong Park and Hyung{-}Gyu Lim and YoungHo Yoon and Min{-}Kyu Kim and Byung{-}Seop Song and Jin{-}Ho Cho}, title = {A Transcutaneous Recharging System with the Function of Bi-directional Signal Transmission for Fully-Implantable Middle Ear Hearing Devices}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1692--1694}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1692}, doi = {10.1093/IETFEC/E89-A.6.1692}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkLYKSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoonLLPPKWSC06, author = {YoungHo Yoon and Hyung{-}Gyu Lim and Jyung Hyun Lee and Hee Joon Park and Il{-}Yong Park and Min{-}Kyu Kim and Chul{-}Ho Won and Byung{-}Seop Song and Jin{-}Ho Cho}, title = {Design of a Signal Processing Module with Various Filters Characteristics for Fully Implantable Middle Ear Hearing Devices}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1695--1698}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1695}, doi = {10.1093/IETFEC/E89-A.6.1695}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoonLLPPKWSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HanKLCJ06, author = {Keun{-}Hee Han and Il{-}Gon Kim and Kang{-}Won Lee and Jin{-}Young Choi and Sang{-}Hun Jeon}, title = {Threat Evaluation Method for Distributed Network Environment}, journal = {J. Inf. Sci. Eng.}, volume = {22}, number = {4}, pages = {889--907}, year = {2006}, url = {http://www.iis.sinica.edu.tw/page/jise/2006/200607\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HanKLCJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/KimKMMY06, author = {Myoung{-}Seok Kim and Young{-}Don Ko and Tae{-}Houng Moon and Jae{-}Min Myoung and Ilgu Yun}, title = {Modeling growth rate of HfO\({}_{\mbox{2}}\) thin films grown by metal-organic molecular beam epitaxy}, journal = {Microelectron. J.}, volume = {37}, number = {2}, pages = {98--106}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2005.04.055}, doi = {10.1016/J.MEJO.2005.04.055}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/KimKMMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KimKLCK06, author = {Il{-}Gon Kim and Hyun Seok Kim and Ji{-}Yeon Lee and Jin{-}Young Choi and Inhye Kang}, title = {Secrecy Analysis of Purchase Transaction in Electronic Purses}, booktitle = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, pages = {979--984}, year = {2006}, crossref = {DBLP:conf/aina/2006}, url = {https://doi.org/10.1109/AINA.2006.296}, doi = {10.1109/AINA.2006.296}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/KimKLCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KimY06, author = {Ilhwan Kim and Heon Young Yeom}, title = {Mixing Heterogeneous Address Spaces in a Single Edge Network}, booktitle = {Management of Convergence Networks and Services, 9th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2006 Busan, Korea, September 27-29, 2006, Proceedings}, pages = {578--581}, year = {2006}, crossref = {DBLP:conf/apnoms/2006}, url = {https://doi.org/10.1007/11876601\_70}, doi = {10.1007/11876601\_70}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/KimY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TalipovJJHCK06, author = {Elmurod Talipov and Donxue Jin and JaeYoun Jung and Ilkhyu Ha and YoungJun Choi and Chonggun Kim}, title = {Path Hopping Based on Reverse {AODV} for Security}, booktitle = {Management of Convergence Networks and Services, 9th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2006 Busan, Korea, September 27-29, 2006, Proceedings}, pages = {574--577}, year = {2006}, crossref = {DBLP:conf/apnoms/2006}, url = {https://doi.org/10.1007/11876601\_69}, doi = {10.1007/11876601\_69}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/TalipovJJHCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/WooHKC06, author = {Young{-}Wook Woo and Daniel W. Hong and Seong{-}Il Kim and Byung{-}Soo Chang}, title = {SOA-Based Next Generation {OSS} Architecture}, booktitle = {Management of Convergence Networks and Services, 9th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2006 Busan, Korea, September 27-29, 2006, Proceedings}, pages = {393--402}, year = {2006}, crossref = {DBLP:conf/apnoms/2006}, url = {https://doi.org/10.1007/11876601\_40}, doi = {10.1007/11876601\_40}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/WooHKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurongi/KangPK06, author = {Namhi Kang and Ilkyun Park and Younghan Kim}, title = {Ubiquitous Zone Networking Technologies for Multi-hop Based Wireless Communications}, booktitle = {Self-Organizing Systems, First International Workshop, {IWSOS} 2006, and Third International Workshop on New Trends in Network Architectures and Services, EuroNGI 2006, Passau, Germany, September 18-20, 2006, Proceedings}, pages = {233--235}, year = {2006}, crossref = {DBLP:conf/eurongi/2006}, url = {https://doi.org/10.1007/11822035\_19}, doi = {10.1007/11822035\_19}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurongi/KangPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/KangKCJKB06, author = {Dong{-}Jae Kang and Young{-}Ho Kim and Gyu{-}Il Cha and Sung{-}In Jung and Myung{-}Joon Kim and Hae{-}Young Bae}, title = {Design and Implementation of Zero-Copy Data Path for Efficient File Transmission}, booktitle = {High Performance Computing and Communications, Second International Conference, {HPCC} 2006, Munich, Germany, September 13-15, 2006, Proceedings}, pages = {350--359}, year = {2006}, crossref = {DBLP:conf/hpcc/2006}, url = {https://doi.org/10.1007/11847366\_36}, doi = {10.1007/11847366\_36}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/KangKCJKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/KimKHC06, author = {Hyun Seok Kim and Il{-}Gon Kim and Keun{-}Hee Han and Jin{-}Young Choi}, title = {Security and Privacy Analysis of {RFID} Systems Using Model Checking}, booktitle = {High Performance Computing and Communications, Second International Conference, {HPCC} 2006, Munich, Germany, September 13-15, 2006, Proceedings}, pages = {495--504}, year = {2006}, crossref = {DBLP:conf/hpcc/2006}, url = {https://doi.org/10.1007/11847366\_51}, doi = {10.1007/11847366\_51}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/KimKHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JangKPLB06, author = {Yong{-}Il Jang and Ho Seok Kim and Soon{-}Young Park and Jae{-}dong Lee and Hae{-}Young Bae}, title = {An Extendible Hashing Based Recovery Method in a Shared-Nothing Spatial Database Cluster}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, pages = {1126--1135}, year = {2006}, crossref = {DBLP:conf/iccsa/2006-4}, url = {https://doi.org/10.1007/11751632\_121}, doi = {10.1007/11751632\_121}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JangKPLB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKC06, author = {Na{-}Young Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Automatic Generation Technique of Three-Dimensional Model Corresponding to Individual Vessels}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {V}}, pages = {441--449}, year = {2006}, crossref = {DBLP:conf/iccsa/2006-5}, url = {https://doi.org/10.1007/11751649\_48}, doi = {10.1007/11751649\_48}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ShinKPM06, author = {Kee{-}Young Shin and Jin Won Kim and Ilgon Park and Pyeong Soo Mah}, title = {Wireless Sensor Networks: {A} Scalable Time Synchronization}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, pages = {509--518}, year = {2006}, crossref = {DBLP:conf/iccsa/2006-4}, url = {https://doi.org/10.1007/11751632\_56}, doi = {10.1007/11751632\_56}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ShinKPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsnc/LeeCKCA06, author = {Yoon Ju Lee and Sung Cheol Chang and Young Il Kim and Song In Choi and Jee Hwan Ahn}, title = {Wireless Broadband Internet Access in Korea}, booktitle = {Proceedings of the International Conference on Systems and Networks Communications {(ICSNC} 2006), October 29 - November 3, 2006, Papeete, Tahiti, French Polynesia}, pages = {48}, year = {2006}, crossref = {DBLP:conf/icsnc/2006}, url = {https://doi.org/10.1109/ICSNC.2006.77}, doi = {10.1109/ICSNC.2006.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsnc/LeeCKCA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/KoCKCSR06, author = {Kyong{-}Cheol Ko and Young Min Cheon and Gye{-}Young Kim and Hyung{-}Il Choi and Seong{-}Yoon Shin and Yang{-}Won Rhee}, title = {Video Shot Boundary Detection Algorithm}, booktitle = {Computer Vision, Graphics and Image Processing, 5th Indian Conference, {ICVGIP} 2006, Madurai, India, December 13-16, 2006, Proceedings}, pages = {388--396}, year = {2006}, crossref = {DBLP:conf/icvgip/2006}, url = {https://doi.org/10.1007/11949619\_35}, doi = {10.1007/11949619\_35}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/icvgip/KoCKCSR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/KimLLSHLHYCY06, author = {Sung{-}il Kim and Myung{-}Jin Lee and Woo{-}Gul Lee and Yeon{-}hee So and Cheon{-}woo Han and Karam Lim and Su{-}Young Hwang and Sung{-}Hyun Yun and Dong{-}Seong Choi and Mi{-}sun Yoon}, title = {Student Modeling for Adaptive Teachable Agent to Enhance Interest and Comprehension}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2006, 7th International Conference, Burgos, Spain, September 20-23, 2006, Proceedings}, pages = {1234--1241}, year = {2006}, crossref = {DBLP:conf/ideal/2006}, url = {https://doi.org/10.1007/11875581\_146}, doi = {10.1007/11875581\_146}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ideal/KimLLSHLHYCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/ChaLKC06, author = {Jeong{-}Hee Cha and Na{-}Young Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {2-Stage Camera Motion Parameter Estimation Technique Based on Geometric Invariant Features}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 2}, pages = {580--588}, year = {2006}, crossref = {DBLP:conf/ipcv/2006-2}, timestamp = {Mon, 11 Dec 2006 15:44:49 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/ChaLKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/HaAKKSL06, author = {SooYoung Ha and Sang{-}Ho Ahn and Young{-}Choon Kim and Mu{-}Yeon Kim and Soo{-}Yeon Son and Kuhn{-}Il Lee}, title = {Vehicle Occupant Head Distance Extraction Method using Stereo-Vision}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1}, pages = {180--183}, year = {2006}, crossref = {DBLP:conf/ipcv/2006-1}, timestamp = {Mon, 11 Dec 2006 15:44:46 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/HaAKKSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/KimBSKAL06, author = {Mu{-}Yeon Kim and Tae{-}Wuk Bae and Soo{-}Yeon Son and Young{-}Choon Kim and Sang{-}Ho Ahn and Kuhn{-}Il Lee}, title = {Temporal Error Concealment using the Concealed Boundary Pixels and Boundary Region Feature}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1}, pages = {326--329}, year = {2006}, crossref = {DBLP:conf/ipcv/2006-1}, timestamp = {Mon, 11 Dec 2006 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/KimBSKAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/KimCSKAPL06, author = {Mu{-}Yeon Kim and Seok{-}Min Chae and Soo{-}Yeon Son and Young{-}Choon Kim and Sang{-}Ho Ahn and Min{-}Ho Park and Kuhn{-}Il Lee}, title = {Image Retrieval Using Block Color Characteristics and Spatial Pattern Correlation}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1}, pages = {173--179}, year = {2006}, crossref = {DBLP:conf/ipcv/2006-1}, timestamp = {Mon, 11 Dec 2006 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/KimCSKAPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/LeeCOKC06, author = {Na{-}Young Lee and Jeong{-}Hee Cha and Jin{-}Wook On and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {An Automatic Generation Technique of 3D Vessels Model from Angiograms}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 2}, pages = {371--376}, year = {2006}, crossref = {DBLP:conf/ipcv/2006-2}, timestamp = {Mon, 11 Dec 2006 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/LeeCOKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/OhHKHKP06, author = {Jun{-}Ho Oh and David Hanson and Won{-}Sup Kim and Young Han and Jung{-}Yup Kim and Ill{-}Woo Park}, title = {Design of Android type Humanoid Robot Albert {HUBO}}, booktitle = {2006 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2006, October 9-15, 2006, Beijing, China}, pages = {1428--1433}, year = {2006}, crossref = {DBLP:conf/iros/2006}, url = {https://doi.org/10.1109/IROS.2006.281935}, doi = {10.1109/IROS.2006.281935}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/OhHKHKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prima/KimLK06, author = {Il Kwang Kim and Jae Young Lee and Il Kon Kim}, title = {Design of Agent Registry/Repository System Based on ebXML}, booktitle = {Agent Computing and Multi-Agent Systems, 9th Pacific Rim International Workshop on Multi-Agents, {PRIMA} 2006, Guilin, China, August 7-8, 2006, Proceedings}, pages = {362--373}, year = {2006}, crossref = {DBLP:conf/prima/2006}, url = {https://doi.org/10.1007/11802372\_35}, doi = {10.1007/11802372\_35}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/prima/KimLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/LeeKLKPC06, author = {Jeongjin Lee and Namkug Kim and Ho Lee and Suk{-}Ho Kang and Jae{-}Woo Park and Young{-}Il Chang}, title = {Automatic Skull Segmentation and Registration for Tissue Change Measurement After Mandibular Setback Surgery}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, pages = {322--331}, year = {2006}, crossref = {DBLP:conf/psivt/2006}, url = {https://doi.org/10.1007/11949534\_32}, doi = {10.1007/11949534\_32}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/psivt/LeeKLKPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoABKA06, author = {Juphil Cho and Kyung Seung Ahn and Heung Ki Baik and Young{-}Il Kim and Jee{-}Hwan Ahn}, title = {Symbol Error Probability for Space-Time Block Codes Over Spatially Correlated Rayleigh Fading Channels}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--5}, year = {2006}, crossref = {DBLP:conf/vtc/2006f}, url = {https://doi.org/10.1109/VTCF.2006.391}, doi = {10.1109/VTCF.2006.391}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChoABKA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimHKB06, author = {Il Gyu Kim and Youngnam Han and Young Hoon Kim and Seung Chan Bang}, title = {Transmit Diversity and Multiplexing Methods for 3G-LTE Downlink Control Channels}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--4}, year = {2006}, crossref = {DBLP:conf/vtc/2006f}, url = {https://doi.org/10.1109/VTCF.2006.210}, doi = {10.1109/VTCF.2006.210}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimHKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/KimLK05, author = {Nam{-}Il Kim and Ji{-}Hun Lee and Moon{-}Young Kim}, title = {Exact dynamic stiffness matrix of non-symmetric thin-walled beams on elastic foundation using power series method}, journal = {Adv. Eng. Softw.}, volume = {36}, number = {8}, pages = {518--532}, year = {2005}, url = {https://doi.org/10.1016/j.advengsoft.2005.02.003}, doi = {10.1016/J.ADVENGSOFT.2005.02.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/KimLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimCL05, author = {Hag Seong Kim and Young Man Cho and Kyo{-}Il Lee}, title = {Robust nonlinear task space control for 6 {DOF} parallel manipulator}, journal = {Autom.}, volume = {41}, number = {9}, pages = {1591--1600}, year = {2005}, url = {https://doi.org/10.1016/j.automatica.2005.04.014}, doi = {10.1016/J.AUTOMATICA.2005.04.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChoiKBK05, author = {Jae Young Choi and Hyung Seok Kim and Iljoo Baek and Wook Hyun Kwon}, title = {Cell based energy density aware routing: a new protocol for improving the lifetime of wireless sensor networks}, journal = {Comput. Commun.}, volume = {28}, number = {11}, pages = {1293--1302}, year = {2005}, url = {https://doi.org/10.1016/j.comcom.2004.11.005}, doi = {10.1016/J.COMCOM.2004.11.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ChoiKBK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/MoonKKLC05, author = {Jeon Il Moon and Jung Sub Kim and Jong Bae Kim and Kye Young Lim and Byoung Wook Choi}, title = {A hardware implementation of distributed network protocol}, journal = {Comput. Stand. Interfaces}, volume = {27}, number = {3}, pages = {221--232}, year = {2005}, url = {https://doi.org/10.1016/j.csi.2004.07.003}, doi = {10.1016/J.CSI.2004.07.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csi/MoonKKLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KIMKLL05, author = {Dae{-}Won Kim and Young{-}Il Kim and Doheon Lee and Kwang Hyung Lee}, title = {Assessing the Quality of Fuzzy Partitions Using Relative Intersection}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {88-D}, number = {3}, pages = {594--602}, year = {2005}, url = {http://search.ieice.org/bin/summary.php?id=e88-d\_3\_594\&category=D\&year=2005\&lang=E\&abst=}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KIMKLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimC05, author = {Il{-}Gon Kim and Jin{-}Young Choi}, title = {Model Checking of {RADIUS} Protocol in Wireless Networks}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {1}, pages = {397--398}, year = {2005}, url = {https://doi.org/10.1093/ietcom/E88-B.1.397}, doi = {10.1093/IETCOM/E88-B.1.397}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/JangPKC05, author = {Seok{-}Woo Jang and Marc Pomplun and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Adaptive robust estimation of affine parameters from block motion vectors}, journal = {Image Vis. Comput.}, volume = {23}, number = {14}, pages = {1250--1263}, year = {2005}, url = {https://doi.org/10.1016/j.imavis.2005.09.003}, doi = {10.1016/J.IMAVIS.2005.09.003}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ivc/JangPKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/JeonKC05, author = {Chul{-}Wuk Jeon and Il{-}Gon Kim and Jin{-}Young Choi}, title = {Automatic Generation of the C{\#} Code for Security Protocols Verified with Casper/FDR}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {507--510}, year = {2005}, crossref = {DBLP:conf/aina/2005}, url = {https://doi.org/10.1109/AINA.2005.128}, doi = {10.1109/AINA.2005.128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/JeonKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeYKKK05, author = {Jae{-}Gon Lee and Woo{-}Seung Yang and Young{-}Su Kwon and Young{-}Il Kim and Chong{-}Min Kyung}, title = {Simulation acceleration of transaction-level models for SoC with {RTL} sub-blocks}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {499--502}, year = {2005}, crossref = {DBLP:conf/aspdac/2005}, url = {https://doi.org/10.1145/1120725.1120921}, doi = {10.1145/1120725.1120921}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeYKKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/ChaKC05, author = {Jeong{-}Hee Cha and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Visual Target Overlay Technique by Matching 3D Satellite Image and Ground-based Sensor Image}, booktitle = {Proceedings of The 2005 International Conference on Imaging Science, Systems, and Technology: Computer Graphics, {CISST} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, pages = {135--141}, year = {2005}, crossref = {DBLP:conf/cisst/2005}, timestamp = {Wed, 17 May 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisst/ChaKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/JangCLKC05, author = {HyoJong Jang and Mi Young Choi and Keun Soo Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Improvement of Synthetic Speech Quality using a New Spectral Smoothing Technique}, booktitle = {Proceedings of The 2005 International Conference on Imaging Science, Systems, and Technology: Computer Graphics, {CISST} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, pages = {271--280}, year = {2005}, crossref = {DBLP:conf/cisst/2005}, timestamp = {Wed, 17 May 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisst/JangCLKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/JangKC05, author = {Seok{-}Woo Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Shot Transition Detection by Compensating for Global and Local Motions}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, pages = {1061--1066}, year = {2005}, crossref = {DBLP:conf/fskd/2005-2}, url = {https://doi.org/10.1007/11540007\_136}, doi = {10.1007/11540007\_136}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/JangKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/NaSKC05, author = {Seung You Na and Daejung Shin and Jin Young Kim and Su{-}il Choi}, title = {Collision Recognition and Direction Changes Using Fuzzy Logic for Small Scale Fish Robots by Acceleration Sensor Data}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, pages = {329--338}, year = {2005}, crossref = {DBLP:conf/fskd/2005-2}, url = {https://doi.org/10.1007/11540007\_41}, doi = {10.1007/11540007\_41}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/NaSKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimY05, author = {Desok Kim and Young Il Yeom}, title = {Cellular imaging-based biological analysis for cancer diagnostics and drug target development}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {841--844}, year = {2005}, crossref = {DBLP:conf/icassp/2005}, url = {https://doi.org/10.1109/ICASSP.2005.1416435}, doi = {10.1109/ICASSP.2005.1416435}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ShimKL05, author = {Young{-}Chul Shim and Hyun{-}Ah Kim and Ju{-}Il Lee}, title = {Design and Evaluation of a New Micro-mobility Protocol in Large Mobile and Wireless Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, pages = {427--437}, year = {2005}, crossref = {DBLP:conf/iccsa/2005-1}, url = {https://doi.org/10.1007/11424758\_45}, doi = {10.1007/11424758\_45}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ShimKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/KimKC05, author = {Hyun Seok Kim and Il{-}Gon Kim and Jin{-}Young Choi}, title = {Analysis of Security Protocols with Certificate over Open Networks: Electronic Payment System}, booktitle = {25th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}}, pages = {217--223}, year = {2005}, crossref = {DBLP:conf/icdcsw/2005}, url = {https://doi.org/10.1109/ICDCSW.2005.41}, doi = {10.1109/ICDCSW.2005.41}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcsw/KimKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/KimYCYSLKLHHLL05, author = {Sung{-}il Kim and Sung{-}Hyun Yun and Dong{-}Seong Choi and Mi{-}sun Yoon and Yeon{-}hee So and Myung{-}Jin Lee and Won{-}Sik Kim and Sun Young Lee and Su{-}Young Hwang and Cheon{-}woo Han and Woo{-}Gul Lee and Karam Lim}, title = {Design and Implementation of the Individualized Intelligent Teachable Agent}, booktitle = {Advances in Natural Computation, First International Conference, {ICNC} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {I}}, pages = {797--805}, year = {2005}, crossref = {DBLP:conf/icnc/2005-1}, url = {https://doi.org/10.1007/11539087\_107}, doi = {10.1007/11539087\_107}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnc/KimYCYSLKLHHLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimSKW05, author = {Il{-}Hwan Kim and Kyung{-}Ho Sohn and Young Yong Kim and Keum Chan Whang}, title = {Modeling and Analysis of Impatient Packets with Hard Delay Bound in Contention Based Multi-access Environments for Real Time Communication}, booktitle = {Information Networking, Convergence in Broadband and Mobile Networking, International Conference, {ICOIN} 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings}, pages = {609--618}, year = {2005}, crossref = {DBLP:conf/icoin/2005}, url = {https://doi.org/10.1007/978-3-540-30582-8\_63}, doi = {10.1007/978-3-540-30582-8\_63}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KimSKW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imsa/KimCP05, author = {Young{-}Il Kim and Tae{-}Su Cheong and Ju{-}Sang Park}, title = {Design and Prototype Implementation of Asset Management System at Museum using Mobile {RFID} Network Model}, booktitle = {Proceedings of the Ninth {IASTED} International Conference on Internet and Multimedia Systems and Applications {(IMSA} 2005), Honolulu, Hawaii, USA, August 15-17, 2005}, pages = {406--411}, year = {2005}, crossref = {DBLP:conf/imsa/2005}, timestamp = {Thu, 27 Oct 2005 10:43:43 +0200}, biburl = {https://dblp.org/rec/conf/imsa/KimCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdc/ParkKJKLB05, author = {Soon{-}Young Park and Jung{-}Hyun Kim and Yong{-}Il Jang and Jaehong Kim and Soon{-}Jo Lee and Hae{-}Young Bae}, title = {CLUR-Tree for Supporting Frequent Updates of Data Stream over Sensor Networks}, booktitle = {Distributed Computing - {IWDC} 2005, 7th International Workshop, Kharagpur, India, December 27-30, 2005, Proceedings}, pages = {87--92}, year = {2005}, crossref = {DBLP:conf/iwdc/2005}, url = {https://doi.org/10.1007/11603771\_9}, doi = {10.1007/11603771\_9}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwdc/ParkKJKLB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ParkKCKP05, author = {Moon{-}sung Park and Il{-}sook Kim and Eun{-}kyung Cho and Young{-}hee Kwon and Jong{-}Heung Park}, title = {High-Speed Extraction Model of Interest Region in the Parcel Image of Large Size}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {IV}}, pages = {559--565}, year = {2005}, crossref = {DBLP:conf/kes/2005-4}, url = {https://doi.org/10.1007/11554028\_77}, doi = {10.1007/11554028\_77}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/ParkKCKP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mata/KangPK05, author = {Namhi Kang and Ilkyun Park and Younghan Kim}, title = {Secure and Scalable Routing Protocol for Mobile Ad-Hoc Networks}, booktitle = {Mobility Aware Technologies and Applications, Second International Workshop, {MATA} 2005, Montreal, Canada, October 17-19, 2005, Proceedings}, pages = {334--343}, year = {2005}, crossref = {DBLP:conf/mata/2005}, url = {https://doi.org/10.1007/11569510\_32}, doi = {10.1007/11569510\_32}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mata/KangPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtsummit/HongKKYSRP05, author = {Munpyo Hong and Young{-}Gil Kim and Chang{-}Hyun Kim and Seong{-}il Yang and Young Ae Seo and Cheol Ryu and Sang{-}Kyu Park}, title = {Customizing a Korean-English {MT} System for Patent Translation}, booktitle = {Proceedings of Machine Translation Summit {X:} Papers, MTSummit 2005, Phuket, Thailand, September 13-15, 2005}, pages = {181--187}, year = {2005}, crossref = {DBLP:conf/mtsummit/2005}, url = {https://aclanthology.org/2005.mtsummit-papers.24}, timestamp = {Mon, 20 Sep 2021 17:44:13 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/HongKKYSRP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/KiKWK05, author = {Young Min Ki and Eun Sun Kim and Sung Il Woo and Dong Ku Kim}, title = {Downlink Packet Scheduling with Minimum Throughput Guarantee in {TDD-OFDMA} Cellular Network}, booktitle = {{NETWORKING} 2005: Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems, 4th International {IFIP-TC6} Networking Conference, Waterloo, Canada, May 2-6, 2005, Proceedings}, pages = {623--633}, year = {2005}, crossref = {DBLP:conf/networking/2005}, url = {https://doi.org/10.1007/11422778\_50}, doi = {10.1007/11422778\_50}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/networking/KiKWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wine/KimMKLHC05, author = {Il{-}Gon Kim and Young{-}Joo Moon and Inhye Kang and Ji{-}Yeon Lee and Keun{-}Hee Han and Jin{-}Young Choi}, title = {Model-Based Analysis of Money Accountability in Electronic Purses}, booktitle = {Internet and Network Economics, First International Workshop, {WINE} 2005, Hong Kong, China, December 15-17, 2005, Proceedings}, pages = {346--355}, year = {2005}, crossref = {DBLP:conf/wine/2005}, url = {https://doi.org/10.1007/11600930\_34}, doi = {10.1007/11600930\_34}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wine/KimMKLHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/TinnirelloCK05, author = {Ilenia Tinnirello and Sunghyun Choi and Youngsoo Kim}, title = {Revisit of {RTS/CTS} Exchange in High-Speed {IEEE} 802.11 Networks}, booktitle = {2005 International Conference on a World of Wireless, Mobile and Multimedia Networks {(WOWMOM} 2005), 13-16 June 2005, Taormina, Italy, Proceedings}, pages = {240--248}, year = {2005}, crossref = {DBLP:conf/wowmom/2005}, url = {https://doi.org/10.1109/WOWMOM.2005.89}, doi = {10.1109/WOWMOM.2005.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/TinnirelloCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KimK04, author = {Young{-}Il Kim and Chong{-}Min Kyung}, title = {TPartition: Testbench Partitioning for Hardware-Accelerated Functional Verification}, journal = {{IEEE} Des. Test Comput.}, volume = {21}, number = {6}, pages = {484--493}, year = {2004}, url = {https://doi.org/10.1109/MDT.2004.101}, doi = {10.1109/MDT.2004.101}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KimK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimKYK04, author = {Won Kim and Il{-}Ju Ko and Jin{-}Sung Yoon and Gye{-}Young Kim}, title = {Inference of recommendation information on the internet using improved {FAM}}, journal = {Future Gener. Comput. Syst.}, volume = {20}, number = {2}, pages = {265--273}, year = {2004}, url = {https://doi.org/10.1016/S0167-739X(03)00142-0}, doi = {10.1016/S0167-739X(03)00142-0}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/KimKYK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijiem/AhnKCC04, author = {Do Hyun Ahn and Jae Kyeong Kim and Il Young Choi and Yoon Ho Cho}, title = {A personalised recommendation procedure based on dimensionality reduction and web mining}, journal = {Int. J. Internet Enterp. Manag.}, volume = {2}, number = {3}, pages = {280--298}, year = {2004}, url = {https://doi.org/10.1504/IJIEM.2004.005372}, doi = {10.1504/IJIEM.2004.005372}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijiem/AhnKCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimKLL04, author = {Young{-}Il Kim and Dae{-}Won Kim and Doheon Lee and Kwang Hyung Lee}, title = {A cluster validation index for {GK} cluster analysis based on relative degree of sharing}, journal = {Inf. Sci.}, volume = {168}, number = {1-4}, pages = {225--242}, year = {2004}, url = {https://doi.org/10.1016/j.ins.2004.02.006}, doi = {10.1016/J.INS.2004.02.006}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/KimKLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LeeKK04, author = {Young{-}Il Lee and Yong{-}Gi Kim and Ladislav J. Kohout}, title = {An intelligent collision avoidance system for AUVs using fuzzy relational products}, journal = {Inf. Sci.}, volume = {158}, pages = {209--232}, year = {2004}, url = {https://doi.org/10.1016/j.ins.2003.07.003}, doi = {10.1016/J.INS.2003.07.003}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LeeKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimO04, author = {Young{-}Won Kim and Il{-}Seok Oh}, title = {Watermarking text document images using edge direction histograms}, journal = {Pattern Recognit. Lett.}, volume = {25}, number = {11}, pages = {1243--1251}, year = {2004}, url = {https://doi.org/10.1016/j.patrec.2004.04.002}, doi = {10.1016/J.PATREC.2004.04.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimJY04, author = {Dong Il Kim and Sang Wook Jung and Young Yun}, title = {A high performance transformer-type Wilkinson power splitter with compensating circuit for {CATV} transmission system and optimal design method}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {50}, number = {3}, pages = {934--940}, year = {2004}, url = {https://doi.org/10.1109/TCE.2004.1341703}, doi = {10.1109/TCE.2004.1341703}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimJY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/SongKY04, author = {Seok Il Song and Young Ho Kim and Jae Soo Yoo}, title = {An Enhanced Concurrency Control Scheme for Multidimensional Index Structures}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {16}, number = {1}, pages = {97--111}, year = {2004}, url = {https://doi.org/10.1109/TKDE.2004.1264825}, doi = {10.1109/TKDE.2004.1264825}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/SongKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KimC04, author = {Il{-}Gon Kim and Jin{-}Young Choi}, title = {Formal Verification of {PAP} and {EAP-MD5} Protocols in Wireless Networks: {FDR} Model Checking}, booktitle = {18th International Conference on Advanced Information Networking and Applications {(AINA} 2004), 29-31 March 2004, Fukuoka, Japan}, pages = {264--269}, year = {2004}, crossref = {DBLP:conf/aina/2004}, url = {https://doi.org/10.1109/AINA.2004.1283800}, doi = {10.1109/AINA.2004.1283800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KimC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/SonSJK04, author = {Young Ik Son and Hyungbo Shim and Nam Hoon Jo and Kab{-}Il Kim}, title = {A new approach to the design of dynamic output feedback stabilizers for {LTI} systems}, booktitle = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, pages = {1451--1456}, year = {2004}, crossref = {DBLP:conf/amcc/2004}, url = {https://doi.org/10.23919/ACC.2004.1386780}, doi = {10.23919/ACC.2004.1386780}, timestamp = {Thu, 24 Nov 2022 09:21:27 +0100}, biburl = {https://dblp.org/rec/conf/amcc/SonSJK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimPLK04, author = {Young{-}Il Kim and Bong{-}Il Park and Jae{-}Gon Lee and Chong{-}Min Kyung}, title = {SmartGlue: an interface controller with auto reconfiguration for field programmable computing machine}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {734--736}, year = {2004}, crossref = {DBLP:conf/aspdac/2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.180}, doi = {10.1109/ASPDAC.2004.180}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KimPLK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/ParkCYK04, author = {Jong{-}Il Park and Kayeol Choi and Byungju Yi and Youngsoo Kim}, title = {Movement of vertebra during spine surgery}, booktitle = {{CARS} 2004. Computer Assisted Radiology and Surgery. Proceedings of the 18th International Congress and Exhibition, Chicago, USA, June 23-26, 2004}, pages = {1332}, year = {2004}, crossref = {DBLP:conf/cars/2004}, timestamp = {Mon, 10 Jan 2005 11:17:57 +0100}, biburl = {https://dblp.org/rec/conf/cars/ParkCYK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimNHK04, author = {Ki{-}Il Kim and SungKee Noh and YoungHa Hwang and Sang{-}Ha Kim}, title = {A traffic behavior-aware fair call admission control for heterogeneous services in wireless networks}, booktitle = {1st {IEEE} Consumer Communications and Networking Conference, {CCNC} 2004, Las Vegas, NV, USA, January 5-8, 2004}, pages = {210--215}, year = {2004}, crossref = {DBLP:conf/ccnc/2004}, url = {https://doi.org/10.1109/CCNC.2004.1286860}, doi = {10.1109/CCNC.2004.1286860}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/KimNHK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/YouHKCL04, author = {Seung{-}Han You and Jin{-}Oh Hahn and Hwa Soo Kim and Young Man Cho and Kyo{-}Il Lee}, title = {Modeling and control of a hydraulic unit for direct yaw moment control in an automobile}, booktitle = {43rd {IEEE} Conference on Decision and Control, {CDC} 2004, Nassau, Bahamas, December 14-17, 2004}, pages = {5216--5221}, year = {2004}, crossref = {DBLP:conf/cdc/2004}, url = {https://doi.org/10.1109/CDC.2004.1429636}, doi = {10.1109/CDC.2004.1429636}, timestamp = {Fri, 04 Mar 2022 13:24:31 +0100}, biburl = {https://dblp.org/rec/conf/cdc/YouHKCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgiv/KimSSLK04, author = {Moon{-}Cheol Kim and Yoon{-}Cheol Shin and Young Ran Song and Sang{-}Jin Lee and Ildo Kim}, title = {Wide Gamut Multi-Primary Display for {HDTV}}, booktitle = {Second European Conference on Colour in Graphics, Imaging, and Vision, {CGIV} 2004, Aachen, Germany, April 5-8, 2004}, pages = {248--253}, year = {2004}, crossref = {DBLP:conf/cgiv/2004}, url = {http://www.ingentaconnect.com/content/ist/cgiv/2004/00002004/00000001/art00050}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgiv/KimSSLK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/YouKLSJSKL04, author = {Young{-}Hwan You and Min{-}goo Kang and Ou{-}Seb Lee and Seung{-}il Sonh and Taewon Jang and Hyoung{-}Kyu Song and Dong{-}oh Kim and Hwa{-}Seop Lim}, title = {Improved Adaptive Modulation and Coding of {MIMO} with Selection Transmit Diversity Systems}, booktitle = {Computational and Information Science, First International Symposium, {CIS} 2004, Shanghai, China, December 16-18, 2004, Proceedings}, pages = {258--265}, year = {2004}, crossref = {DBLP:conf/cis/2004}, url = {https://doi.org/10.1007/978-3-540-30497-5\_41}, doi = {10.1007/978-3-540-30497-5\_41}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/cis/YouKLSJSKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimYKK04, author = {Young{-}Il Kim and Woo{-}Seung Yang and Young{-}Su Kwon and Chong{-}Min Kyung}, title = {Communication-efficient hardware acceleration for fast functional simulation}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {293--298}, year = {2004}, crossref = {DBLP:conf/dac/2004}, url = {https://doi.org/10.1145/996566.996654}, doi = {10.1145/996566.996654}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimYKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KwonKK04, author = {Young{-}Su Kwon and Young{-}Il Kim and Chong{-}Min Kyung}, title = {Systematic functional coverage metric synthesis from hierarchical temporal event relation graph}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {45--48}, year = {2004}, crossref = {DBLP:conf/dac/2004}, url = {https://doi.org/10.1145/996566.996580}, doi = {10.1145/996566.996580}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KwonKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecumn/JungKY04, author = {Jae{-}Il Jung and Jaeyeol Kim and Younggap You}, title = {Mobility Prediction Handover Using User Mobility Pattern and Guard Channel Assignment Scheme}, booktitle = {Universal Multiservice Networks: Third European Conference, {ECUMN} 2004, Porto, Portugal, October 25-27, 2004. Proceedings}, pages = {155--164}, year = {2004}, crossref = {DBLP:conf/ecumn/2004}, url = {https://doi.org/10.1007/978-3-540-30197-4\_16}, doi = {10.1007/978-3-540-30197-4\_16}, timestamp = {Tue, 21 Mar 2023 21:02:29 +0100}, biburl = {https://dblp.org/rec/conf/ecumn/JungKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimK04, author = {Young{-}Il Kim and Chong{-}Min Kyung}, title = {Automatic translation of behavioral testbench for fully accelerated simulation}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {218--221}, year = {2004}, crossref = {DBLP:conf/iccad/2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382574}, doi = {10.1109/ICCAD.2004.1382574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChaJKC04, author = {Jeong{-}Hee Cha and HyoJong Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Fingerprint Matching Based on Linking Information Structure of Minutiae}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, pages = {41--48}, year = {2004}, crossref = {DBLP:conf/iccsa/2004-1}, url = {https://doi.org/10.1007/978-3-540-24707-4\_6}, doi = {10.1007/978-3-540-24707-4\_6}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChaJKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/Lee0CKC04, author = {Joong{-}Jae Lee and Won Kim and Jeong{-}Hee Cha and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {A New Recurrent Fuzzy Associative Memory for Recognizing Time-Series Patterns Contained Ambiguity}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, pages = {17--24}, year = {2004}, crossref = {DBLP:conf/iccsa/2004-1}, url = {https://doi.org/10.1007/978-3-540-24707-4\_3}, doi = {10.1007/978-3-540-24707-4\_3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/Lee0CKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/NohHKK04, author = {SungKee Noh and YoungHa Hwang and Ki{-}Il Kim and Sang{-}Ha Kim}, title = {Achieving Fair New Call {CAC} for Heterogeneous Services in Wireless Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {IV}}, pages = {460--470}, year = {2004}, crossref = {DBLP:conf/iccsa/2004-4}, url = {https://doi.org/10.1007/978-3-540-24768-5\_49}, doi = {10.1007/978-3-540-24768-5\_49}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/NohHKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeKKHKL04, author = {Suk Hwan Lee and Tae{-}Su Kim and Seung{-}Jin Kim and Young Huh and Ki{-}Ryong Kwon and Kuhn{-}Il Lee}, title = {3d mesh watermarking using projection onto convex sets}, booktitle = {Proceedings of the 2004 International Conference on Image Processing, {ICIP} 2004, Singapore, October 24-27, 2004}, pages = {1577--1580}, year = {2004}, crossref = {DBLP:conf/icip/2004}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeeKKHKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ParkKCK04, author = {Moon{-}sung Park and Il{-}sook Kim and Eun{-}kyung Cho and Young{-}hee Kwon}, title = {High Speed Extraction Model of {ROI} for Automatic Logistics System}, booktitle = {Neural Information Processing, 11th International Conference, {ICONIP} 2004, Calcutta, India, November 22-25, 2004, Proceedings}, pages = {706--713}, year = {2004}, crossref = {DBLP:conf/iconip/2004}, url = {https://doi.org/10.1007/978-3-540-30499-9\_108}, doi = {10.1007/978-3-540-30499-9\_108}, timestamp = {Thu, 04 Jun 2020 19:07:58 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ParkKCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimSK04, author = {Kab{-}Il Kim and Young Ik Son and Paul B. S. Kim}, title = {Construction of Small Humanoids with a New Joint Actuator Module}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Robotics and Automation, {ICRA} 2004, April 26 - May 1, 2004, New Orleans, LA, {USA}}, pages = {4510--4514}, year = {2004}, crossref = {DBLP:conf/icra/2004}, url = {https://doi.org/10.1109/ROBOT.2004.1302428}, doi = {10.1109/ROBOT.2004.1302428}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/LeeK04, author = {Young{-}Il Lee and Yong{-}Gi Kim}, title = {A Collision Avoidance System for Autonomous Ship Using Fuzzy Relational Products and COLREGs}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2004, 5th International Conference, Exeter, UK, August 25-27, 2004, Proceedings}, pages = {247--252}, year = {2004}, crossref = {DBLP:conf/ideal/2004}, url = {https://doi.org/10.1007/978-3-540-28651-6\_36}, doi = {10.1007/978-3-540-28651-6\_36}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/LeeK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkJKKLJL04, author = {Jungyul Park and Seng{-}Hwan Jung and Young{-}Ho Kim and Byungkyu Kim and Seung{-}Ki Lee and Byungkwon Ju and Kyo{-}Il Lee}, title = {An integrated bio cell processor for single embryo cell manipulation}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {242--247}, year = {2004}, crossref = {DBLP:conf/iros/2004}, url = {https://doi.org/10.1109/IROS.2004.1389359}, doi = {10.1109/IROS.2004.1389359}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkJKKLJL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcia/JeonCK04, author = {Young{-}Joon Jeon and Jae{-}Gark Choi and Jin{-}il Kim}, title = {A Study on Supervised Classification of Remote Sensing Satellite Image by Bayesian Algorithm Using Average Fuzzy Intracluster Distance}, booktitle = {Combinatorial Image Analysis, 10th InternationalWorkshop, {IWCIA} 2004, Auckland, New Zealand, December 1-3, 2004, Proceedings}, pages = {597--606}, year = {2004}, crossref = {DBLP:conf/iwcia/2004}, url = {https://doi.org/10.1007/978-3-540-30503-3\_44}, doi = {10.1007/978-3-540-30503-3\_44}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/iwcia/JeonCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/LeeKKCLU04, author = {Yong{-}Ju Lee and Bong{-}Wan Kim and Young{-}Il Kim and Dae{-}Lim Choi and Kwang{-}Hyun Lee and Yongnam Um}, title = {Creation and Assessment of Korean Speech and Noise {DB} in Car Environment}, booktitle = {Proceedings of the Fourth International Conference on Language Resources and Evaluation, {LREC} 2004, May 26-28, 2004, Lisbon, Portugal}, year = {2004}, crossref = {DBLP:conf/lrec/2004}, url = {http://www.lrec-conf.org/proceedings/lrec2004/summaries/68.htm}, timestamp = {Mon, 19 Aug 2019 15:22:43 +0200}, biburl = {https://dblp.org/rec/conf/lrec/LeeKKCLU04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/HongKK04, author = {Seong{-}Ik Hong and Young Il Kim and Woo Sung Kim}, title = {.NET based {OSS} architecture/performance comparison with {EAI/WF} engines}, booktitle = {Managing Next Generation Convergence Networks and Services, {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2004, Seoul, Korea, 19-23 April 2004, Proceedings}, pages = {887--888}, year = {2004}, crossref = {DBLP:conf/noms/2004}, url = {https://doi.org/10.1109/NOMS.2004.1317782}, doi = {10.1109/NOMS.2004.1317782}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/HongKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vveis/KimC04, author = {Il{-}Gon Kim and Jin{-}Young Choi}, title = {New S/Key System against Dictionary Attack: {A} Case Study in Casper and {CSP/FDR}}, booktitle = {Verification and Validation of Enterprise Information Systems, Proceedings of the 2nd International Workshop on Verification and Validation of Enterprise Information Systems, {VVEIS} 2004, In conjunction with {ICEIS} 2004, Porto, Portugal, April 2004}, pages = {75--77}, year = {2004}, crossref = {DBLP:conf/vveis/2004}, timestamp = {Fri, 25 Jun 2004 15:11:15 +0200}, biburl = {https://dblp.org/rec/conf/vveis/KimC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/ParkKL04, author = {Il{-}kyun Park and Young Han Kim and Sang{-}san Lee}, title = {IPv6 Address Allocation in Hybrid Mobile Ad-Hoc Networks}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {58--62}, year = {2004}, crossref = {DBLP:conf/wstfeus/2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300415}, doi = {10.1109/WSTFES.2004.1300415}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/ParkKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/SonSK03, author = {Young Ik Son and Hyungbo Shim and Kab{-}Il Kim}, title = {A Design Method of an {SPR} System for Stabilization of a Crane without Velocity Measurement}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {11}, pages = {2894--2896}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_11\_2894}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/SonSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/ParkCK03, author = {Jooyoung Park and Wonhee Cho and Young{-}Il Kim}, title = {Semiparametric Kernel Fisher Discriminant Approach for Regression Problems}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {3}, number = {2}, pages = {227--232}, year = {2003}, url = {https://doi.org/10.5391/IJFIS.2003.3.2.227}, doi = {10.5391/IJFIS.2003.3.2.227}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijflis/ParkCK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/BaeKH03, author = {Kyoung{-}Il Bae and Jung{-}Hyun Kim and Soon{-}Young Huh}, title = {Federated Process Framework in a Virtual Enterprise Using an Object-oriented Database and Extensible Markup Language}, journal = {J. Database Manag.}, volume = {14}, number = {1}, pages = {27--47}, year = {2003}, url = {https://doi.org/10.4018/jdm.2003010102}, doi = {10.4018/JDM.2003010102}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/BaeKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoKYLBKKSYSKLL03, author = {Uk{-}Rae Cho and Tae{-}Hyoung Kim and Yong{-}Jin Yoon and Jong{-}Cheol Lee and Dae{-}Gi Bae and Nam{-}Seog Kim and Kang{-}Young Kim and Young{-}Jae Son and Jeong{-}Suk Yang and Kwon{-}Il Sohn and Sung{-}Tae Kim and In{-}Yeol Lee and Kwang{-}Jin Lee and Tae{-}Gyoung Kang and Su{-}Chul Kim and Kee{-}Sik Ahn and Hyun{-}Geun Byun}, title = {A 1.2-V 1.5-Gb/s 72-Mb {DDR3} {SRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {11}, pages = {1943--1951}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.818137}, doi = {10.1109/JSSC.2003.818137}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoKYLBKKSYSKLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeKC03, author = {Dong{-}Seop Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {A Web-based collaborative filtering system}, journal = {Pattern Recognit.}, volume = {36}, number = {2}, pages = {519--526}, year = {2003}, url = {https://doi.org/10.1016/S0031-3203(02)00025-0}, doi = {10.1016/S0031-3203(02)00025-0}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeeKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChoiYCKS03, author = {Youngjin Choi and Kwangjin Yang and Wan Kyun Chung and Hong Rok Kim and Il Hong Suh}, title = {On the robustness and performance of disturbance observers for second-order systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {48}, number = {2}, pages = {315--320}, year = {2003}, url = {https://doi.org/10.1109/TAC.2002.808491}, doi = {10.1109/TAC.2002.808491}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChoiYCKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/ParkJSK03, author = {Jong{-}Il Park and Jaeha Jeong and Sangkyun Shin and Young Soo Kim}, title = {Augmented reality-guided system for brain surgery}, booktitle = {{CARS} 2003. Computer Assisted Radiology and Surgery. Proceedings of the 17th International Congress and Exhibition, London, UK, June 25-28, 2003}, pages = {1364}, year = {2003}, crossref = {DBLP:conf/cars/2003}, timestamp = {Wed, 20 Jun 2018 11:44:37 +0200}, biburl = {https://dblp.org/rec/conf/cars/ParkJSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/ParkJSSK03, author = {Sun{-}Mi Park and Il{-}dong Jung and Dong{-}joo Song and Young{-}sung Sun and Kyongsok Kim}, title = {Magic Square: Scalable Peer-to-Peer Lookup Protocol Considering Peer's Characteristics}, booktitle = {Proceedings of the International Conference on Communications in Computing, {CIC} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {153--156}, year = {2003}, crossref = {DBLP:conf/cic/2003}, timestamp = {Thu, 16 Oct 2003 13:57:26 +0200}, biburl = {https://dblp.org/rec/conf/cic/ParkJSSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaSAM/LeeLKPJC03, author = {Sangwoo Lee and Yun{-}kyung Lee and Youngsae Kim and Youngsoo Park and Sung{-}Ik Jun and Kyo{-}Il Chung}, title = {Implementation of an Elliptic Curve Cryptography Hardware Accelerator for Smart Cards}, booktitle = {Proceedings of the International Conference on Security and Management, {SAM} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {607--610}, year = {2003}, crossref = {DBLP:conf/csreaSAM/2003-2}, timestamp = {Wed, 06 Dec 2006 10:55:26 +0100}, biburl = {https://dblp.org/rec/conf/csreaSAM/LeeLKPJC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/KimPL03, author = {Young{-}Il Kim and Joo{-}Sang Park and Yong Joon Lee}, title = {Design of Registry/Repository of e-Logistics Integration Platform Using ebXML Framework}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 1}, pages = {144--152}, year = {2003}, crossref = {DBLP:conf/ic/2003-1}, timestamp = {Thu, 09 Oct 2003 14:14:47 +0200}, biburl = {https://dblp.org/rec/conf/ic/KimPL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/LeeJSK03, author = {Hyun{-}ju Lee and Il{-}dong Jung and Young{-}song Son and Kyongsok Kim}, title = {Extensions of Magic Square Using Recovery Nodes Against Node Failures}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 1}, pages = {395--400}, year = {2003}, crossref = {DBLP:conf/ic/2003-1}, timestamp = {Thu, 09 Oct 2003 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic/LeeJSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaris/CeongKLL03, author = {Hyi Taek Ceong and Young{-}Il Kim and Doheon Lee and Kwang Hyung Lee}, title = {Complementary Dual Detectors for Effective Classification}, booktitle = {Artificial Immune Systems, Second International Conference, {ICARIS} 2003, Edinburgh, UK, September 1-3, 2003, Proceedings}, pages = {242--248}, year = {2003}, crossref = {DBLP:conf/icaris/2003}, url = {https://doi.org/10.1007/978-3-540-45192-1\_23}, doi = {10.1007/978-3-540-45192-1\_23}, timestamp = {Mon, 15 Jun 2020 17:11:37 +0200}, biburl = {https://dblp.org/rec/conf/icaris/CeongKLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JangPKC03, author = {Seok{-}Woo Jang and Marc Pomplun and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Extracting Motion Model Parameters with Robust Estimation}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {I}}, pages = {633--642}, year = {2003}, crossref = {DBLP:conf/iccsa/2003-1}, url = {https://doi.org/10.1007/3-540-44839-X\_67}, doi = {10.1007/3-540-44839-X\_67}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JangPKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimKLKC03, author = {Won Kim and Il{-}Ju Ko and Na{-}Young Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {A Robust Watermarking Technique in Geometric Distortion of Digital Image}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {II}}, pages = {310--319}, year = {2003}, crossref = {DBLP:conf/iccsa/2003-2}, url = {https://doi.org/10.1007/3-540-44843-8\_33}, doi = {10.1007/3-540-44843-8\_33}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimKLKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/KimMO03, author = {Young{-}Won Kim and Kyung{-}Ae Moon and Il{-}Seok Oh}, title = {A Text Watermarking Algorithm based on Word Classification and Inter-word Space Statistics}, booktitle = {7th International Conference on Document Analysis and Recognition {(ICDAR} 2003), 2-Volume Set, 3-6 August 2003, Edinburgh, Scotland, {UK}}, pages = {775--779}, year = {2003}, crossref = {DBLP:conf/icdar/2003}, url = {https://doi.org/10.1109/ICDAR.2003.1227767}, doi = {10.1109/ICDAR.2003.1227767}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/KimMO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKICSHKPSCYCK03, author = {Inho Lee and Joung{-}Youn Kim and Yeon{-}Ho Im and Yunseok Choi and Hyunchul Shin and Chang{-}Young Han and Donghyun Kim and Hyoungjoon Park and Young{-}Il Seo and Kyusik Chung and Chang{-}Hyo Yu and Kanghyup Chun and Lee{-}Sup Kim}, title = {A hardware-like high-level language based environment for 3D graphics architecture exploration}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {512--515}, year = {2003}, crossref = {DBLP:conf/iscas/2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206023}, doi = {10.1109/ISCAS.2003.1206023}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKICSHKPSCYCK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/HanCSK03, author = {Sung Il Han and Young Hee Choi and Hyeon Kyeong Seong and Heung{-}Soo Kim}, title = {A Study on the Design of Flash Analog to Quaternary Converter Using {DLC} Comparator}, booktitle = {33rd {IEEE} International Symposium on Multiple-Valued Logic {(ISMVL} 2003), 16-19 May 2003, Tokyo, Japan}, pages = {221--226}, year = {2003}, crossref = {DBLP:conf/ismvl/2003}, url = {https://doi.org/10.1109/ISMVL.2003.1201409}, doi = {10.1109/ISMVL.2003.1201409}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismvl/HanCSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/HwangKHKK03, author = {Won Young Hwang and Hwan Il Kang and Seung{-}Soo Han and Kab Il Kim and Hwan Soo Kang}, title = {Robust Audio Watermarking Using Both {DWT} and Masking Effect}, booktitle = {Digital Watermarking, Second International Workshop, {IWDW} 2003, Seoul, Korea, October 20-22, 2003, Revised Papers}, pages = {382--389}, year = {2003}, crossref = {DBLP:conf/iwdw/2003}, url = {https://doi.org/10.1007/978-3-540-24624-4\_29}, doi = {10.1007/978-3-540-24624-4\_29}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/HwangKHKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mso/JungKLMK03, author = {Soo{-}Young Jung and Tae{-}Hyun Kim and Myung{-}Un Lee and Seung{-}Il Moon and Wook Hyun Kwon}, title = {Modeling and Control of {DSTATCOM} for Voltage Sag}, booktitle = {Proceedings of the {IASTED} International Conference on Modelling, Simulation and Optimization - {MSO} 2003, Banff, Canada, July 2-4, 2003}, pages = {108--113}, year = {2003}, crossref = {DBLP:conf/mso/2003}, timestamp = {Mon, 13 Oct 2003 10:54:57 +0200}, biburl = {https://dblp.org/rec/conf/mso/JungKLMK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/ChungCKL02, author = {Ilyong Chung and Wankyu Choi and Youngchel Kim and Mike Lee}, title = {The design of conference key distribution system employing a symmetric balanced incomplete block design}, journal = {Inf. Process. Lett.}, volume = {81}, number = {6}, pages = {313--318}, year = {2002}, url = {https://doi.org/10.1016/S0020-0190(01)00240-X}, doi = {10.1016/S0020-0190(01)00240-X}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/ChungCKL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amta/KimHHKYSC02, author = {Changhyun Kim and Munpyo Hong and Yinxia Huang and Young Kil Kim and Sung Il Yang and Young Ae Seo and Sung{-}Kwon Choi}, title = {Korean-Chinese Machine Translation Based on Verb Patterns}, booktitle = {Machine Translation: From Research to Real Users, 5th Conference of the Association for Machine Translation in the Americas, {AMTA} 2002 Tiburon, CA, USA, October 6-12, 2002, Proceedings}, pages = {94--103}, year = {2002}, crossref = {DBLP:conf/amta/2002}, url = {https://doi.org/10.1007/3-540-45820-4\_10}, doi = {10.1007/3-540-45820-4\_10}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/amta/KimHHKYSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KimCL02, author = {Hag Seong Kim and Young Man Cho and Kyo{-}Il Lee}, title = {Robust nonlinear task space control for a 6 {DOF} parallel manipulator}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {2062--2067}, year = {2002}, crossref = {DBLP:conf/cdc/2002}, url = {https://doi.org/10.1109/CDC.2002.1184833}, doi = {10.1109/CDC.2002.1184833}, timestamp = {Tue, 08 Mar 2022 11:46:48 +0100}, biburl = {https://dblp.org/rec/conf/cdc/KimCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurasiaict/LeeHK02, author = {Dong Chun Lee and Il{-}Sun Hwang and Robert Young Chul Kim}, title = {Hybrid Queuing Strategy to Reduce Call Blocking in Multimedia Wireless Networks}, booktitle = {EurAsia-ICT 2002: Information and Communication Technology, First EurAsian Conference, Shiraz, Iran, October 29-31, 2002, Proceedings}, pages = {615--623}, year = {2002}, crossref = {DBLP:conf/eurasiaict/2002}, url = {https://doi.org/10.1007/3-540-36087-5\_72}, doi = {10.1007/3-540-36087-5\_72}, timestamp = {Tue, 29 Dec 2020 18:31:42 +0100}, biburl = {https://dblp.org/rec/conf/eurasiaict/LeeHK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChangKYK02, author = {Sungwook Chang and Younghun Kwon and Sung{-}il Yang and I{-}jae Kim}, title = {Speech enhancement for non-stationary noise environment by adaptive wavelet packet}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2002, May 13-17 2002, Orlando, Florida, {USA}}, pages = {561--564}, year = {2002}, crossref = {DBLP:conf/icassp/2002}, url = {https://doi.org/10.1109/ICASSP.2002.5743779}, doi = {10.1109/ICASSP.2002.5743779}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChangKYK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LimMKH02, author = {Chae{-}Hyun Lim and Sung{-}Hoon Moon and Young{-}Il Kim and Dong{-}Seog Han}, title = {Channel capacity enhancement using virtual array elements in smart antenna systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2002, April 28 - May 2, 2002, New York City, NY, {USA}}, pages = {155--159}, year = {2002}, crossref = {DBLP:conf/icc/2002}, url = {https://doi.org/10.1109/ICC.2002.996836}, doi = {10.1109/ICC.2002.996836}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LimMKH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/ChunCCLK02, author = {Jae{-}Kyu Chun and Ki{-}Yong Cho and Seok{-}Hyung Cho and Young{-}Woo Lee and Young{-}Il Kim}, title = {Network Management Based On {PC} Communication Platform With {SNMP} {AND} {MOBILE} {AGENTS}}, booktitle = {22nd International Conference on Distributed Computing Systems, Workshops {(ICDCSW} '02) July 2-5, 2002, Vienna, Austria, Proceedings}, pages = {222--227}, year = {2002}, crossref = {DBLP:conf/icdcsw/2002}, url = {https://doi.org/10.1109/ICDCSW.2002.1030773}, doi = {10.1109/ICDCSW.2002.1030773}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/ChunCCLK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/HanKJCS02, author = {Jin{-}Hee Han and Young{-}Jin Kim and Sung{-}Ik Jun and Kyo{-}Il Chung and Chang{-}Ho Seo}, title = {Implementation of {ECC/ECDSA} Cryptography Algorithms Based on Java Card}, booktitle = {22nd International Conference on Distributed Computing Systems, Workshops {(ICDCSW} '02) July 2-5, 2002, Vienna, Austria, Proceedings}, pages = {272--278}, year = {2002}, crossref = {DBLP:conf/icdcsw/2002}, url = {https://doi.org/10.1109/ICDCSW.2002.1030781}, doi = {10.1109/ICDCSW.2002.1030781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/HanKJCS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/YuJK02, author = {Young{-}ho Yu and Il{-}dong Jung and Kyongsok Kim}, title = {A Study of Broadcasting Method Using Linear Clustering to Support Location Dependent Query in Mobile Computing}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {II}}, pages = {104--112}, year = {2002}, crossref = {DBLP:conf/icoin/2002-2}, url = {https://doi.org/10.1007/3-540-45801-8\_11}, doi = {10.1007/3-540-45801-8\_11}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/YuJK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/KimKHSYC02, author = {Changhyun Kim and Young Kil Kim and Munpyo Hong and Young Ae Seo and Sung Il Yang and Sung{-}Kwon Choi}, title = {Verb Pattern Based Korean-Chinese Machine Translation System}, booktitle = {Proceedings of the 16th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2002, Jeju, Korea, January 31 - February 2, 2002}, pages = {157--165}, year = {2002}, crossref = {DBLP:conf/paclic/2002}, url = {https://hdl.handle.net/2065/12221}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/KimKHSYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChangKYDSYSP02, author = {KyungHi Chang and Young{-}Hoon Kim and ChangWahn Yu and Kim DaeHo and Kyung{-}Yeol Sohn and Choong Il Yeh and Young Seog Song and Hyeong{-}Sook Park}, title = {Performance validation of wideband {CDMA} user equipment {(UE)} modem}, booktitle = {The 13th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, Lisboa, Portugal, September 15-18, 2002}, pages = {1372--1376}, year = {2002}, crossref = {DBLP:conf/pimrc/2002}, url = {https://doi.org/10.1109/PIMRC.2002.1045253}, doi = {10.1109/PIMRC.2002.1045253}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/ChangKYDSYSP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/ParkBKY02, author = {Taesoon Park and Ilsoo Byun and Hyunjoo Kim and Heon Young Yeom}, title = {The Performance of Checkpointing and Replication Schemes for Fault Tolerant Mobile Agent Systems}, booktitle = {21st Symposium on Reliable Distributed Systems {(SRDS} 2002), 13-16 October 2002, Osaka, Japan}, pages = {256--261}, year = {2002}, crossref = {DBLP:conf/srds/2002}, url = {https://doi.org/10.1109/RELDIS.2002.1180195}, doi = {10.1109/RELDIS.2002.1180195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/ParkBKY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmc/JungYLK02, author = {Il{-}dong Jung and Young{-}ho You and Jonghwan Lee and Kyongsok Kim}, title = {Broadcasting and caching policies for location-dependent queries in urban areas}, booktitle = {Proceedings of the 2nd International Workshop on Mobile Commerce, Atlanta, Georgia, USA, September 28, 2002}, pages = {54--60}, year = {2002}, crossref = {DBLP:conf/wmc/2002}, url = {https://doi.org/10.1145/570705.570716}, doi = {10.1145/570705.570716}, timestamp = {Tue, 10 Mar 2020 16:23:49 +0100}, biburl = {https://dblp.org/rec/conf/wmc/JungYLK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeKPM01, author = {Kyu{-}Il Lee and Jinsoo Kim and Young June Park and Hong{-}Shick Min}, title = {Simple frequency-domain analysis of MOSFET-includingnonquasi-static effect}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {7}, pages = {867--876}, year = {2001}, url = {https://doi.org/10.1109/43.931011}, doi = {10.1109/43.931011}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LeeKPM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/SongKY01, author = {Seok Il Song and Young Ho Kim and Jae Soo Yoo}, title = {An Enhanced Concurrency Control Scheme for Multi-Dimensional Index Structures}, booktitle = {Database Systems for Advanced Applications, Proceedings of the 7th International Conference on Database Systems for Advanced Applications {(DASFAA} 2001), 18-20 April 2001 - Hong Kong, China}, pages = {200--207}, year = {2001}, crossref = {DBLP:conf/dasfaa/2001}, url = {https://doi.org/10.1109/DASFAA.2001.916379}, doi = {10.1109/DASFAA.2001.916379}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/SongKY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/LeePKSCKLS01, author = {Jae{-}Min Lee and Tae Rim Park and Hyung Seok Kim and Soo Young Shin and Jae Young Choi and Wook Hyun Kwon and Sung Woo Lee and Sung Il Song}, title = {A communication network with high safety, maintainability, and user convenience for digital {I} and {C} systems of nuclear power plants}, booktitle = {Proceedings of 8th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2001, October 15-18, 2001, Antibes/Juan les Pins, France - Volume 1}, pages = {353--358}, year = {2001}, crossref = {DBLP:conf/etfa/2001-1}, url = {https://doi.org/10.1109/ETFA.2001.996388}, doi = {10.1109/ETFA.2001.996388}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/LeePKSCKLS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KimL01a, author = {Young{-}Il Kim and Kwang H. Lee}, title = {A Pseudo Metric on Fuzzy Sets Based on the Satisfaction Function With Viewpoint}, booktitle = {Proceedings of the 10th {IEEE} International Conference on Fuzzy Systems, Melbourne, Australia, December 2-5, 2001}, pages = {654--657}, year = {2001}, crossref = {DBLP:conf/fuzzIEEE/2001}, url = {https://doi.org/10.1109/FUZZ.2001.1009039}, doi = {10.1109/FUZZ.2001.1009039}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KimL01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/JangKC01, author = {Seok{-}Woo Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Detecting Shot Transitions for Video Indexing with {FAM}}, booktitle = {Artificial Neural Networks - {ICANN} 2001, International Conference Vienna, Austria, August 21-25, 2001 Proceedings}, pages = {1020--1025}, year = {2001}, crossref = {DBLP:conf/icann/2001}, url = {https://doi.org/10.1007/3-540-44668-0\_141}, doi = {10.1007/3-540-44668-0\_141}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/JangKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/LeeKC01, author = {Joong{-}Jae Lee and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {An Image Registration Algorithm Based on Cylindrical Prototype Model}, booktitle = {Computational Science - {ICCS} 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part {II}}, pages = {37--43}, year = {2001}, crossref = {DBLP:conf/iccS/2001-2}, url = {https://doi.org/10.1007/3-540-45718-6\_5}, doi = {10.1007/3-540-45718-6\_5}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccS/LeeKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KimCJH01, author = {SungSuk Kim and IlYoung Chung and Soon Young Jung and Chong{-}Sun Hwang}, title = {Optimistic Transaction Processing Algorithms in Pure-Push and Adaptive Broadcast Environments}, booktitle = {Eigth International Conference on Parallel and Distributed Systems, {ICPADS} 2001, KyongJu City, Korea, June 26-29, 2001}, pages = {61--68}, year = {2001}, crossref = {DBLP:conf/icpads/2001}, url = {https://doi.org/10.1109/ICPADS.2001.934802}, doi = {10.1109/ICPADS.2001.934802}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/KimCJH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/HanCK01, author = {Sung Il Han and Young Hee Choi and Heung See Kim}, title = {A 4-Digit {CMOS} Quaternary to Analog Converter with Current Switch and Neuron {MOS} Down Literal Circuit}, booktitle = {31st {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2001, Warsaw, Poland, May 22-24, 2001, Proceedings}, pages = {67--74}, year = {2001}, crossref = {DBLP:conf/ismvl/2001}, url = {https://doi.org/10.1109/ISMVL.2001.924556}, doi = {10.1109/ISMVL.2001.924556}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismvl/HanCK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/YoonHKJ01, author = {Hye{-}Sook Yoon and Hyuk Han and Young{-}Kuk Kim and Sung{-}Il Jin}, title = {Developing a Triggering System for Real-Time Databases in Distributed Environment}, booktitle = {4th International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2001), 2-4 May 2001, Magdeburg, Germany}, pages = {366}, year = {2001}, crossref = {DBLP:conf/isorc/2001}, url = {https://doi.org/10.1109/ISORC.2001.922861}, doi = {10.1109/ISORC.2001.922861}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/YoonHKJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/HwangKKKK01, author = {Jinwoo Hwang and June Sic Kim and Jae Seok Kim and In{-}Young Kim and Sun Il Kim}, title = {Real-time volume rendering of 4D image using 3D texture mapping}, booktitle = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, year = {2001}, crossref = {DBLP:conf/miigp/2001}, url = {https://doi.org/10.1117/12.428099}, doi = {10.1117/12.428099}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/HwangKKKK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mldm/JangKC01, author = {Seok{-}Woo Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {FAM-Based Fuzzy Inference for Detecting Shot Transitions}, booktitle = {Machine Learning and Data Mining in Pattern Recognition, Second International Workshop, {MLDM} 2001, Leipzig, Germany, July 25-27, 2001, Proceedings}, pages = {52--61}, year = {2001}, crossref = {DBLP:conf/mldm/2001}, url = {https://doi.org/10.1007/3-540-44596-X\_5}, doi = {10.1007/3-540-44596-X\_5}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mldm/JangKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlprs/YangKSCP01, author = {Seong{-}il Yang and Young Kil Kim and Young Ae Seo and Sung{-}Kwon Choi and Sang{-}Kyu Park}, title = {Korean to English {TV} Caption Translator: "CaptionEye/KE"}, booktitle = {Proceedings of the Sixth Natural Language Processing Pacific Rim Symposium, November 27-30, 2001, Hitotsubashi Memorial Hall, National Center of Sciences, Tokyo, Japan}, pages = {639--645}, year = {2001}, crossref = {DBLP:conf/nlprs/2001}, url = {http://www.afnlp.org/nlprs2001/pdf/0172-01.pdf}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nlprs/YangKSCP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/JangKKKH01, author = {Yongwon Jang and Intaek Kim and Hwan Il Kang and Kab Il Kim and Seung{-}Soo Han}, title = {Blind Watermarking Algorithm Using Complex Block Selection Method}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2001, Second {IEEE} Pacific Rim Conference on Multimedia, Bejing, China, October 24-26, 2001, Proceedings}, pages = {996--1001}, year = {2001}, crossref = {DBLP:conf/pcm/2001}, url = {https://doi.org/10.1007/3-540-45453-5\_134}, doi = {10.1007/3-540-45453-5\_134}, timestamp = {Fri, 24 Mar 2023 08:33:27 +0100}, biburl = {https://dblp.org/rec/conf/pcm/JangKKKH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/RyuHKKJ00, author = {Chanho Ryu and Hyeok Han and Young{-}Keol Kim and Young{-}Kuk Kim and Seong{-}Il Jin}, title = {Kernel Structuring Using Time-Triggered Message-Triggered Objects for Real-Time Active {DBMS} in Layered Architecture}, booktitle = {3rd International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2000), 15-17 March 2000, Newport Beach, CA, {USA}}, pages = {148--155}, year = {2000}, crossref = {DBLP:conf/isorc/2000}, url = {https://doi.org/10.1109/ISORC.2000.839522}, doi = {10.1109/ISORC.2000.839522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/RyuHKKJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ChoiYSKKJHC00, author = {Miseon Choi and Hye{-}Sook Yoon and Eun{-}Mi Song and Young{-}Keol Kim and Young{-}Kuk Kim and Seong{-}Il Jin and Mi{-}kyong Han and Wan Choi}, title = {Two-step backup mechanism for real-time main memory database recovery}, booktitle = {7th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} 2000), 12-14 December 2000, Cheju Island, South Korea}, pages = {453--457}, year = {2000}, crossref = {DBLP:conf/rtcsa/2000}, url = {https://doi.org/10.1109/RTCSA.2000.896425}, doi = {10.1109/RTCSA.2000.896425}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ChoiYSKKJHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKKKKKYS99, author = {Sung Bae Park and Young Wug Kim and Young Gun Ko and Kwang Il Kim and Il Kwon Kim and Hee{-}Sung Kang and Jin Oh Yu and Kwang Pyuk Suh}, title = {A 0.25-{\(\mu\)}m, 600-MHz, 1.5-V, fully depleted {SOI} {CMOS} 64-bit microprocessor}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {11}, pages = {1436--1445}, year = {1999}, url = {https://doi.org/10.1109/4.799847}, doi = {10.1109/4.799847}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkKKKKKYS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/YangSKYC99, author = {Tae{-}Young Yang and Won{-}Ho Shin and Weon{-}Goo Kim and Dae Hee Youn and Il{-}Whan Cha}, title = {On using formants to improve {SCHMM} speaker adaptation}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {7}, number = {2}, pages = {226--230}, year = {1999}, url = {https://doi.org/10.1109/89.748128}, doi = {10.1109/89.748128}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/YangSKYC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimCOSC99, author = {Byoung{-}Ho Kim and Nak Young Chong and Sang{-}Rok Oh and Il Hong Suh and Young{-}Jo Cho}, title = {Intelligent Compliance Control for Robot Manipulators Using Adaptive Stiffness Characteristics}, booktitle = {1999 {IEEE} International Conference on Robotics and Automation, Marriott Hotel, Renaissance Center, Detroit, Michigan, USA, May 10-15, 1999, Proceedings}, pages = {2134--2139}, year = {1999}, crossref = {DBLP:conf/icra/1999}, url = {https://doi.org/10.1109/ROBOT.1999.770422}, doi = {10.1109/ROBOT.1999.770422}, timestamp = {Wed, 20 Jun 2018 17:51:11 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimCOSC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pts/KangSKHYKSYK99, author = {Sungwon Kang and Youngsoo Seo and Deukyoon Kang and Mijeong Hong and Junhwan Yang and Ilkook Koh and Jaehwi Shin and Sangjo Yoo and Myungchul Kim}, title = {Development and Application of {ATM} Protocol Conformance Test System}, booktitle = {Testing of Communicating Systems: Method and Applications, {IFIP} {TC6} 12\({}^{\mbox{th}}\) International Workshop on Testing Communicating Systems, September 1-3, 1999, Budapest, Hungary}, pages = {331--346}, year = {1999}, crossref = {DBLP:conf/pts/1999}, timestamp = {Mon, 05 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pts/KangSKHYKSYK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/SongRCKJKHC99, author = {Eun{-}Mi Song and Chanho Ryu and Mi{-}Sun Choi and Young{-}Kuk Kim and Seong{-}Il Jin and Young{-}Keol Kim and Mi{-}kyong Han and Wan Choi}, title = {No-Log Recovery Mechanism Using Stable Memory For Real-Time Main Memory Database Systems}, booktitle = {6th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} '99), 13-16 December 1999, Hong Kong, China}, pages = {428}, year = {1999}, crossref = {DBLP:conf/rtcsa/1999}, url = {https://doi.org/10.1109/RTCSA.1999.811294}, doi = {10.1109/RTCSA.1999.811294}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/SongRCKJKHC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cata/KimJPP98, author = {Young{-}Keol Kim and Seong{-}Il Jin and Yoo{-}Mi Park and Jinwon Park}, title = {Design and performance evaluation of the system architecture in a parallel database system: {SPAX}}, booktitle = {Computers and Their Applications (CATA-98), Proceedings of the {ISCA} 13th International Conference, Honolulu, Hawaii, USA, March 25-27, 1998}, pages = {198--201}, year = {1998}, crossref = {DBLP:conf/cata/1998}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cata/KimJPP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cata/ParkKJC98, author = {Yoo{-}Mi Park and Young{-}Keol Kim and Seong{-}Il Jin and Wan Choi}, title = {Hierarchical structure-based metadata model for video database applications}, booktitle = {Computers and Their Applications (CATA-98), Proceedings of the {ISCA} 13th International Conference, Honolulu, Hawaii, USA, March 25-27, 1998}, pages = {242--245}, year = {1998}, crossref = {DBLP:conf/cata/1998}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cata/ParkKJC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangKNCSRKLLKYKLHKKPPLHPK98, author = {Jin{-}Hyuk Yang and Byoung{-}Woon Kim and Sang{-}Jun Nam and Jang{-}Ho Cho and Sung{-}Won Seo and Chang{-}Ho Ryu and Young{-}Su Kwon and Dae{-}Hyun Lee and Jong{-}Yeol Lee and Jong{-}Sun Kim and Hyun{-}Dhong Yoon and Jae{-}Yeol Kim and Kun{-}Moo Lee and Chan{-}Soo Hwang and In{-}Hyung Kim and Jun Sung Kim and Kwang{-}Il Park and Kyu Ho Park and Yong Hoon Lee and Seung Ho Hwang and In{-}Cheol Park and Chong{-}Min Kyung}, title = {MetaCore: An Application Specific {DSP} Development System}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {800--803}, year = {1998}, crossref = {DBLP:conf/dac/1998}, url = {https://doi.org/10.1145/277044.277247}, doi = {10.1145/277044.277247}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangKNCSRKLLKYKLHKKPPLHPK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChongKLKH98, author = {Youngjun Chong and Sanggee Kang and Ilkyoo Lee and Bongkyum Kim and Heonjin Hong}, title = {The implementation of a base station transceiver for {WLL} system based on wideband {CDMA}}, booktitle = {1998 {IEEE} International Conference on Communications, {ICC} 1998, Atlanta, GA, USA, June 7-11, 1998}, pages = {43--47}, year = {1998}, crossref = {DBLP:conf/icc/1998}, url = {https://doi.org/10.1109/ICC.1998.682583}, doi = {10.1109/ICC.1998.682583}, timestamp = {Tue, 23 Feb 2021 12:00:44 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChongKLKH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeLKKKKL98, author = {Yong{-}Ju Lee and Sook{-}Hyang Lee and Jong{-}Jin Kim and Hyun{-}Ju Ko and Young{-}Il Kim and Sanghun Kim and Jung{-}Cheol Lee}, title = {A computational algorithm for {F0} contour generation in Korean developed with prosodically labeled databases using k-toBI system}, booktitle = {The 5th International Conference on Spoken Language Processing, Incorporating The 7th Australian International Speech Science and Technology Conference, Sydney Convention Centre, Sydney, Australia, 30th November - 4th December 1998}, year = {1998}, crossref = {DBLP:conf/interspeech/1998}, url = {https://doi.org/10.21437/ICSLP.1998-34}, doi = {10.21437/ICSLP.1998-34}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeLKKKKL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YangKLYC98, author = {Tae{-}Young Yang and Ji{-}Sung Kim and Chungyong Lee and Dae Hee Youn and Il{-}Whan Cha}, title = {Duration modeling using cumulative duration probability and speaking rate compensation}, booktitle = {The 5th International Conference on Spoken Language Processing, Incorporating The 7th Australian International Speech Science and Technology Conference, Sydney Convention Centre, Sydney, Australia, 30th November - 4th December 1998}, year = {1998}, crossref = {DBLP:conf/interspeech/1998}, url = {https://doi.org/10.21437/ICSLP.1998-197}, doi = {10.21437/ICSLP.1998-197}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YangKLYC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/RyuSJKJ98, author = {Chanho Ryu and Eun{-}Mi Song and Booseon Jun and Young{-}Kuk Kim and Seong{-}Il Jin}, title = {Hybrid-TH: {A} Hybrid Access Mechanism for Real-Time Main-Memory Resident Database Systems}, booktitle = {5th International Workshop on Real-Time Computing Systems and Applications {(RTCSA} '98), 27-29 October 1998, Hiroshima, Japan}, pages = {303}, year = {1998}, crossref = {DBLP:conf/rtcsa/1998}, url = {https://doi.org/10.1109/RTCSA.1998.726431}, doi = {10.1109/RTCSA.1998.726431}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/RyuSJKJ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KimYL98, author = {Ilhwan Kim and Heon Young Yeom and Joonwon Lee}, title = {Analysis of buffer replacement policies for {WWW} proxy}, booktitle = {Proceedings of the 1998 {ACM} symposium on Applied Computing, SAC'98, Atlanta, GA, USA, February 27 - March 1, 1998}, pages = {98--103}, year = {1998}, crossref = {DBLP:conf/sac/1998}, url = {https://doi.org/10.1145/330560.330654}, doi = {10.1145/330560.330654}, timestamp = {Tue, 06 Nov 2018 11:06:46 +0100}, biburl = {https://dblp.org/rec/conf/sac/KimYL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChoiK97, author = {Hyung{-}Il Choi and Gye{-}Young Kim}, title = {Motion interpretation by analyzing difference images}, journal = {J. Syst. Archit.}, volume = {43}, number = {1-5}, pages = {307--316}, year = {1997}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ChoiK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/JangKC97, author = {Dae{-}Sik Jang and Gye{-}Young Kim and Hyung{-}Il Choi}, title = {Model-based tracking of moving object}, journal = {Pattern Recognit.}, volume = {30}, number = {6}, pages = {999--1008}, year = {1997}, url = {https://doi.org/10.1016/S0031-3203(96)00128-8}, doi = {10.1016/S0031-3203(96)00128-8}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/JangKC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimCLLL97, author = {Young{-}Il Kim and Jai{-}Hoon Chung and Chang Soo Lim and Jai{-}Young Lee and Sang{-}Bae Lee}, title = {A Hybrid Performance Management Scheme for {OAM} Function Supporting QoS Management in {ATM} Network}, booktitle = {1997 {IEEE} International Conference on Communications: Towards the Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, June 8-12, 1997}, pages = {251--255}, year = {1997}, crossref = {DBLP:conf/icc/1997}, url = {https://doi.org/10.1109/ICC.1997.605220}, doi = {10.1109/ICC.1997.605220}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimCLLL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lisa/YeomHK96, author = {Heon Young Yeom and Jungsoo Ha and Ilhwan Kim}, title = {{IP} Multiplexing by Transparent Port-Address Translator}, booktitle = {Proceedings of the 10th Conference on Systems Administration {(LISA} 1996), Chicago, IL, USA, September 29 - October 4, 1996}, pages = {113--122}, year = {1996}, crossref = {DBLP:conf/lisa/1996}, url = {http://www.usenix.org/publications/library/proceedings/lisa96/yeom.html}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lisa/YeomHK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/JangCK96, author = {Dae{-}Sik Jang and Hyung{-}Il Choi and Gye{-}Young Kim}, title = {Real-time Tracking with Kalman Filter}, booktitle = {Proceedings of {IAPR} Workshop on Machine Vision Applications, {MVA} 1996, November 12-14, 1996, Tokyo, Japan}, pages = {10--13}, year = {1996}, crossref = {DBLP:conf/mva/1996}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/1996/papers/1996005.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/JangCK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/KimZY95, author = {Kab Il Kim and Yuan F. Zheng and Keon Young Yi}, title = {Unknown Load Distribution by Two Coordinating Robots}, journal = {Intell. Autom. Soft Comput.}, volume = {1}, number = {2}, pages = {191--208}, year = {1995}, url = {https://doi.org/10.1080/10798587.1995.10750629}, doi = {10.1080/10798587.1995.10750629}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/KimZY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/WhangSCKCPS95, author = {Kyu{-}Young Whang and Ju{-}Won Song and Ji{-}Woong Chang and Ji{-}Yun Kim and Wan{-}Sup Cho and Chong{-}Mok Park and Il{-}Yeol Song}, title = {Octree-R: An Adaptive Octree for Efficient Ray Tracing}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {1}, number = {4}, pages = {343--349}, year = {1995}, url = {https://doi.org/10.1109/2945.485621}, doi = {10.1109/2945.485621}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/WhangSCKCPS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/KimPCY92, author = {Ki Man Kim and Young{-}Cheol Park and Il{-}Whan Cha and Dae Hee Youn}, title = {Adaptive multichannel lattice-escalator filter structure: an application to generalized sidelobe canceler}, journal = {{IEEE} Trans. Signal Process.}, volume = {40}, number = {7}, pages = {1816--1819}, year = {1992}, url = {https://doi.org/10.1109/78.143453}, doi = {10.1109/78.143453}, timestamp = {Mon, 13 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/KimPCY92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigcomp/2024, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024}, doi = {10.1109/BIGCOMP60711.2024}, isbn = {979-8-3503-7002-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2024, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904}, doi = {10.1145/3613904}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/chi/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2024, title = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024}, doi = {10.1109/ICEIC61013.2024}, isbn = {979-8-3503-7188-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2024, title = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024}, doi = {10.23919/ICACT60172.2024}, isbn = {979-11-88428-12-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icact/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iclr/2024, title = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/group?id=ICLR.cc/2024/Conference}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iclr/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2024, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024}, doi = {10.1109/ICRA57147.2024}, isbn = {979-8-3503-8457-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2024, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024}, doi = {10.1109/IRPS48228.2024}, isbn = {979-8-3503-6976-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2024, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024}, doi = {10.1109/ISCAS58744.2024}, isbn = {979-8-3503-3099-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2024, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024}, doi = {10.1109/ISSCC49657.2024}, isbn = {979-8-3503-0620-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/urai/2024, title = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/UR61395.2024}, doi = {10.1109/UR61395.2024}, isbn = {979-8-3503-6107-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/urai/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vr/2024w, title = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2024, Orlando, FL, USA, March 16-21, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VRW62533.2024}, doi = {10.1109/VRW62533.2024}, isbn = {979-8-3503-7449-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vr/2024w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wacv/2024, title = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024}, doi = {10.1109/WACV57701.2024}, isbn = {979-8-3503-1892-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/wacv/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACMdis/2023, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563657}, doi = {10.1145/3563657}, isbn = {978-1-4503-9893-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2023, title = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023}, doi = {10.1109/CCNC51644.2023}, isbn = {978-1-6654-9734-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2023, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/volumes/2023.emnlp-main/}, isbn = {979-8-89176-060-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2023, title = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023}, doi = {10.1109/ESSCIRC59616.2023}, isbn = {979-8-3503-0420-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2023, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, publisher = {{PMLR}}, year = {2023}, url = {http://proceedings.mlr.press/v202/}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icml/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2023, title = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023}, doi = {10.1109/ICTC58733.2023}, isbn = {979-8-3503-1327-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2023, title = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023}, doi = {10.1109/IGARSS52108.2023}, isbn = {979-8-3503-2010-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2023, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023}, doi = {10.1109/ISSCC42615.2023}, isbn = {978-1-6654-9016-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2023, title = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023}, isbn = {978-4-86348-806-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/accv/2022-5, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13845}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26348-4}, doi = {10.1007/978-3-031-26348-4}, isbn = {978-3-031-26347-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/accv/2022-5.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ascc/2022, title = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022}, doi = {10.23919/ASCC56756.2022}, isbn = {978-89-93215-23-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ascc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csci/2022, title = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022}, doi = {10.1109/CSCI58124.2022}, isbn = {979-8-3503-2028-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/csci/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2022-w3, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-25066-8}, doi = {10.1007/978-3-031-25066-8}, isbn = {978-3-031-25065-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2022-w3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2022-w8, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-25085-9}, doi = {10.1007/978-3-031-25085-9}, isbn = {978-3-031-25084-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2022-w8.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2022-w2, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13802}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-25063-7}, doi = {10.1007/978-3-031-25063-7}, isbn = {978-3-031-25062-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2022-w2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2022-23, editor = {Matthias Rauterberg}, title = {Culture and Computing - 10th International Conference, C{\&}C 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13324}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05434-1}, doi = {10.1007/978-3-031-05434-1}, isbn = {978-3-031-05433-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/hci/2022-23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2022, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022}, doi = {10.1109/IRPS48227.2022}, isbn = {978-1-6654-7950-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ismar/2022, editor = {Henry B. L. Duh and Ian Williams and Jens Grubert and J. Adam Jones and Jianmin Zheng}, title = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2022, Singapore, October 17-21, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMAR55827.2022}, doi = {10.1109/ISMAR55827.2022}, isbn = {978-1-6654-5325-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ismar/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2022, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022}, doi = {10.1109/ISSCC42614.2022}, isbn = {978-1-6654-2800-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micad/2022, editor = {Karen Drukker and Khan M. Iftekharuddin}, title = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12033}, publisher = {{SPIE}}, year = {2022}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/12033.toc}, isbn = {9781510649415}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/micad/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2022, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/xpl/conhome/9748173/proceeding}, isbn = {978-1-55752-466-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2022, title = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022}, isbn = {978-1-6654-9772-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2021, title = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021}, doi = {10.1109/ICEIC51217.2021}, isbn = {978-1-7281-9161-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2021, title = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021}, doi = {10.1109/HCS52781.2021}, isbn = {978-1-6654-1397-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2021, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021}, doi = {10.1109/ICTC52510.2021}, isbn = {978-1-6654-2383-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2021, title = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021}, doi = {10.1109/IROS51168.2021}, isbn = {978-1-6654-1714-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iros/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2021, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021}, doi = {10.1109/ISSCC42613.2021}, isbn = {978-1-7281-9549-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2021, title = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SMC52423.2021}, doi = {10.1109/SMC52423.2021}, isbn = {978-1-6654-4207-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/smc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vr/2021w, title = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2021, Lisbon, Portugal, March 27 - April 1, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VRW52623.2021}, doi = {10.1109/VRW52623.2021}, isbn = {978-1-6654-4057-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vr/2021w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aaai/2020, title = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, publisher = {{AAAI} Press}, year = {2020}, url = {https://ojs.aaai.org/index.php/AAAI/issue/view/249}, isbn = {978-1-57735-823-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/aaai/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amcis/2020, editor = {Bonnie Brinton Anderson and Jason Thatcher and Rayman D. Meservy and Kathy Chudoba and Kelly J. Fadel and Sue Brown}, title = {26th Americas Conference on Information Systems, {AMCIS} 2020, Virtual Conference, August 15-17, 2020}, publisher = {Association for Information Systems}, year = {2020}, url = {http://aisel.aisnet.org/amcis2020/}, isbn = {978-1-7336325-4-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/amcis/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmvc/2020, title = {31st British Machine Vision Conference 2020, {BMVC} 2020, Virtual Event, UK, September 7-10, 2020}, publisher = {{BMVA} Press}, year = {2020}, url = {https://www.bmvc2020-conference.com/programme/accepted-papers/}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cinc/2020, title = {Computing in Cardiology, CinC 2020, Rimini, Italy, September 13-16, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9344050/proceeding}, isbn = {978-1-7281-7382-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/cinc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2020, title = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9167168/proceeding}, isbn = {978-1-7281-1990-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/embc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2020, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020}, doi = {10.1109/ICTC49870.2020}, isbn = {978-1-7281-6758-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2020, title = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9125439/proceeding}, isbn = {978-1-7281-3199-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2020, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020}, doi = {10.1109/ISCAS45731.2020}, isbn = {978-1-7281-3320-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2020, title = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9046640/proceeding}, isbn = {978-1-7281-3205-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micad/2020, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/11314.toc}, isbn = {9781510633957}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/micad/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2020, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/nips/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2020, title = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9146894/proceeding}, isbn = {978-1-7281-9942-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsc/2020, title = {Winter Simulation Conference, {WSC} 2020, Orlando, FL, USA, December 14-18, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WSC48552.2020}, doi = {10.1109/WSC48552.2020}, isbn = {978-1-7281-9499-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/wsc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibm/2019, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8965270/proceeding}, isbn = {978-1-7281-1867-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/bibm/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccta/2019, title = {2019 {IEEE} Conference on Control Technology and Applications, {CCTA} 2019, Hong Kong, SAR, China, August 19-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8911117/proceeding}, isbn = {978-1-7281-2767-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ccta/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2019, title = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICEIC46243.2019}, doi = {10.23919/ICEIC46243.2019}, isbn = {978-89-950044-4-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2019, title = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8791230/proceeding}, isbn = {978-1-5386-6249-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icip/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icisc/2019, editor = {Jae Hong Seo}, title = {Information Security and Cryptology - {ICISC} 2019 - 22nd International Conference, Seoul, South Korea, December 4-6, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11975}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-40921-0}, doi = {10.1007/978-3-030-40921-0}, isbn = {978-3-030-40920-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icisc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2019-1, editor = {Tom Gedeon and Kok Wai Wong and Minho Lee}, title = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11953}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36708-4}, doi = {10.1007/978-3-030-36708-4}, isbn = {978-3-030-36707-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2019-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2019, title = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8932631/proceeding}, isbn = {978-1-7281-0893-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2019, title = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790385/proceeding}, isbn = {978-1-7281-1340-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2019, title = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8897531/proceeding}, isbn = {978-1-7281-4878-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2019, title = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9017212/proceeding}, isbn = {978-1-7281-2478-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2019, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8656625/proceeding}, isbn = {978-1-5386-8531-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/medinfo/2019, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI264}, doi = {10.3233/SHTI264}, isbn = {978-1-64368-002-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miccai/2019-3, editor = {Dinggang Shen and Tianming Liu and Terry M. Peters and Lawrence H. Staib and Caroline Essert and Sean Zhou and Pew{-}Thian Yap and Ali R. Khan}, title = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11766}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32248-9}, doi = {10.1007/978-3-030-32248-9}, isbn = {978-3-030-32247-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/miccai/2019-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2019, title = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8766307/proceeding}, isbn = {978-4-86348-720-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amia/2018, title = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, publisher = {{AMIA}}, year = {2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/amia/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2018, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8547238/proceeding}, isbn = {978-1-5386-6413-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmei/2018, editor = {Wei Li and Qingli Li and Lipo Wang}, title = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8620379/proceeding}, isbn = {978-1-5386-7604-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/bmei/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/drc/2018, title = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA, June 24-27, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DRC43961.2018}, doi = {10.1109/DRC43961.2018}, isbn = {978-1-5386-3027-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/drc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2018-4, editor = {Osvaldo Gervasi and Beniamino Murgante and Sanjay Misra and Elena N. Stankova and Carmelo Maria Torre and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan and Eufemia Tarantino and Yeonseung Ryu}, title = {Computational Science and Its Applications - {ICCSA} 2018 - 18th International Conference, Melbourne, VIC, Australia, July 2-5, 2018, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {10963}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95171-3}, doi = {10.1007/978-3-319-95171-3}, isbn = {978-3-319-95170-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2018-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2018, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8509497/proceeding}, isbn = {978-1-5386-5041-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2018, title = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8304413/proceeding}, isbn = {978-1-5090-4940-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sc2/2018, title = {8th {IEEE} International Symposium on Cloud and Service Computing, {SC2} 2018, Paris, France, November 18-21, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8566030/proceeding}, isbn = {978-1-7281-0236-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/sc2/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/syroco/2018, editor = {Mihoko Niitsuma}, title = {12th {IFAC} Symposium on Robot Control, SyRoCo 2018, Budapest, Hungary, August 27-30, 2018}, series = {IFAC-PapersOnline}, volume = {51}, number = {22}, publisher = {International Federation of Automatic Control}, year = {2018}, url = {https://www.sciencedirect.com/journal/ifac-papersonline/vol/51/issue/22}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/syroco/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2018, title = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8484863/proceeding}, isbn = {978-1-5386-4214-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2018s, title = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8417184/proceeding}, isbn = {978-1-5386-6355-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2018s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2017, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8226344/proceeding}, isbn = {978-1-5386-3178-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmsb/2017, title = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7980361/proceeding}, isbn = {978-1-5090-4937-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codit/2017, title = {4th International Conference on Control, Decision and Information Technologies, CoDIT 2017, Barcelona, Spain, April 5-7, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8091148/proceeding}, isbn = {978-1-5090-6465-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/codit/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2017, title = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8026122/proceeding}, isbn = {978-1-5090-2809-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/embc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eucnc/2017, title = {2017 European Conference on Networks and Communications, EuCNC 2017, Oulu, Finland, June 12-15, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7972783/proceeding}, isbn = {978-1-5386-3873-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2017w, title = {2017 {IEEE} Globecom Workshops, Singapore, December 4-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8267147/proceeding}, isbn = {978-1-5386-3920-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2017w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2017, title = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7920262/proceeding}, isbn = {978-1-5090-4985-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2017, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7886213/proceeding}, isbn = {978-1-5090-5544-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ieeehpcs/2017, title = {2017 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8030510/proceeding}, isbn = {978-1-5386-3249-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip5-7/2017apms1, editor = {Hermann L{\"{o}}dding and Ralph Riedel and Klaus{-}Dieter Thoben and Gregor von Cieminski and Dimitris Kiritsis}, title = {Advances in Production Management Systems. The Path to Intelligent, Collaborative and Sustainable Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2017, Hamburg, Germany, September 3-7, 2017, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {513}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66923-6}, doi = {10.1007/978-3-319-66923-6}, isbn = {978-3-319-66922-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/2017apms1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2017, title = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7866667/proceeding}, isbn = {978-1-5090-3758-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/medinfo/2017, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, publisher = {{IOS} Press}, year = {2017}, isbn = {978-1-61499-829-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/robio/2017, title = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8315403/proceeding}, isbn = {978-1-5386-3742-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/robio/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/camad/2016, title = {21st {IEEE} International Workshop on Computer Aided Modelling and Design of Communication Links and Networks, {CAMAD} 2016, Toronto, ON, Canada, October 23-25, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7777661/proceeding}, isbn = {978-1-5090-2558-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/camad/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/edb/2016, editor = {Carson K. Leung and Jinho Kim and Young{-}Kuk Kim and James Geller and Wonik Choi and Young{-}Ho Park}, title = {Proceedings of the Sixth International Conference on Emerging Databases: Technologies, Applications, and Theory, {EDB} 2016, Jeju Island, Republic of Korea, October 17-19, 2016}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3007818}, isbn = {978-1-4503-4754-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/edb/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2016, title = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7465907/proceeding}, isbn = {978-1-4799-9988-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2016, title = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7422341/proceeding}, isbn = {978-1-5090-1724-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2016, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7478842/proceeding}, isbn = {978-1-4673-8026-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2016, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7750938/proceeding}, isbn = {978-1-5090-1325-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2016, title = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7527553/proceeding}, isbn = {978-1-4673-9991-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ijcai/2016, editor = {Subbarao Kambhampati}, title = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, publisher = {{IJCAI/AAAI} Press}, year = {2016}, url = {http://www.ijcai.org/Proceedings/2016}, isbn = {978-1-57735-770-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2016, title = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7405163/proceeding}, isbn = {978-1-4673-9466-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ni/2016, editor = {Walter Sermeus and Paula M. Procter and Patrick Weber}, title = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, series = {Studies in Health Technology and Informatics}, volume = {225}, publisher = {{IOS} Press}, year = {2016}, url = {http://ebooks.iospress.nl/volume/nursing-informatics-2016-ehealth-for-all-every-level-collaboration-from-project-to-realization}, isbn = {978-1-61499-657-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ni/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pst/2016, title = {14th Annual Conference on Privacy, Security and Trust, {PST} 2016, Auckland, New Zealand, December 12-14, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7899233/proceeding}, isbn = {978-1-5090-4379-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/pst/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2016, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7897353/proceeding}, isbn = {978-1-5090-1367-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/socc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vcip/2016, title = {2016 Visual Communications and Image Processing, {VCIP} 2016, Chengdu, China, November 27-30, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7790212/proceeding}, isbn = {978-1-5090-5316-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vcip/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2016, title = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7569797/proceeding}, isbn = {978-1-5090-0635-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2016s, title = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7501993/proceeding}, isbn = {978-1-5090-1698-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2016s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hicss/2015, editor = {Tung X. Bui and Ralph H. Sprague Jr.}, title = {48th Hawaii International Conference on System Sciences, {HICSS} 2015, Kauai, Hawaii, USA, January 5-8, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7068092/proceeding}, isbn = {978-1-4799-7367-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/hicss/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2015w, title = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7226899/proceeding}, isbn = {978-1-4673-6305-1}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icc/2015w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2015, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7337634/proceeding}, isbn = {978-1-4673-7116-2}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2015, title = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7172793/proceeding}, isbn = {978-1-4799-8993-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2015, title = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7378180/proceeding}, isbn = {978-1-4799-1762-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ieem/2015, title = {2015 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2015, Singapore, December 6-9, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7378213/proceeding}, isbn = {978-1-4673-8066-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ieem/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2015, title = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7054075/proceeding}, isbn = {978-1-4799-6223-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2015s, title = {{IEEE} 81st Vehicular Technology Conference, {VTC} Spring 2015, Glasgow, United Kingdom, 11-14 May, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7145358/proceeding}, isbn = {978-1-4799-8088-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2015s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fcc/2014, editor = {James Jong Hyuk Park and Albert Y. Zomaya and Hwa{-}Young Jeong and Mohammad S. Obaidat}, title = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, series = {Lecture Notes in Electrical Engineering}, volume = {301}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-017-8798-7}, doi = {10.1007/978-94-017-8798-7}, isbn = {978-94-017-8797-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/fcc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icacci/2014, title = {2014 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2014, Delhi, India, September 24-27, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6949764/proceeding}, isbn = {978-1-4799-3078-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icacci/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2014-4, editor = {Beniamino Murgante and Sanjay Misra and Ana Maria A. C. Rocha and Carmelo Maria Torre and Jorge Gustavo Rocha and Maria Irene Falc{\~{a}}o and David Taniar and Bernady O. Apduhan and Osvaldo Gervasi}, title = {Computational Science and Its Applications - {ICCSA} 2014 - 14th International Conference, Guimar{\~{a}}es, Portugal, June 30 - July 3, 2014, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {8582}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09147-1}, doi = {10.1007/978-3-319-09147-1}, isbn = {978-3-319-09146-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2014-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2014, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6966882/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2014, title = {{IECON} 2014 - 40th Annual Conference of the {IEEE} Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7036020/proceeding}, isbn = {978-1-4799-4032-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2014, title = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6747109/proceeding}, isbn = {978-1-4799-0918-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isvc/2014-1, editor = {George Bebis and Richard Boyle and Bahram Parvin and Darko Koracin and Ryan P. McMahan and Jason Jerald and Hui Zhang and Steven Mark Drucker and Chandra Kambhamettu and Maha El Choubassi and Zhigang Deng and Mark Carlson}, title = {Advances in Visual Computing - 10th International Symposium, {ISVC} 2014, Las Vegas, NV, USA, December 8-10, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8887}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14249-4}, doi = {10.1007/978-3-319-14249-4}, isbn = {978-3-319-14248-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isvc/2014-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtss/2014reaction, editor = {Marisol Garc{\'{\i}}a{-}Valls and Tommaso Cucinotta}, title = {{REACTION} 2014, 3rd {IEEE} International Workshop on Real-time and distributed computing in emerging applications, Proceedings, Rome, Italy. December 2nd, 2014}, publisher = {Universidad Carlos {III} de Madrid}, year = {2014}, url = {https://hdl.handle.net/10016/19677}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/rtss/2014reaction.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socinfo/2014w, editor = {Luca Maria Aiello and Daniel A. McFarland}, title = {Social Informatics - SocInfo 2014 International Workshops, Barcelona, Spain, November 11, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8852}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-15168-7}, doi = {10.1007/978-3-319-15168-7}, isbn = {978-3-319-15167-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/socinfo/2014w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2013, title = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6726158/proceeding}, isbn = {978-1-4799-2341-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icip/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icisa/2013, title = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6575223/proceeding}, isbn = {978-1-4799-0602-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icisa/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2013-3, editor = {Minho Lee and Akira Hirose and Zeng{-}Guang Hou and Rhee Man Kil}, title = {Neural Information Processing - 20th International Conference, {ICONIP} 2013, Daegu, Korea, November 3-7, 2013. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8228}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-42051-1}, doi = {10.1007/978-3-642-42051-1}, isbn = {978-3-642-42050-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2013-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpads/2013, title = {19th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2013, Seoul, Korea, December 15-18, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6754316/proceeding}, isbn = {978-1-4799-2081-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icpads/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2013, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6661630/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2013, title = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6683943/proceeding}, isbn = {978-1-4799-0224-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2013, title = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6560459/proceeding}, isbn = {978-1-4673-5760-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isie/2013, title = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6554304/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isie/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2013, title = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6480926/proceeding}, isbn = {978-1-4673-4515-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mue/2013, editor = {James J. Park and Joseph Kee{-}Yin Ng and Hwa{-}Young Jeong and Agustinus Borgy Waluyo}, title = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {240}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6738-6}, doi = {10.1007/978-94-007-6738-6}, isbn = {978-94-007-6737-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/mue/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmod/2013, editor = {Kenneth A. Ross and Divesh Srivastava and Dimitris Papadias}, title = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2013, New York, NY, USA, June 22-27, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2463676}, isbn = {978-1-4503-2037-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/case/2012, title = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6375512/proceeding}, isbn = {978-1-4673-0429-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/case/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2012, title = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6175264/proceeding}, isbn = {978-1-4577-2070-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cogsci/2012, editor = {Naomi Miyake and David Peebles and Richard P. Cooper}, title = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, publisher = {cognitivesciencesociety.org}, year = {2012}, url = {https://mindmodeling.org/cogsci2012/}, isbn = {978-0-9768318-8-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ei-ipmva/2012, editor = {Philip R. Bingham and Edmund Y. Lam}, title = {Image Processing: Machine Vision Applications V, Burlingame, California, USA, January 22-26, 2012}, series = {{SPIE} Proceedings}, volume = {8300}, publisher = {{SPIE}}, year = {2012}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/8300.toc}, isbn = {9780819489470}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ei-ipmva/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2012el, editor = {Tai{-}Hoon Kim and Jianhua Ma and Wai{-}Chi Fang and Yanchun Zhang and Alfredo Cuzzocrea}, title = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {352}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35603-2}, doi = {10.1007/978-3-642-35603-2}, isbn = {978-3-642-35602-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2012el.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2012, editor = {Tai{-}Hoon Kim and Young{-}Hoon Lee and Wai{-}Chi Fang}, title = {Future Generation Information Technology - 4th International Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7709}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35585-1}, doi = {10.1007/978-3-642-35585-1}, isbn = {978-3-642-35584-4}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ica3pp/2012-2, editor = {Yang Xiang and Ivan Stojmenovic and Bernady O. Apduhan and Guojun Wang and Koji Nakano and Albert Y. Zomaya}, title = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7440}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33065-0}, doi = {10.1007/978-3-642-33065-0}, isbn = {978-3-642-33064-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/2012-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2012, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6153584/proceeding}, isbn = {978-1-4577-0230-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2012-3, editor = {Beniamino Murgante and Osvaldo Gervasi and Sanjay Misra and Nadia Nedjah and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan}, title = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7335}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31137-6}, doi = {10.1007/978-3-642-31137-6}, isbn = {978-3-642-31136-9}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2012-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2012, editor = {Younghan Kim and Cheeha Kim and Panjai Tantatsanawong}, title = {2012 International Conference on Information Networking, {ICOIN} 2012, Bali, Indonesia, February 1-3, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6158351/proceeding}, isbn = {978-1-4673-0251-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2012, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6375583/proceeding}, isbn = {978-1-4673-4828-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2012, title = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6252853}, isbn = {978-1-4673-1377-3}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2012, title = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171933/proceeding}, isbn = {978-1-4673-0376-7}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vcip/2012, title = {2012 Visual Communications and Image Processing, {VCIP} 2012, San Diego, CA, USA, November 27-30, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6393503/proceeding}, isbn = {978-1-4673-4405-0}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/vcip/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/IEEEcit/2011, title = {11th {IEEE} International Conference on Computer and Information Technology, {CIT} 2011, Pafos, Cyprus, 31 August-2 September 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6036118/proceeding}, isbn = {978-0-7695-4388-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ciasg/2011, title = {{IEEE} Symposium on Computational Intelligence Applications In Smart Grid, {CIASG} 2011, 11-15 April, 2011, Paris, France}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5940251/proceeding}, isbn = {978-1-4244-9892-5}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/ciasg/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cse/2011, editor = {Wenyu Qu and Kai Lin and Yanming Shen and Weisong Shi and D. Frank Hsu and Xiaolong Jin and Francis C. M. Lau and Junfeng Xu}, title = {14th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2011, Dalian, China, August 24-26, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6062561/proceeding}, isbn = {978-1-4577-0974-6}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/cse/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/debs/2011, editor = {David M. Eyers and Opher Etzion and Avigdor Gal and Stanley B. Zdonik and Paul Vincent}, title = {Proceedings of the Fifth {ACM} International Conference on Distributed Event-Based Systems, {DEBS} 2011, New York, NY, USA, July 11-15, 2011}, publisher = {{ACM}}, year = {2011}, isbn = {978-1-4503-0423-8}, timestamp = {Wed, 18 Sep 2024 19:50:31 +0200}, biburl = {https://dblp.org/rec/conf/debs/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2011ca, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Adrian Stoica and Byeong Ho Kang}, title = {Control and Automation, and Energy System Engineering - International Conferences, {CA} and {CES3} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {256}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-26010-0}, doi = {10.1007/978-3-642-26010-0}, isbn = {978-3-642-26009-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2011ca.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2011mulgrab2, editor = {Tai{-}Hoon Kim and Hojjat Adeli and William I. Grosky and Niki Pissinou and Timothy K. Shih and Edward J. Rothwell and Byeong Ho Kang and Seung{-}Jung Shin}, title = {Multimedia, Computer Graphics and Broadcasting - International Conference, MulGraB 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {263}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27186-1}, doi = {10.1007/978-3-642-27186-1}, isbn = {978-3-642-27185-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2011mulgrab2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2011-22, editor = {Constantine Stephanidis}, title = {{HCI} International 2011 - Posters' Extended Abstracts - International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {173}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22098-2}, doi = {10.1007/978-3-642-22098-2}, isbn = {978-3-642-22097-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/2011-22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2011-11, editor = {Michael J. Smith and Gavriel Salvendy}, title = {Human Interface and the Management of Information. Interacting with Information - Symposium on Human Interface 2011, Held as Part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6771}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21793-7}, doi = {10.1007/978-3-642-21793-7}, isbn = {978-3-642-21792-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/2011-11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2011-w, editor = {Andr{\'{e}}s Iglesias and Bernady O. Apduhan and Osvaldo Gervasi and David Taniar and Marina L. Gavrilova}, title = {International Conference on Computational Science and Its Applications, {ICCSA} 2011, Santander, Spain, June 20-23, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5958739/proceeding}, isbn = {978-0-7695-4404-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2011-w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2011-5, editor = {Beniamino Murgante and Osvaldo Gervasi and Andr{\'{e}}s Iglesias and David Taniar and Bernady O. Apduhan}, title = {Computational Science and Its Applications - {ICCSA} 2011 - International Conference, Santander, Spain, June 20-23, 2011. Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {6786}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21934-4}, doi = {10.1007/978-3-642-21934-4}, isbn = {978-3-642-21933-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2011-5.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ichit/2011-2, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2}, doi = {10.1007/978-3-642-24106-2}, isbn = {978-3-642-24105-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ichit/2011-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2011, editor = {Cheeha Kim and Yongtae Shin}, title = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5720562/proceeding}, isbn = {978-1-61284-661-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2011, title = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5910713/proceeding}, isbn = {978-1-4244-9473-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2011, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5740653/proceeding}, isbn = {978-1-61284-303-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmod/2011, editor = {Timos K. Sellis and Ren{\'{e}}e J. Miller and Anastasios Kementsietsidis and Yannis Velegrakis}, title = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2011, Athens, Greece, June 12-16, 2011}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1989323}, doi = {10.1145/1989323}, isbn = {978-1-4503-0661-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ucma/2011-1, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Ubiquitous Computing and Multimedia Applications - Second International Conference, {UCMA} 2011, Daejeon, Korea, April 13-15, 2011. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {150}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20975-8}, doi = {10.1007/978-3-642-20975-8}, isbn = {978-3-642-20974-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ucma/2011-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ucma/2011-2, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Ubiquitous Computing and Multimedia Applications - Second International Conference, {UCMA} 2011, Daejeon, Korea, April 13-15, 2011. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {151}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20998-7}, doi = {10.1007/978-3-642-20998-7}, isbn = {978-3-642-20997-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ucma/2011-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uic/2011, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jianhua Ma and Chunsheng Zhu}, title = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6905}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23641-9}, doi = {10.1007/978-3-642-23641-9}, isbn = {978-3-642-23640-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/uic/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wpmc/2011, title = {14th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2011, Brest, France, October 3-7, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6068193/proceeding}, isbn = {978-1-4577-1786-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2010, title = {7th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2010, Las Vegas, NV, USA, January 9-12, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5419385/proceeding}, isbn = {978-1-4244-5175-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2010fgcn-2, editor = {Tai{-}Hoon Kim and Thanos Vasilakos and Kouichi Sakurai and Yang Xiao and Gansen Zhao and Dominik Slezak}, title = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {120}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17604-3}, doi = {10.1007/978-3-642-17604-3}, isbn = {978-3-642-17603-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2010fgcn-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpr/2010, title = {20th International Conference on Pattern Recognition, {ICPR} 2010, Istanbul, Turkey, 23-26 August 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5595335/proceeding}, isbn = {978-0-7695-4109-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icpr/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2010, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5668578/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2010, title = {2010 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 18-22, 2010, Taipei, Taiwan}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5639431/proceeding}, isbn = {978-1-4244-6674-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iros/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2010, title = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5512009/proceeding}, isbn = {978-1-4244-5308-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2010, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5428240/proceeding}, isbn = {978-1-4244-6033-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pdpta/2010, editor = {Hamid R. Arabnia and Steve C. Chiu and George A. Gravvanis and Minoru Ito and Kazuki Joe and Hiroaki Nishikawa and Ashu M. G. Solo}, title = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2010, Las Vegas, Nevada, USA, July 12-15, 2010, 2 Volumes}, publisher = {{CSREA} Press}, year = {2010}, isbn = {1-60132-158-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmod/2010, editor = {Ahmed K. Elmagarmid and Divyakant Agrawal}, title = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2010, Indianapolis, Indiana, USA, June 6-10, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1807167}, doi = {10.1145/1807167}, isbn = {978-1-4503-0032-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/biostec/2009bd, editor = {Teodiano Freire Bastos Filho and Hugo Gamboa}, title = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, publisher = {{INSTICC} Press}, year = {2009}, isbn = {978-989-8111-64-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/biostec/2009bd.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cse/2009, title = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5282954/proceeding}, isbn = {978-1-4244-5334-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cse/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2009fgcn, editor = {Dominik Slezak and Tai{-}Hoon Kim and Alan Chin{-}Chen Chang and Thanos Vasilakos and Mingchu Li and Kouichi Sakurai}, title = {Communication and Networking - International Conference, {FGCN/ACN} 2009, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2009, Jeju Island, Korea, December 10-12, 2009. Proceedings}, series = {Communications in Computer and Information Science}, volume = {56}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10844-0}, doi = {10.1007/978-3-642-10844-0}, isbn = {978-3-642-10843-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2009fgcn.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2009, title = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, publisher = {{IEEE}}, year = {2009}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2009-9, editor = {Gavriel Salvendy and Michael J. Smith}, title = {Human Interface and the Management of Information. Information and Interaction, Symposium on Human Interface 2009, Held as part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5618}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02559-4}, doi = {10.1007/978-3-642-02559-4}, isbn = {978-3-642-02558-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/2009-9.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpcc/2009, title = {11th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2009, 25-27 June 2009, Seoul, Korea}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5166953/proceeding}, isbn = {978-0-7695-3738-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2009, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {2009 International Conference on Information Networking, {ICOIN} 2009, Chiang Mai, Thailand, January 21-24, 2009}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4816117/proceeding}, isbn = {978-89-960761-3-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictai/2009, title = {{ICTAI} 2009, 21st {IEEE} International Conference on Tools with Artificial Intelligence, Newark, New Jersey, USA, 2-4 November 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5362557/proceeding}, isbn = {978-0-7695-3920-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ictai/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipcv/2009, editor = {Hamid R. Arabnia and Gerald Schaefer}, title = {Proceedings of the 2009 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2009, July 13-16, 2009, Las Vegas, Nevada, USA, 2 Volumes}, publisher = {{CSREA} Press}, year = {2009}, isbn = {1-60132-119-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ipcv/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2009, title = {Proceedings of the 8th International Conference on Information Processing in Sensor Networks, {IPSN} 2009, April 13-16, 2009, San Francisco, California, {USA}}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5200255/proceeding}, isbn = {978-1-4244-5108-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2009, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4926119/proceeding}, isbn = {978-1-4244-3458-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2009, editor = {Gordon W. Roberts and Bill Eklow}, title = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5348788/proceeding}, isbn = {978-1-4244-4868-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/itc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2009, title = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, publisher = {{IEEE}}, year = {2009}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/robocomm/2009, editor = {Ramesh Govindan and Kasper St{\o}y}, title = {2nd International {ICST} Conference on Robot Communication and Coordination, {ROBOCOMM} 2009, Odense, Denmark, March 31 - April 2, 2009}, publisher = {{ICST/IEEE}}, year = {2009}, url = {http://eudl.eu/proceedings/ROBOCOMM/2009}, isbn = {978-963-9799-51-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/robocomm/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2009, title = {2009 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2009, Proceedings, Budapest, Hungary, 5-8 April 2009}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4917480/proceeding}, isbn = {978-1-4244-2947-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmei/2008-2, title = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4549114}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/bmei/2008-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2008, title = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4446297/proceeding}, isbn = {978-1-4244-1456-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2008, title = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4665385/proceeding}, isbn = {978-1-4244-2018-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgcn/2008-2, title = {The Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 2, Workshops, Hainan Island, China, December 13-15, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4734155}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgcn/2008-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2008-2, editor = {Osvaldo Gervasi and Beniamino Murgante and Antonio Lagan{\`{a}} and David Taniar and Youngsong Mun and Marina L. Gavrilova}, title = {Computational Science and Its Applications - {ICCSA} 2008, International Conference, Perugia, Italy, June 30 - July 3, 2008, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5073}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69848-7}, doi = {10.1007/978-3-540-69848-7}, isbn = {978-3-540-69840-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2008-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2008, title = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4497158/proceeding}, isbn = {978-1-4244-2010-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ncm/2008-1, editor = {Jinhwa Kim and Dursun Delen and Jinsoo Park and Franz Ko and Yun Ji Na}, title = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 1}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4623958}, isbn = {978-0-7695-3322-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ncm/2008-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ncm/2008-2, editor = {Jinhwa Kim and Dursun Delen and Jinsoo Park and Franz Ko and Yun Ji Na}, title = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 2}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4624098}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ncm/2008-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2008, title = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, publisher = {{IEEE}}, year = {2008}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/robio/2008, title = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2008, February 22-25, 2009, Bangkok, Thailand}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4850396/proceeding}, isbn = {978-1-4244-2678-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/robio/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sutc/2008, editor = {Mukesh Singhal and Giovanna Di Marzo Serugendo and Jeffrey J. P. Tsai and Wang{-}Chien Lee and Kay R{\"{o}}mer and Yu{-}Chee Tseng and Han C. W. Hsiao}, title = {{IEEE} International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing {(SUTC} 2008), 11-13 June 2008, Taichung, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4545722/proceeding}, isbn = {978-0-7695-3158-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/sutc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aied/2007, editor = {Rosemary Luckin and Kenneth R. Koedinger and Jim E. Greer}, title = {Artificial Intelligence in Education, Building Technology Rich Learning Contexts That Work, Proceedings of the 13th International Conference on Artificial Intelligence in Education, {AIED} 2007, July 9-13, 2007, Los Angeles, California, {USA}}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {158}, publisher = {{IOS} Press}, year = {2007}, isbn = {978-1-58603-764-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aied/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/alpit/2007, title = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4460594/proceeding}, isbn = {978-0-7695-2930-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/alpit/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apscc/2007, editor = {Jie Li and Minyi Guo and Qun Jin and Yongbing Zhang and Liang{-}Jie Zhang and Hai Jin and Masahiro Mambo and Jiro Tanaka and Hiromu Hayashi}, title = {Proceedings of The 2nd {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2007, December 11-14, 2007, Tsukuba Science City, Japan}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4414425/proceeding}, isbn = {0-7695-3051-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/apscc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apweb/2007w, editor = {Kevin Chen{-}Chuan Chang and Wei Wang and Lei Chen and Clarence A. Ellis and Ching{-}Hsien Hsu and Ah Chung Tsoi and Haixun Wang}, title = {Advances in Web and Network Technologies, and Information Management, APWeb/WAIM 2007 International Workshops: {DBMAN} 2007, WebETrends 2007, {PAIS} 2007 and {ASWAN} 2007, Huang Shan, China, June 16-18, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4537}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72909-9}, doi = {10.1007/978-3-540-72909-9}, isbn = {978-3-540-72908-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/apweb/2007w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgcn/2007, title = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4426076/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fgcn/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2007, title = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, publisher = {{IEEE}}, year = {2007}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2007-3, editor = {Julie A. Jacko}, title = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4552}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73110-8}, doi = {10.1007/978-3-540-73110-8}, isbn = {978-3-540-73108-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/2007-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2007-12, editor = {Vincent G. Duffy}, title = {Digital Human Modeling, First International Conference on Digital Human Modeling, {ICDHM} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4561}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73321-8}, doi = {10.1007/978-3-540-73321-8}, isbn = {978-3-540-73318-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/2007-12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icat/2007, title = {Advances in Artificial Reality and Tele-Existence, 17th International Conference on Artificial Reality and Telexistence, {ICAT} 2007, Esbjerg, Denmark, November 28-30, 2007, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4414599/proceeding}, isbn = {0-7695-3056-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icat/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccS/2007-2, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4488}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72586-2}, doi = {10.1007/978-3-540-72586-2}, isbn = {978-3-540-72585-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccS/2007-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2007-1, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4705}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74472-6}, doi = {10.1007/978-3-540-74472-6}, isbn = {978-3-540-74468-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2007-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2007-2, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1}, doi = {10.1007/978-3-540-74477-1}, isbn = {978-3-540-74475-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2007-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2007, title = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, publisher = {{IEEE}}, year = {2007}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icra/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipcv/2007, editor = {Hamid R. Arabnia}, title = {Proceedings of the 2007 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2007, June 25-28, 2007, Las Vegas Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2007}, isbn = {1-60132-043-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ipcv/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2007, title = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4242240/proceeding}, isbn = {1-4244-0853-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mtsummit/2007, editor = {Bente Maegaard}, title = {Proceedings of Machine Translation Summit {XI:} Papers, Copenhagen, Denmark, September 10-14, 2007}, year = {2007}, url = {https://aclanthology.org/volumes/2007.mtsummit-papers/}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2007, title = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4393982/proceeding}, isbn = {978-1-4244-1144-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/secperu/2007, editor = {Panagiotis Georgiadis and Javier L{\'{o}}pez and Stefanos Gritzalis and Giannis F. Marias}, title = {Third International Workshop on Security, Privacy and Trust in Pervasive and Ubiquitous Computing, SECPerU 2007, Istanbul, Turkey, July 19, 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4279756/proceeding}, isbn = {0-7695-2863-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/secperu/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/seus/2007, editor = {Roman Obermaisser and Yunmook Nah and Peter P. Puschner and Franz{-}Josef Rammig}, title = {Software Technologies for Embedded and Ubiquitous Systems, 5th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2007, Santorini Island, Greece, May 2007. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {4761}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75664-4}, doi = {10.1007/978-3-540-75664-4}, isbn = {978-3-540-75663-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/seus/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2007f, title = {Proceedings of the 66th {IEEE} Vehicular Technology Conference, {VTC} Fall 2007, 30 September - 3 October 2007, Baltimore, MD, {USA}}, publisher = {{IEEE}}, year = {2007}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2007f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2007s, title = {Proceedings of the 65th {IEEE} Vehicular Technology Conference, {VTC} Spring 2007, 22-25 April 2007, Dublin, Ireland}, publisher = {{IEEE}}, year = {2007}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2007s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aina/2006, title = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/10777/proceeding}, isbn = {0-7695-2466-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aina/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apnoms/2006, editor = {Young{-}Tak Kim and Makoto Takano}, title = {Management of Convergence Networks and Services, 9th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2006 Busan, Korea, September 27-29, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4238}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11876601}, doi = {10.1007/11876601}, isbn = {3-540-45776-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurongi/2006, editor = {Hermann de Meer and James P. G. Sterbenz}, title = {Self-Organizing Systems, First International Workshop, {IWSOS} 2006, and Third International Workshop on New Trends in Network Architectures and Services, EuroNGI 2006, Passau, Germany, September 18-20, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4124}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11822035}, doi = {10.1007/11822035}, isbn = {3-540-37658-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/eurongi/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpcc/2006, editor = {Michael Gerndt and Dieter Kranzlm{\"{u}}ller}, title = {High Performance Computing and Communications, Second International Conference, {HPCC} 2006, Munich, Germany, September 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4208}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11847366}, doi = {10.1007/11847366}, isbn = {3-540-39368-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2006-4, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3983}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751632}, doi = {10.1007/11751632}, isbn = {3-540-34077-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2006-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2006-5, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {3984}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751649}, doi = {10.1007/11751649}, isbn = {3-540-34079-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2006-5.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icsnc/2006, title = {Proceedings of the International Conference on Systems and Networks Communications {(ICSNC} 2006), October 29 - November 3, 2006, Papeete, Tahiti, French Polynesia}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4041508/proceeding}, isbn = {0-7695-2699-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icsnc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icvgip/2006, editor = {Prem Kumar Kalra and Shmuel Peleg}, title = {Computer Vision, Graphics and Image Processing, 5th Indian Conference, {ICVGIP} 2006, Madurai, India, December 13-16, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4338}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949619}, doi = {10.1007/11949619}, isbn = {3-540-68301-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icvgip/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ideal/2006, editor = {Emilio Corchado and Hujun Yin and Vicente J. Botti and Colin Fyfe}, title = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2006, 7th International Conference, Burgos, Spain, September 20-23, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4224}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11875581}, doi = {10.1007/11875581}, isbn = {3-540-45485-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ideal/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipcv/2006-2, editor = {Hamid R. Arabnia}, title = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 2}, publisher = {{CSREA} Press}, year = {2006}, isbn = {1-932415-94-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ipcv/2006-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipcv/2006-1, editor = {Hamid R. Arabnia}, title = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1}, publisher = {{CSREA} Press}, year = {2006}, isbn = {1-932415-93-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ipcv/2006-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2006, title = {2006 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2006, October 9-15, 2006, Beijing, China}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4058334/proceeding}, isbn = {1-4244-0258-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iros/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/prima/2006, editor = {Zhong{-}Zhi Shi and Ramakoti Sadananda}, title = {Agent Computing and Multi-Agent Systems, 9th Pacific Rim International Workshop on Multi-Agents, {PRIMA} 2006, Guilin, China, August 7-8, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4088}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802372}, doi = {10.1007/11802372}, isbn = {3-540-36707-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/prima/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/psivt/2006, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534}, doi = {10.1007/11949534}, isbn = {3-540-68297-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/psivt/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2006f, title = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, publisher = {{IEEE}}, year = {2006}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2006f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aina/2005, title = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9746/proceeding}, isbn = {0-7695-2249-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aina/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2005, editor = {Tingao Tang}, title = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725}, doi = {10.1145/1120725}, isbn = {0-7803-8737-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cisst/2005, editor = {Hamid R. Arabnia}, title = {Proceedings of The 2005 International Conference on Imaging Science, Systems, and Technology: Computer Graphics, {CISST} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, publisher = {{CSREA} Press}, year = {2005}, isbn = {1-932415-64-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cisst/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fskd/2005-2, editor = {Lipo Wang and Yaochu Jin}, title = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3614}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11540007}, doi = {10.1007/11540007}, isbn = {3-540-28331-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fskd/2005-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2005, title = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9711/proceeding}, isbn = {0-7803-8874-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2005-1, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3480}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b136266}, doi = {10.1007/B136266}, isbn = {3-540-25860-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2005-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcsw/2005, title = {25th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9817/proceeding}, isbn = {0-7695-2328-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icdcsw/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icnc/2005-1, editor = {Lipo Wang and Ke Chen and Yew{-}Soon Ong}, title = {Advances in Natural Computation, First International Conference, {ICNC} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3610}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11539087}, doi = {10.1007/11539087}, isbn = {3-540-28323-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icnc/2005-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2005, editor = {Cheeha Kim}, title = {Information Networking, Convergence in Broadband and Mobile Networking, International Conference, {ICOIN} 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3391}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b105584}, doi = {10.1007/B105584}, isbn = {3-540-24467-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/imsa/2005, editor = {M. H. Hamza}, title = {Proceedings of the Ninth {IASTED} International Conference on Internet and Multimedia Systems and Applications {(IMSA} 2005), Honolulu, Hawaii, USA, August 15-17, 2005}, publisher = {{IASTED/ACTA} Press}, year = {2005}, isbn = {0-88986-510-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/imsa/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwdc/2005, editor = {Ajit Pal and Ajay D. Kshemkalyani and Rajeev Kumar and Arobinda Gupta}, title = {Distributed Computing - {IWDC} 2005, 7th International Workshop, Kharagpur, India, December 27-30, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3741}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11603771}, doi = {10.1007/11603771}, isbn = {3-540-30959-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iwdc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kes/2005-4, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3684}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11554028}, doi = {10.1007/11554028}, isbn = {3-540-28897-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/kes/2005-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mata/2005, editor = {Thomas Magedanz and Ahmed Karmouch and Samuel Pierre and Iakovos S. Venieris}, title = {Mobility Aware Technologies and Applications, Second International Workshop, {MATA} 2005, Montreal, Canada, October 17-19, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3744}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11569510}, doi = {10.1007/11569510}, isbn = {3-540-29410-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mata/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mtsummit/2005, title = {Proceedings of Machine Translation Summit {X:} Papers, MTSummit 2005, Phuket, Thailand, September 13-15, 2005}, year = {2005}, url = {https://aclanthology.org/volumes/2005.mtsummit-papers/}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/networking/2005, editor = {Raouf Boutaba and Kevin C. Almeroth and Ram{\'{o}}n Puigjaner and Sherman X. Shen and James P. Black}, title = {{NETWORKING} 2005: Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems, 4th International {IFIP-TC6} Networking Conference, Waterloo, Canada, May 2-6, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3462}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b136094}, doi = {10.1007/B136094}, isbn = {3-540-25809-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/networking/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wine/2005, editor = {Xiaotie Deng and Yinyu Ye}, title = {Internet and Network Economics, First International Workshop, {WINE} 2005, Hong Kong, China, December 15-17, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3828}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11600930}, doi = {10.1007/11600930}, isbn = {3-540-30900-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wine/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wowmom/2005, title = {2005 International Conference on a World of Wireless, Mobile and Multimedia Networks {(WOWMOM} 2005), 13-16 June 2005, Taormina, Italy, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9858/proceeding}, isbn = {0-7695-2342-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aina/2004, title = {18th International Conference on Advanced Information Networking and Applications {(AINA} 2004), 29-31 March 2004, Fukuoka, Japan}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9028/proceeding}, isbn = {0-7695-2051-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aina/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amcc/2004, title = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.23919/ACC.2004}, doi = {10.23919/ACC.2004}, isbn = {0-7803-8335-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/amcc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2004, editor = {Masaharu Imai}, title = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9284/proceeding}, isbn = {0-7803-8175-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cars/2004, editor = {Heinz U. Lemke and Kiyonari Inamura and Kunio Doi and Michael W. Vannier and Allan G. Farman and Johan H. C. Reiber}, title = {{CARS} 2004. Computer Assisted Radiology and Surgery. Proceedings of the 18th International Congress and Exhibition, Chicago, USA, June 23-26, 2004}, series = {International Congress Series}, volume = {1268}, publisher = {Elsevier}, year = {2004}, isbn = {0-444-51731-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cars/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2004, title = {1st {IEEE} Consumer Communications and Networking Conference, {CCNC} 2004, Las Vegas, NV, USA, January 5-8, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://ieeexplore.ieee.org/servlet/opac?punumber=9037}, isbn = {0-7803-8145-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cdc/2004, title = {43rd {IEEE} Conference on Decision and Control, {CDC} 2004, Nassau, Bahamas, December 14-17, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CDC.2004}, doi = {10.1109/CDC.2004}, isbn = {0-7803-8682-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cdc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cgiv/2004, title = {Second European Conference on Colour in Graphics, Imaging, and Vision, {CGIV} 2004, Aachen, Germany, April 5-8, 2004}, publisher = {IS{\&}T - The Society for Imaging Science and Technology}, year = {2004}, url = {http://ist.publisher.ingentaconnect.com/content/ist/cgiv/2004/00002004/00000001}, isbn = {0-89208-250-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cgiv/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cis/2004, editor = {Jun Zhang and Ji{-}Huan He and Yuxi Fu}, title = {Computational and Information Science, First International Symposium, {CIS} 2004, Shanghai, China, December 16-18, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3314}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b104566}, doi = {10.1007/B104566}, isbn = {3-540-24127-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cis/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2004, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, publisher = {{ACM}}, year = {2004}, url = {http://dl.acm.org/citation.cfm?id=996566}, isbn = {1-58113-828-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ecumn/2004, editor = {M{\'{a}}rio M. Freire and Prosper Chemouil and Pascal Lorenz and Annie Gravey}, title = {Universal Multiservice Networks: Third European Conference, {ECUMN} 2004, Porto, Portugal, October 25-27, 2004. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3262}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b101785}, doi = {10.1007/B101785}, isbn = {3-540-23551-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ecumn/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2004, title = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9494/proceeding}, isbn = {0-7803-8702-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2004-1, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b98048}, doi = {10.1007/B98048}, isbn = {3-540-22054-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2004-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2004-4, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3046}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b98054}, doi = {10.1007/B98054}, isbn = {3-540-22060-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2004-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2004, title = {Proceedings of the 2004 International Conference on Image Processing, {ICIP} 2004, Singapore, October 24-27, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9716/proceeding}, isbn = {0-7803-8554-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icip/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2004, editor = {Nikhil R. Pal and Nikola K. Kasabov and Rajani K. Mudi and Srimanta Pal and Swapan K. Parui}, title = {Neural Information Processing, 11th International Conference, {ICONIP} 2004, Calcutta, India, November 22-25, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3316}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b103766}, doi = {10.1007/B103766}, isbn = {3-540-23931-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2004, title = {Proceedings of the 2004 {IEEE} International Conference on Robotics and Automation, {ICRA} 2004, April 26 - May 1, 2004, New Orleans, LA, {USA}}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icra/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ideal/2004, editor = {Zheng Rong Yang and Richard M. Everson and Hujun Yin}, title = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2004, 5th International Conference, Exeter, UK, August 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3177}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b99975}, doi = {10.1007/B99975}, isbn = {3-540-22881-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ideal/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2004, title = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, publisher = {{IEEE}}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9577/proceeding}, isbn = {0-7803-8463-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iros/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcia/2004, editor = {Reinhard Klette and Jovisa D. Zunic}, title = {Combinatorial Image Analysis, 10th InternationalWorkshop, {IWCIA} 2004, Auckland, New Zealand, December 1-3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3322}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b103936}, doi = {10.1007/B103936}, isbn = {3-540-23942-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iwcia/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lrec/2004, title = {Proceedings of the Fourth International Conference on Language Resources and Evaluation, {LREC} 2004, May 26-28, 2004, Lisbon, Portugal}, publisher = {European Language Resources Association}, year = {2004}, url = {http://www.lrec-conf.org/proceedings/lrec2004/}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/lrec/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/noms/2004, title = {Managing Next Generation Convergence Networks and Services, {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2004, Seoul, Korea, 19-23 April 2004, Proceedings}, publisher = {{IEEE}}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9208/proceeding}, isbn = {0-7803-8230-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/noms/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vveis/2004, editor = {Juan Carlos Augusto and Ulrich Ultes{-}Nitsche}, title = {Verification and Validation of Enterprise Information Systems, Proceedings of the 2nd International Workshop on Verification and Validation of Enterprise Information Systems, {VVEIS} 2004, In conjunction with {ICEIS} 2004, Porto, Portugal, April 2004}, publisher = {{INSTICC} Press}, year = {2004}, isbn = {972-8865-03-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/vveis/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wstfeus/2004, title = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9102/proceeding}, isbn = {0-7695-2123-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wstfeus/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cars/2003, editor = {Heinz U. Lemke and Michael W. Vannier and Kiyonari Inamura and Allan G. Farman and Kunio Doi and Johan H. C. Reiber}, title = {{CARS} 2003. Computer Assisted Radiology and Surgery. Proceedings of the 17th International Congress and Exhibition, London, UK, June 25-28, 2003}, series = {International Congress Series}, volume = {1256}, publisher = {Elsevier}, year = {2003}, isbn = {0-444-51387-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cars/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cic/2003, editor = {Brian J. d'Auriol}, title = {Proceedings of the International Conference on Communications in Computing, {CIC} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2003}, isbn = {1-932415-06-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cic/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csreaSAM/2003-2, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Proceedings of the International Conference on Security and Management, {SAM} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, publisher = {{CSREA} Press}, year = {2003}, isbn = {1-932415-17-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/csreaSAM/2003-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ic/2003-1, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 1}, publisher = {{CSREA} Press}, year = {2003}, isbn = {1-932415-00-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ic/2003-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icaris/2003, editor = {Jon Timmis and Peter J. Bentley and Emma Hart}, title = {Artificial Immune Systems, Second International Conference, {ICARIS} 2003, Edinburgh, UK, September 1-3, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2787}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/b12020}, doi = {10.1007/B12020}, isbn = {3-540-40766-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icaris/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2003-1, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2667}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44839-X}, doi = {10.1007/3-540-44839-X}, isbn = {3-540-40155-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2003-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccsa/2003-2, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2668}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44843-8}, doi = {10.1007/3-540-44843-8}, isbn = {3-540-40161-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/2003-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdar/2003, title = {7th International Conference on Document Analysis and Recognition {(ICDAR} 2003), 2-Volume Set, 3-6 August 2003, Edinburgh, Scotland, {UK}}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8701/proceeding}, isbn = {0-7695-1960-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icdar/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2003, title = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, publisher = {{IEEE}}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8570/proceeding}, isbn = {0-7803-7761-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ismvl/2003, title = {33rd {IEEE} International Symposium on Multiple-Valued Logic {(ISMVL} 2003), 16-19 May 2003, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8551/proceeding}, isbn = {0-7695-1918-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwdw/2003, editor = {Ton Kalker and Ingemar J. Cox and Yong Man Ro}, title = {Digital Watermarking, Second International Workshop, {IWDW} 2003, Seoul, Korea, October 20-22, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2939}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b95658}, doi = {10.1007/B95658}, isbn = {3-540-21061-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mso/2003, editor = {M. H. Hamza}, title = {Proceedings of the {IASTED} International Conference on Modelling, Simulation and Optimization - {MSO} 2003, Banff, Canada, July 2-4, 2003}, publisher = {{IASTED/ACTA} Press}, year = {2003}, isbn = {0-88986-372-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mso/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amta/2002, editor = {Stephen D. Richardson}, title = {Machine Translation: From Research to Real Users, 5th Conference of the Association for Machine Translation in the Americas, {AMTA} 2002 Tiburon, CA, USA, October 6-12, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2499}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45820-4}, doi = {10.1007/3-540-45820-4}, isbn = {3-540-44282-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/amta/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cdc/2002, title = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002}, doi = {10.1109/CDC.2002}, isbn = {0-7803-7516-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cdc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurasiaict/2002, editor = {Hassan Shafazand and A Min Tjoa}, title = {EurAsia-ICT 2002: Information and Communication Technology, First EurAsian Conference, Shiraz, Iran, October 29-31, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2510}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36087-5}, doi = {10.1007/3-540-36087-5}, isbn = {3-540-00028-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/eurasiaict/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2002, title = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2002, May 13-17 2002, Orlando, Florida, {USA}}, publisher = {{IEEE}}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7874/proceeding}, isbn = {0-7803-7402-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2002, title = {{IEEE} International Conference on Communications, {ICC} 2002, April 28 - May 2, 2002, New York City, NY, {USA}}, publisher = {{IEEE}}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7828/proceeding}, isbn = {0-7803-7400-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcsw/2002, title = {22nd International Conference on Distributed Computing Systems, Workshops {(ICDCSW} '02) July 2-5, 2002, Vienna, Austria, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8010/proceeding}, isbn = {0-7695-1588-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icdcsw/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2002-2, editor = {Ilyoung Chong}, title = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2344}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45801-8}, doi = {10.1007/3-540-45801-8}, isbn = {3-540-44255-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2002-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/paclic/2002, editor = {Ik{-}Hwan Lee and Yong{-}Beom Kim and Key{-}Sun Choi and Minhaeng Lee}, title = {Proceedings of the 16th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2002, Jeju, Korea, January 31 - February 2, 2002}, publisher = {The Korean Society for Language and Information}, year = {2001}, url = {https://aclanthology.org/volumes/Y02-1/}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/paclic/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2002, title = {The 13th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, Lisboa, Portugal, September 15-18, 2002}, publisher = {{IEEE}}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8098/proceeding}, isbn = {0-7803-7589-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/srds/2002, title = {21st Symposium on Reliable Distributed Systems {(SRDS} 2002), 13-16 October 2002, Osaka, Japan}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8406/proceeding}, isbn = {0-7695-1659-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/srds/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wmc/2002, editor = {Marisa S. Viveros and Hui Lei and Ouri Wolfson}, title = {Proceedings of the 2nd International Workshop on Mobile Commerce, Atlanta, Georgia, USA, September 28, 2002}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/570705}, doi = {10.1145/570705}, isbn = {1-58113-600-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/wmc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dasfaa/2001, title = {Database Systems for Advanced Applications, Proceedings of the 7th International Conference on Database Systems for Advanced Applications {(DASFAA} 2001), 18-20 April 2001 - Hong Kong, China}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7316/proceeding}, isbn = {0-7695-0996-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/etfa/2001-1, title = {Proceedings of 8th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2001, October 15-18, 2001, Antibes/Juan les Pins, France - Volume 1}, publisher = {{IEEE}}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7823/proceeding}, isbn = {0-7803-7241-7}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/etfa/2001-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fuzzIEEE/2001, title = {Proceedings of the 10th {IEEE} International Conference on Fuzzy Systems, Melbourne, Australia, December 2-5, 2001}, publisher = {{IEEE}}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7885/proceeding}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icann/2001, editor = {Georg Dorffner and Horst Bischof and Kurt Hornik}, title = {Artificial Neural Networks - {ICANN} 2001, International Conference Vienna, Austria, August 21-25, 2001 Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2130}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44668-0}, doi = {10.1007/3-540-44668-0}, isbn = {3-540-42486-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icann/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccS/2001-2, editor = {Vassil N. Alexandrov and Jack J. Dongarra and Benjoe A. Juliano and Ren{\'{e}} S. Renner and Chih Jeng Kenneth Tan}, title = {Computational Science - {ICCS} 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2074}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45718-6}, doi = {10.1007/3-540-45718-6}, isbn = {3-540-42233-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/iccS/2001-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpads/2001, title = {Eigth International Conference on Parallel and Distributed Systems, {ICPADS} 2001, KyongJu City, Korea, June 26-29, 2001}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7439/proceeding}, isbn = {0-7695-1153-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icpads/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ismvl/2001, title = {31st {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2001, Warsaw, Poland, May 22-24, 2001, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7365/proceeding}, isbn = {0-7695-1083-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isorc/2001, title = {4th International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2001), 2-4 May 2001, Magdeburg, Germany}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7351/proceeding}, isbn = {0-7695-1089-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isorc/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miigp/2001, editor = {Seong Ki Mun}, title = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, series = {{SPIE} Proceedings}, volume = {4319}, publisher = {{SPIE}}, year = {2001}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/4319.toc}, isbn = {9780819440051}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/miigp/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mldm/2001, editor = {Petra Perner}, title = {Machine Learning and Data Mining in Pattern Recognition, Second International Workshop, {MLDM} 2001, Leipzig, Germany, July 25-27, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2123}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44596-X}, doi = {10.1007/3-540-44596-X}, isbn = {3-540-42359-1}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mldm/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nlprs/2001, title = {Proceedings of the Sixth Natural Language Processing Pacific Rim Symposium, November 27-30, 2001, Hitotsubashi Memorial Hall, National Center of Sciences, Tokyo, Japan}, year = {2001}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/nlprs/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pcm/2001, editor = {Heung{-}Yeung Shum and Mark Liao and Shih{-}Fu Chang}, title = {Advances in Multimedia Information Processing - {PCM} 2001, Second {IEEE} Pacific Rim Conference on Multimedia, Bejing, China, October 24-26, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2195}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45453-5}, doi = {10.1007/3-540-45453-5}, isbn = {3-540-42680-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pcm/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isorc/2000, title = {3rd International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2000), 15-17 March 2000, Newport Beach, CA, {USA}}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/6774/proceeding}, isbn = {0-7695-0607-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/isorc/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/2000, title = {7th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} 2000), 12-14 December 2000, Cheju Island, South Korea}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/7188/proceeding}, isbn = {0-7695-0930-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/1999, title = {1999 {IEEE} International Conference on Robotics and Automation, Marriott Hotel, Renaissance Center, Detroit, Michigan, USA, May 10-15, 1999, Proceedings}, publisher = {{IEEE} Robotics and Automation Society}, year = {1999}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icra/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pts/1999, editor = {Gyula Csopaki and Sarolta Dibuz and Katalin Tarnay}, title = {Testing of Communicating Systems: Method and Applications, {IFIP} {TC6} 12\({}^{\mbox{th}}\) International Workshop on Testing Communicating Systems, September 1-3, 1999, Budapest, Hungary}, series = {{IFIP} Conference Proceedings}, volume = {147}, publisher = {Kluwer}, year = {1999}, isbn = {0-7923-8581-0}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/pts/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/1999, title = {6th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} '99), 13-16 December 1999, Hong Kong, China}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://ieeexplore.ieee.org/xpl/conhome/6590/proceeding}, isbn = {0-7695-0306-3}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cata/1998, editor = {Narayan C. Debnath}, title = {Computers and Their Applications (CATA-98), Proceedings of the {ISCA} 13th International Conference, Honolulu, Hawaii, USA, March 25-27, 1998}, publisher = {{ISCA}}, year = {1998}, isbn = {1-880843-23-4}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/cata/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1998, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, publisher = {{ACM} Press}, year = {1998}, url = {http://dl.acm.org/citation.cfm?id=277044}, isbn = {0-89791-964-5}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/1998, title = {1998 {IEEE} International Conference on Communications, {ICC} 1998, Atlanta, GA, USA, June 7-11, 1998}, publisher = {{IEEE}}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/conhome/5593/proceeding}, isbn = {0-7803-4788-9}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icc/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/1998, title = {The 5th International Conference on Spoken Language Processing, Incorporating The 7th Australian International Speech Science and Technology Conference, Sydney Convention Centre, Sydney, Australia, 30th November - 4th December 1998}, publisher = {{ISCA}}, year = {1998}, url = {https://doi.org/10.21437/ICSLP.1998}, doi = {10.21437/ICSLP.1998}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/1998, title = {5th International Workshop on Real-Time Computing Systems and Applications {(RTCSA} '98), 27-29 October 1998, Hiroshima, Japan}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/conhome/5885/proceeding}, isbn = {0-8186-9209-X}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/1998, editor = {K. M. George and Gary B. Lamont}, title = {Proceedings of the 1998 {ACM} symposium on Applied Computing, SAC'98, Atlanta, GA, USA, February 27 - March 1, 1998}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/330560}, doi = {10.1145/330560}, isbn = {0-89791-969-6}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/sac/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/1997, title = {1997 {IEEE} International Conference on Communications: Towards the Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, June 8-12, 1997}, publisher = {{IEEE}}, year = {1997}, url = {https://ieeexplore.ieee.org/xpl/conhome/4646/proceeding}, isbn = {0-7803-3925-8}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/icc/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lisa/1996, title = {Proceedings of the 10th Conference on Systems Administration {(LISA} 1996), Chicago, IL, USA, September 29 - October 4, 1996}, publisher = {{USENIX}}, year = {1996}, isbn = {1-880446-81-2}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/lisa/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mva/1996, title = {Proceedings of {IAPR} Workshop on Machine Vision Applications, {MVA} 1996, November 12-14, 1996, Tokyo, Japan}, year = {1996}, timestamp = {Wed, 18 Sep 2024 19:50:32 +0200}, biburl = {https://dblp.org/rec/conf/mva/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.