![](https://dblp.uni-trier.de/img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de/img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Ki-Moon Lee"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/JeongMJKKLYK24, author = {Haejun Jeong and Haejun Moon and Yongho Jeong and Heejae Kwon and Chanyeong Kim and Yonghak Lee and SeongMin Yang and Sunghwan Kim}, title = {Automated Technology for Strawberry Size Measurement and Weight Prediction Using {AI}}, journal = {{IEEE} Access}, volume = {12}, pages = {14157--14167}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3356118}, doi = {10.1109/ACCESS.2024.3356118}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongMJKKLYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLLSLZ24, author = {Kibeom Kim and Moonhoen Lee and Min Whoo Lee and Kisung Shin and Minsu Lee and Byoung{-}Tak Zhang}, title = {Visual Hindsight Self-Imitation Learning for Interactive Navigation}, journal = {{IEEE} Access}, volume = {12}, pages = {83796--83809}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3413864}, doi = {10.1109/ACCESS.2024.3413864}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLLSLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLM24, author = {Jae{-}Yun Kim and Junmo Lee and Soo{-}Mook Moon}, title = {Trie-Hashimoto: State Trie-Based Proof-of-Work Mining for Optimizing Blockchain Storage}, journal = {{IEEE} Access}, volume = {12}, pages = {18315--18329}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3360379}, doi = {10.1109/ACCESS.2024.3360379}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCK24, author = {K. M. Lee and J. Cho and Moonil Kim}, title = {Microstrip-Line Sensor for the Estimation of the Fluid Level Inside a Closed Metal Pipe}, journal = {{IEEE} Access}, volume = {12}, pages = {19983--19992}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3358895}, doi = {10.1109/ACCESS.2024.3358895}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/KhanKLKJ24, author = {Zafran Khan and Zeeshan Khan and Byung{-}Geun Lee and Hong Kook Kim and Moongu Jeon}, title = {Graph neural networks based framework to analyze social media platforms for malicious user detection}, journal = {Appl. Soft Comput.}, volume = {155}, pages = {111416}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2024.111416}, doi = {10.1016/J.ASOC.2024.111416}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/KhanKLKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/MoonKBL24, author = {Cho{-}I Moon and Eun Bin Kim and Yoo Sang Baek and Onesok Lee}, title = {Transformer based on the prediction of psoriasis severity treatment response}, journal = {Biomed. Signal Process. Control.}, volume = {89}, pages = {105743}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2023.105743}, doi = {10.1016/J.BSPC.2023.105743}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/MoonKBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/LeeHCHYSECLLJMSPMGKB24, author = {Inhee Lee and Roger Hsiao and Gordy Carichner and Chin{-}Wei Hsu and Mingyu Yang and Sara Shoouri and Katherine Ernst and Tess Carichner and Yuyang Li and Jaechan Lim and Cole R. Julick and Eunseong Moon and Yi Sun and Jamie Phillips and Kristi L. Montooth and Delbert A. Green II and Hun{-}Seok Kim and David T. Blaauw}, title = {mSAIL: Milligram-Scale Multi-Modal Sensor Platform for Monarch Butterfly Migration Tracking}, journal = {Commun. {ACM}}, volume = {67}, number = {6}, pages = {93--101}, year = {2024}, url = {https://doi.org/10.1145/3611105}, doi = {10.1145/3611105}, timestamp = {Sun, 30 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/LeeHCHYSECLLJMSPMGKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/DhoLMKKLKKKPP24, author = {Yun{-}Sik Dho and Byeong Cheol Lee and HyeongCheol Moon and Kyung Min Kim and Ho Kang and Eun Jung Lee and Min{-}Sung Kim and Jin Wook Kim and Yong Hwy Kim and Sang Joon Park and Chul{-}Kee Park}, title = {Validation of real-time inside-out tracking and depth realization technologies for augmented reality-based neuronavigation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {1}, pages = {15--25}, year = {2024}, url = {https://doi.org/10.1007/s11548-023-02993-0}, doi = {10.1007/S11548-023-02993-0}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cars/DhoLMKKLKKKPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/LeeKK24, author = {Moonyoung Lee and Youngho Kim and Young{-}Kuk Kim}, title = {Generating psychological analysis tables for children's drawings using deep learning}, journal = {Data Knowl. Eng.}, volume = {149}, pages = {102266}, year = {2024}, url = {https://doi.org/10.1016/j.datak.2023.102266}, doi = {10.1016/J.DATAK.2023.102266}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dke/LeeKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/KimMLPS24, author = {Hyun{-}Geun Kim and Jinhee Myoung and Sunmyung Lee and Kang{-}Moon Park and Donghoon Shin}, title = {Design of AI-Powered Hybrid Control Algorithm of Robot Vehicle for Enhanced Driving Performance}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {16}, number = {2}, pages = {94--97}, year = {2024}, url = {https://doi.org/10.1109/LES.2023.3257774}, doi = {10.1109/LES.2023.3257774}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/KimMLPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/OhMPKYLY24, author = {Dongsuk Oh and Jonghyeon Moon and Kyoungtae Park and Wonjun Kim and Seungho Yoo and Hyungwoo Lee and Jiho Yoo}, title = {GCN-assisted attention-guided UNet for automated retinal {OCT} segmentation}, journal = {Expert Syst. Appl.}, volume = {249}, pages = {123620}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.123620}, doi = {10.1016/J.ESWA.2024.123620}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/OhMPKYLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/HwangJL24, author = {Kitae Hwang and In Hwan Jung and Jae Moon Lee}, title = {An implementation of searchable video player}, journal = {Int. J. Comput. Vis. Robotics}, volume = {14}, number = {3}, pages = {325--337}, year = {2024}, url = {https://doi.org/10.1504/IJCVR.2024.138324}, doi = {10.1504/IJCVR.2024.138324}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/HwangJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HwangHCMLKCK24, author = {Sungjin Hwang and Jiwoong Heo and Youngwug Cho and Jucheol Moon and Yushin Lee and Hansung Kim and Jaehyuk Cha and Kwanguk (Kenny) Kim}, title = {Transportation Mode Detection Technology to Predict Wheelchair Users' Life Satisfaction in Seoul, South Korea}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {8}, number = {1}, pages = {9:1--9:20}, year = {2024}, url = {https://doi.org/10.1145/3643506}, doi = {10.1145/3643506}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/HwangHCMLKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivs/HaMJKL24, author = {Hyoji Ha and Kwanghyuk Moon and Hyerim Joung and Hyegyeong Kim and Kyungwon Lee}, title = {An exploration system to effectively analyze the visual metaphor used in sentiment visualization}, journal = {Inf. Vis.}, volume = {23}, number = {2}, pages = {157--196}, year = {2024}, url = {https://doi.org/10.1177/14738716241228593}, doi = {10.1177/14738716241228593}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ivs/HaMJKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/MoonSKLCK24, author = {Sang{-}Rok Moon and Minkyu Sung and Eonsang Kim and Joon Ki Lee and Seung{-}Hyun Cho and Joonyoung Kim}, title = {Hybrid radio-over-fiber transport system to support heterogeneous indoor mobile network environments}, journal = {J. Opt. Commun. Netw.}, volume = {16}, number = {2}, pages = {71--80}, year = {2024}, url = {https://doi.org/10.1364/JOCN.503220}, doi = {10.1364/JOCN.503220}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/MoonSKLCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JinHKLKKKPYKUJLP24, author = {Ze Jin and Taehyun Hwang and Daehoon Kim and Byounghyun Lim and Oh{-}Seok Kwon and Sangbin Kim and Moon{-}Hyun Kim and Je{-}Wook Park and Hee Tae Yu and Tae{-}Hoon Kim and Jae{-}Sun Uhm and Boyoung Joung and Moon{-}Hyoung Lee and Hui{-}Nam Pak}, title = {Anti- and pro-fibrillatory effects of pulmonary vein isolation gaps in human atrial fibrillation digital twins}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-024-01075-y}, doi = {10.1038/S41746-024-01075-Y}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JinHKLKKKPYKUJLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimSMCL24, author = {Eunhee Kim and Sunghwan Sohn and Hyunwook Moon and Jun Hyeok Choi and Kiwon Lee}, title = {Linear Frequency Modulation and Orthogonal Code Modulation for Co-Located Multiple-Input Multiple-Output High-Frequency Surface Wave Radar}, journal = {Remote. Sens.}, volume = {16}, number = {1}, pages = {104}, year = {2024}, url = {https://doi.org/10.3390/rs16010104}, doi = {10.3390/RS16010104}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimSMCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimMLJ24, author = {Gil Su Kim and Hak Hyun Moon and Hee Su Lee and Jong Seob Jeong}, title = {Compound Acoustic Radiation Force Impulse Imaging of Bovine Eye by Using Phase-Inverted Ultrasound Transducer}, journal = {Sensors}, volume = {24}, number = {9}, pages = {2700}, year = {2024}, url = {https://doi.org/10.3390/s24092700}, doi = {10.3390/S24092700}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimMLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYSCKLKSM24, author = {Min{-}Jee Kim and Woo{-}Hyeong Yu and Doo{-}Jin Song and Seung{-}Woo Chun and Moon S. Kim and Ahyeong Lee and Giyoung Kim and Beom{-}Soo Shin and Changyeun Mo}, title = {Prediction of Soluble-Solid Content in Citrus Fruit Using Visible-Near-Infrared Hyperspectral Imaging Based on Effective-Wavelength Selection Algorithm}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1512}, year = {2024}, url = {https://doi.org/10.3390/s24051512}, doi = {10.3390/S24051512}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYSCKLKSM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/ZhaoKJCLK24, author = {Jun Zhao and Yu{-}Kwang Kim and Yu{-}Hyeong Jang and Jae Ho Chang and Sang Kyu Lee and Hyoung{-}Moon Kim}, title = {MLEce: Statistical inference for asymptotically efficient closed-form estimators in {R}}, journal = {SoftwareX}, volume = {26}, pages = {101655}, year = {2024}, url = {https://doi.org/10.1016/j.softx.2024.101655}, doi = {10.1016/J.SOFTX.2024.101655}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/ZhaoKJCLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/LeeCCCLJMKK24, author = {Myungguk Lee and Jaeik Cho and Junung Choi and Won Joon Choi and Jiyun Lee and Iksu Jang and Changjae Moon and Gain Kim and Byungsub Kim}, title = {Compact Single-Ended Transceivers Demonstrating Flexible Generation of 1/N-Rate Receiver Front-Ends for Short-Reach Links}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {1}, pages = {373--382}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2023.3332391}, doi = {10.1109/TCSI.2023.3332391}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/LeeCCCLJMKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/KimLJL24, author = {Junghwan Kim and Jinhyung Lee and Kee Moon Jang and Ismini Lourentzou}, title = {Exploring the limitations in how ChatGPT introduces environmental justice issues in the United States: {A} case study of 3,108 counties}, journal = {Telematics Informatics}, volume = {86}, pages = {102085}, year = {2024}, url = {https://doi.org/10.1016/j.tele.2023.102085}, doi = {10.1016/J.TELE.2023.102085}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/KimLJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiLKKM24, author = {Dongmin Choi and Minsu Lee and Taewoo Kim and Jae{-}Sang Kim and Gun{-}Woo Moon}, title = {Offset Current Modulation Technique for Wide {ZVS} Range in Dual Active Bridge {(DAB)} {DC-DC} Converter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {8}, pages = {8741--8751}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3312414}, doi = {10.1109/TIE.2023.3312414}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiLKKM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkLMK24, author = {Jeong{-}Hoon Park and Seongwook Lee and Gunhwi Moon and Seong{-}Cheol Kim}, title = {Spatial-Wideband Effect Compensation for High-Resolution Imaging in {MIMO} {FMCW} Radar}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3381262}, doi = {10.1109/TIM.2024.3381262}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkLMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HurOKKLCMKAC24, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Louis Atallah and Edward Choi}, title = {GenHPF: General Healthcare Predictive Framework for Multi-Task Multi-Source Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {1}, pages = {502--513}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2023.3327951}, doi = {10.1109/JBHI.2023.3327951}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HurOKKLCMKAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeemOMKR24, author = {Subeen Leem and Jisong Oh and Jihoon Moon and Mucheol Kim and Seungmin Rho}, title = {Enhancing multistep-ahead bike-sharing demand prediction with a two-stage online learning-based time-series model: insight from Seoul}, journal = {J. Supercomput.}, volume = {80}, number = {3}, pages = {4049--4082}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05593-6}, doi = {10.1007/S11227-023-05593-6}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/LeemOMKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimOLCJJYH24, author = {Yunho Kim and Hyunsik Oh and Jeonghyun Lee and Jinhyeok Choi and Gwanghyeon Ji and Moonkyu Jung and Donghoon Youm and Jemin Hwangbo}, title = {Not Only Rewards but Also Constraints: Applications on Legged Robot Locomotion}, journal = {{IEEE} Trans. Robotics}, volume = {40}, pages = {2984--3003}, year = {2024}, url = {https://doi.org/10.1109/TRO.2024.3400935}, doi = {10.1109/TRO.2024.3400935}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/KimOLCJJYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/LimCKPSCPLKLH24, author = {Hyunseung Lim and Ji Yong Cho and Taewan Kim and Jeongeon Park and Hyungyu Shin and Seulgi Choi and Sunghyun Park and Kyungjae Lee and Juho Kim and Moontae Lee and Hwajung Hong}, title = {Co-Creating Question-and-Answer Style Articles with Large Language Models for Research Promotion}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, year = {2024}, crossref = {DBLP:conf/ACMdis/2024}, url = {https://doi.org/10.1145/3643834.3660705}, doi = {10.1145/3643834.3660705}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/LimCKPSCPLKLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MoonNKLSH24, author = {Woohyeon Moon and Sarvar Hussain Nengroo and Taeyoung Kim and Jihui Lee and Seungah Son and Dongsoo Har}, title = {Enhanced Optical Character Recognition by Optical Sensor Combined with {BERT} and Cosine Similarity Scoring (Student Abstract)}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {23585--23586}, year = {2024}, crossref = {DBLP:conf/aaai/2024}, url = {https://doi.org/10.1609/aaai.v38i21.30483}, doi = {10.1609/AAAI.V38I21.30483}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MoonNKLSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/HongYJLYHJMJLLJ24, author = {Sunghoon Hong and Deunsol Yoon and Whiyoung Jung and Jinsang Lee and Hyundam Yoo and Jiwon Ham and Suhyun Jung and Chanwoo Moon and Yeontae Jung and Kanghoon Lee and Woohyung Lim and Somin Jeon and Myounggu Lee and Sohui Hong and Jaesang Lee and Hangyoul Jang and Changhyun Kwak and Jeonghyeon Park and Changhoon Kang and Jungki Kim}, title = {Naphtha Cracking Center Scheduling Optimization using Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {2806--2808}, year = {2024}, crossref = {DBLP:conf/atal/2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3663294}, doi = {10.5555/3635637.3663294}, timestamp = {Wed, 26 Jun 2024 14:06:50 +0200}, biburl = {https://dblp.org/rec/conf/atal/HongYJLYHJMJLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HongLJK24, author = {Changi Hong and Jung Hyuk Lee and Moongu Jeon and Hong Kook Kim}, title = {Optimization for Low-Resource Speaker Adaptation in End-to-End Text-to-Speech}, booktitle = {21st {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2024, Las Vegas, NV, USA, January 6-9, 2024}, pages = {1060--1061}, year = {2024}, crossref = {DBLP:conf/ccnc/2024}, url = {https://doi.org/10.1109/CCNC51664.2024.10454740}, doi = {10.1109/CCNC51664.2024.10454740}, timestamp = {Tue, 26 Mar 2024 22:14:36 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/HongLJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLCPKMB24, author = {Youngjin Kim and Janghoo Lee and Seyong Choi and Hyekang Park and Seo Yun Kim and Seungjae Moon and Byoung Seong Bae}, title = {Micro {LED} Pixel Circuit with Threshold Voltage Compensation}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, year = {2024}, crossref = {DBLP:conf/elinfocom/2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457281}, doi = {10.1109/ICEIC61013.2024.10457281}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLCPKMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKPKCMLB24, author = {Janghoo Lee and Youngjin Kim and Hyekang Park and Seoyun Kim and Seyong Choi and Seungjae Moon and Wei Lei and Byoung Seong Bae}, title = {Internal Compensation X-Ray Detector Pixel Circuit with {IGZO} {TFT} and Perovskite Single Crystal}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, year = {2024}, crossref = {DBLP:conf/elinfocom/2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457199}, doi = {10.1109/ICEIC61013.2024.10457199}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKPKCMLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/OhKCMLB24, author = {Si Eun Oh and Seo Yoon Kim and Se Yong Choi and Seung Jae Moon and Jong Mo Lee and Byung Seong Bae}, title = {Comparison of Electrical Characteristics Between SiC and Si Substrate}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, year = {2024}, crossref = {DBLP:conf/elinfocom/2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457282}, doi = {10.1109/ICEIC61013.2024.10457282}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/OhKCMLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SimAALRKSMKP24, author = {Joonseop Sim and Soohong Ahn and Taeyoung Ahn and Seungyong Lee and Myunghyun Rhee and Jooyoung Kim and Kwangsik Shin and Donguk Moon and Euiseok Kim and Kyoung Park}, title = {Computational CXL-Memory Solution for Accelerating Memory-Intensive Applications}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {615}, year = {2024}, crossref = {DBLP:conf/hpca/2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00053}, doi = {10.1109/HPCA57654.2024.00053}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/SimAALRKSMKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChoKKASOKKPJKHLLLK24, author = {Hwanbeom Cho and Kyeongeun Kim and Minkyoung Kim and Chinam An and Noeul Sim and Youngseok Oh and Minseung Kim and Gyumyeong Kim and Jinkyung Park and Jin Jeon and Minjin Kim and Eunae Heo and Youngju Lim and Moonhyun Lee and Sanghee Lee and Minji Kim}, title = {The Enhancement Mechanisms of SiOx Hardness via Manipulation of Oxygen Content}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {10}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529456}, doi = {10.1109/IRPS48228.2024.10529456}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChoKKASOKKPJKHLLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JeongLJLPOKP24, author = {Moonyoung Jeong and Sangho Lee and Yootak Jun and Kiseok Lee and Seokhan Park and Jeonghoon Oh and Ilgweon Kim and Jemin Park}, title = {Effect of Back Gate on Word Line Disturb Immunity of a Vertical Channel {DRAM} Cell Array Transistor}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {23}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529491}, doi = {10.1109/IRPS48228.2024.10529491}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JeongLJLPOKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24, author = {Jungchul Lee and EC Kwon and SH Yoon and R. G. Oh and S. Y. Park and S. H. Youn and K. R. Choi and T. W. Kim and M. C. Kim and J. H. Park and Y. W. Ko and Y. D. Kim and J. S. Moon and H. A. Park and K. O. Hong and J. Y. Yang and J. Y. Yoon and J. M. Yoon and J. M. Lee and J. H. Kim and H. Y. Yoo and S. J. Kim and N.{-}H. Lee and S. H. Lee and K. S. Kwon and I. G. Jung and S. Y. Lee and H.{-}J. Kim and Sangwoo Pae}, title = {Virtual {FA} Methodology for {DRAM:} Real-Time Analysis and Risk Assessment Method Using Telemetry}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--7}, year = {2024}, crossref = {DBLP:conf/irps/2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529404}, doi = {10.1109/IRPS48228.2024.10529404}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LeeKYYP24, author = {Dongyeun Lee and Chaewon Kim and Sangjoon Yu and Jaejun Yoo and Gyeong{-}Moon Park}, title = {{RADIO:} Reference-Agnostic Dubbing Video Synthesis}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {4156--4166}, year = {2024}, crossref = {DBLP:conf/wacv/2024}, url = {https://doi.org/10.1109/WACV57701.2024.00412}, doi = {10.1109/WACV57701.2024.00412}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/LeeKYYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13211, author = {Dongjin Kang and Sunghwan Kim and Taeyoon Kwon and Seungjun Moon and Hyunsouk Cho and Youngjae Yu and Dongha Lee and Jinyoung Yeo}, title = {Can Large Language Models be Good Emotional Supporter? Mitigating Preference Bias on Emotional Support Conversation}, journal = {CoRR}, volume = {abs/2402.13211}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13211}, doi = {10.48550/ARXIV.2402.13211}, eprinttype = {arXiv}, eprint = {2402.13211}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17517, author = {Byeonghu Na and Yeongmin Kim and HeeSun Bae and Jung Hyun Lee and Se Jung Kwon and Wanmo Kang and Il{-}Chul Moon}, title = {Label-Noise Robust Diffusion Models}, journal = {CoRR}, volume = {abs/2402.17517}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17517}, doi = {10.48550/ARXIV.2402.17517}, eprinttype = {arXiv}, eprint = {2402.17517}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17517.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15517, author = {Jaeill Kim and Wonseok Lee and Moonjung Eo and Wonjong Rhee}, title = {Improving Forward Compatibility in Class Incremental Learning by Increasing Representation Rank and Feature Richness}, journal = {CoRR}, volume = {abs/2403.15517}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15517}, doi = {10.48550/ARXIV.2403.15517}, eprinttype = {arXiv}, eprint = {2403.15517}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15517.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01580, author = {Seokha Moon and Hongbeen Park and Jungphil Kwon and Jaekoo Lee and Jinkyu Kim}, title = {Learning Temporal Cues by Predicting Objects Move for Multi-camera 3D Object Detection}, journal = {CoRR}, volume = {abs/2404.01580}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01580}, doi = {10.48550/ARXIV.2404.01580}, eprinttype = {arXiv}, eprint = {2404.01580}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14687, author = {Raehyuk Jung and Hyojun Go and Jaehyuk Yi and Jiho Jang and Daniel Kim and Jay Suh and Aiden Seung Joon Lee and Cooper Han and Jae Lee and Jeff Kim and Jin{-}Young Kim and Junwan Kim and Kyle Park and Lucas Lee and Mars Ha and Minjoon Seo and Abraham Jo and Ed Park and Hassan Kianinejad and Sj Kim and Tony Moon and Wade Jeong and Andrei Popescu and Esther Kim and EK Yoon and Genie Heo and Henry Choi and Jenna Kang and Kevin Han and Noah Seo and Sunny Nguyen and Ryan Won and Yeonhoo Park and Anthony Giuliani and Dave Chung and Hans Yoon and James Le and Jenny Ahn and June Lee and Maninder Saini and Meredith Sanders and Soyoung Lee and Sue Kim and Travis Couture}, title = {Pegasus-v1 Technical Report}, journal = {CoRR}, volume = {abs/2404.14687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14687}, doi = {10.48550/ARXIV.2404.14687}, eprinttype = {arXiv}, eprint = {2404.14687}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16484, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Cosmin Stejerean and Ioannis Katsavounidis and Radu Timofte and Kihwan Yoon and Ganzorig Gankhuyag and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhiyuan Li and Hao Wei and Chenyang Ge and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin and Menghan Zhou and Yiqiang Yan and Si Gao and Biao Wu and Shaoli Liu and Chengjian Zheng and Diankai Zhang and Ning Wang and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Hyeon{-}Cheol Moon and Tae{-}hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16484}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16484}, doi = {10.48550/ARXIV.2404.16484}, eprinttype = {arXiv}, eprint = {2404.16484}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-17140, author = {Yunxiang Zhang and Muhammad Khalifa and Lajanugen Logeswaran and Jaekyeom Kim and Moontae Lee and Honglak Lee and Lu Wang}, title = {Small Language Models Need Strong Verifiers to Self-Correct Reasoning}, journal = {CoRR}, volume = {abs/2404.17140}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.17140}, doi = {10.48550/ARXIV.2404.17140}, eprinttype = {arXiv}, eprint = {2404.17140}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-17140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18063, author = {Jaemoon Lee and Ki Sung Jung and Qian Gong and Xiao Li and Scott Klasky and Jacqueline Chen and Anand Rangarajan and Sanjay Ranka}, title = {Machine Learning Techniques for Data Reduction of {CFD} Applications}, journal = {CoRR}, volume = {abs/2404.18063}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18063}, doi = {10.48550/ARXIV.2404.18063}, eprinttype = {arXiv}, eprint = {2404.18063}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-00260, author = {Yamato Okamoto and Youngmin Baek and Geewook Kim and Ryota Nakao and Donghyun Kim and Moonbin Yim and Seunghyun Park and Bado Lee}, title = {{CREPE:} Coordinate-Aware End-to-End Document Parser}, journal = {CoRR}, volume = {abs/2405.00260}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.00260}, doi = {10.48550/ARXIV.2405.00260}, eprinttype = {arXiv}, eprint = {2405.00260}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-00260.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01535, author = {Seungone Kim and Juyoung Suk and Shayne Longpre and Bill Yuchen Lin and Jamin Shin and Sean Welleck and Graham Neubig and Moontae Lee and Kyungjae Lee and Minjoon Seo}, title = {Prometheus 2: An Open Source Language Model Specialized in Evaluating Other Language Models}, journal = {CoRR}, volume = {abs/2405.01535}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01535}, doi = {10.48550/ARXIV.2405.01535}, eprinttype = {arXiv}, eprint = {2405.01535}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01974, author = {Sung Moon Ko and Sumin Lee and Dae{-}Woong Jeong and Hyunseung Kim and Chanhui Lee and Soorin Yim and Sehui Han}, title = {Multitask Extension of Geometrically Aligned Transfer Encoder}, journal = {CoRR}, volume = {abs/2405.01974}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01974}, doi = {10.48550/ARXIV.2405.01974}, eprinttype = {arXiv}, eprint = {2405.01974}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-17111, author = {Yeongmin Kim and Kwanghyeon Lee and Minsang Park and Byeonghu Na and Il{-}Chul Moon}, title = {Diffusion Bridge AutoEncoders for Unsupervised Representation Learning}, journal = {CoRR}, volume = {abs/2405.17111}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.17111}, doi = {10.48550/ARXIV.2405.17111}, eprinttype = {arXiv}, eprint = {2405.17111}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-17111.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18623, author = {Unggi Lee and Yeil Jeong and Junbo Koh and Gyuri Byun and Yunseo Lee and Hyunwoong Lee and Seunmin Eun and Jewoong Moon and Cheolil Lim and Hyeoncheol Kim}, title = {I See You: Teacher Analytics with {GPT-4} Vision-Powered Observational Assessment}, journal = {CoRR}, volume = {abs/2405.18623}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18623}, doi = {10.48550/ARXIV.2405.18623}, eprinttype = {arXiv}, eprint = {2405.18623}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18623.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GankhuyagHKYMLJ23, author = {Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Jinwoo Jeong and Sungjei Kim and Yoonsik Choe}, title = {Skip-Concatenated Image Super-Resolution Network for Mobile Devices}, journal = {{IEEE} Access}, volume = {11}, pages = {4972--4982}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2022.3232258}, doi = {10.1109/ACCESS.2022.3232258}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GankhuyagHKYMLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimSKLKMKJ23, author = {Chansoo Kim and Jiwon Seok and Soyeong Kim and Kunho Lee and Jonghwa Kim and Insub Moon and Jisung Kang and Kichun Jo}, title = {Embedded Inertial Sensor-Based Road to Vehicle Pitch Estimation for Automatic Headlamp Leveling}, journal = {{IEEE} Access}, volume = {11}, pages = {56958--56972}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3282253}, doi = {10.1109/ACCESS.2023.3282253}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimSKLKMKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimTJKKLK23, author = {Jong{-}Yeup Kim and Gayrat Tangriberganov and Woochul Jung and Dae Sung Kim and Hoon Sup Koo and Suehyun Lee and Sun Moon Kim}, title = {An Effective Representation Learning Approach: The Integrated Self-Supervised Pre-Training Models of StyleGAN2-ADA and {DINO} for Colon Polyp Images}, journal = {{IEEE} Access}, volume = {11}, pages = {143628--143634}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3342838}, doi = {10.1109/ACCESS.2023.3342838}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimTJKKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeRKMJ23, author = {Ga Yeong Lee and Gyu Li Ra and Gil Su Kim and Hak Hyun Moon and Jong Seob Jeong}, title = {Probability Mass Function-Based Adaptive Median Filtering for Acoustic Radiation Force Impulse Imaging: {A} Feasibility Study}, journal = {{IEEE} Access}, volume = {11}, pages = {142077--142086}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3342710}, doi = {10.1109/ACCESS.2023.3342710}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeRKMJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonYKL23, author = {Byeong{-}Taek Moon and Byeonghun Yun and Jusung Kim and Sang{-}Gug Lee}, title = {Analysis and Design of Power-Efficient H-Band {CMOS} Frequency Doubler Employing Gain Boosting and Harmonic Enhancing Techniques}, journal = {{IEEE} Access}, volume = {11}, pages = {34942--34951}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3264531}, doi = {10.1109/ACCESS.2023.3264531}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MoonYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SeoMLKLKLK23, author = {Sang Seo and Heaeun Moon and Sunho Lee and Donghyeon Kim and Jaeyeon Lee and Byeongjin Kim and Woojin Lee and Dohoon Kim}, title = {{D3GF:} {A} Study on Optimal Defense Performance Evaluation of Drone-Type Moving Target Defense Through Game Theory}, journal = {{IEEE} Access}, volume = {11}, pages = {59575--59598}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3278744}, doi = {10.1109/ACCESS.2023.3278744}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SeoMLKLKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangMKLL23, author = {Semo Yang and Jihwan Moon and Jinsoo Kim and Kwangkee Lee and Kangyoon Lee}, title = {FLScalize: Federated Learning Lifecycle Management Platform}, journal = {{IEEE} Access}, volume = {11}, pages = {47212--47222}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3275439}, doi = {10.1109/ACCESS.2023.3275439}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangMKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeSJKYKNCKSBY23, author = {Sungyoung Lee and Choong{-}Hyun Sun and Heejun Jang and Daeyoon Kim and Sung{-}Soo Yoon and Youngil Koh and Seung Chan Na and Sung Im Cho and Man Jin Kim and Moon{-}Woo Seong and Ja Min Byun and Hongseok Yun}, title = {ITDetect: a method to detect internal tandem duplication of FMS-like tyrosine kinase {(FLT3)} from next-generation sequencing data with high sensitivity and clinical application}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {62}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05173-8}, doi = {10.1186/S12859-023-05173-8}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeSJKYKNCKSBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SimAALRKSMKP23, author = {Joonseop Sim and Soohong Ahn and Taeyoung Ahn and Seungyong Lee and Myunghyun Rhee and Jooyoung Kim and Kwangsik Shin and Donguk Moon and Euiseok Kim and Kyoung Park}, title = {Computational CXL-Memory Solution for Accelerating Memory-Intensive Applications}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {22}, number = {1}, pages = {5--8}, year = {2023}, url = {https://doi.org/10.1109/LCA.2022.3226482}, doi = {10.1109/LCA.2022.3226482}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/SimAALRKSMKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MoonKLLJLHKRN23, author = {SeongRyeol Moon and Hee Joo Kim and Yeeun Lee and Yu Joo Lee and Sungwon Jung and Jin Sook Lee and Si Houn Hahn and Kyungdoc Kim and Joo Young Roh and Seungyoon Nam}, title = {Oncogenic signaling pathways and hallmarks of cancer in Korean patients with acral melanoma}, journal = {Comput. Biol. Medicine}, volume = {154}, pages = {106602}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106602}, doi = {10.1016/J.COMPBIOMED.2023.106602}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MoonKLLJLHKRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/LeeMLS23, author = {Sangwook Lee and Won{-}Ki Moon and Jae{-}Gil Lee and S. Shyam Sundar}, title = {When the machine learns from users, is it helping or snooping?}, journal = {Comput. Hum. Behav.}, volume = {138}, pages = {107427}, year = {2023}, url = {https://doi.org/10.1016/j.chb.2022.107427}, doi = {10.1016/J.CHB.2022.107427}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/LeeMLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JeonKMKLCHCA23, author = {Hong{-}Bae Jeon and Soo{-}Min Kim and Hyung{-}Joo Moon and Do{-}Hoon Kwon and Joon{-}Woo Lee and Jong{-}Moon Chung and Sang{-}Kook Han and Chan{-}Byoung Chae and Mohamed{-}Slim Alouini}, title = {Free-Space Optical Communications for 6G Wireless Networks: Challenges, Opportunities, and Prototype Validation}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {4}, pages = {116--121}, year = {2023}, url = {https://doi.org/10.1109/MCOM.001.2200220}, doi = {10.1109/MCOM.001.2200220}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/JeonKMKLCHCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csm/LeeMKL23, author = {Hyun{-}Suk Lee and Seokjae Moon and Do{-}Yup Kim and Jang{-}Won Lee}, title = {Packet-Based Fronthauling in 5G Networks: Network Slicing-Aware Packetization}, journal = {{IEEE} Commun. Stand. Mag.}, volume = {7}, number = {2}, pages = {56--63}, year = {2023}, url = {https://doi.org/10.1109/MCOMSTD.0007.200062}, doi = {10.1109/MCOMSTD.0007.200062}, timestamp = {Sun, 25 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csm/LeeMKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/KimL23, author = {Moonseong Kim and Woochan Lee}, title = {Novel Sensing Hole Recovery with Expanded Relay Node Capability}, journal = {Comput. Syst. Sci. Eng.}, volume = {44}, number = {1}, pages = {663--675}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.025615}, doi = {10.32604/CSSE.2023.025615}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/KimL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/SimKJJLLC23, author = {Junsik Sim and Beomjoong Kim and Kiseok Jeon and Moonho Joo and Jihun Lim and Junghee Lee and Kim{-}Kwang Raymond Choo}, title = {Technical Requirements and Approaches in Personal Data Control}, journal = {{ACM} Comput. Surv.}, volume = {55}, number = {9}, pages = {190:1--190:30}, year = {2023}, url = {https://doi.org/10.1145/3558766}, doi = {10.1145/3558766}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/SimKJJLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChoKLHNM23, author = {Sunghyun Cho and Minsu Kim and Jaewon Lee and Areum Han and Jonggeol Na and Il Moon}, title = {Multi-objective optimization of explosive waste treatment process considering environment via Bayesian active learning}, journal = {Eng. Appl. Artif. Intell.}, volume = {117}, number = {Part}, pages = {105463}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2022.105463}, doi = {10.1016/J.ENGAPPAI.2022.105463}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChoKLHNM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/MunirAYLJ23, author = {Farzeen Munir and Shoaib Azam and Kin{-}Choong Yow and Byung{-}Geun Lee and Moongu Jeon}, title = {Multimodal fusion for sensorimotor control in steering angle prediction}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, number = {Part {D}}, pages = {107087}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.107087}, doi = {10.1016/J.ENGAPPAI.2023.107087}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/MunirAYLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MoonPKLLSEJKLL23, author = {Hyeonseok Moon and Chanjun Park and Seonmin Koo and Jungseob Lee and Seungjun Lee and Jaehyung Seo and Sugyeong Eo and Yoonna Jang and Hyunjoong Kim and Hyoung{-}gyu Lee and Heuiseok Lim}, title = {Doubts on the reliability of parallel corpus filtering}, journal = {Expert Syst. Appl.}, volume = {233}, pages = {120962}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120962}, doi = {10.1016/J.ESWA.2023.120962}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/MoonPKLLSEJKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ShahjalalKKMKLL23, author = {Md. Shahjalal and Woojun Kim and Waqas Khalid and Seokjae Moon and Murad Khan and Shuzhi Liu and Suhyeon Lim and Eunjin Kim and Deok Won Yun and Joohyun Lee and Won Cheol Lee and Seung{-}Hoon Hwang and Dongkyun Kim and Jang{-}Won Lee and Heejung Yu and Youngchul Sung and Yeong Min Jang}, title = {Enabling technologies for {AI} empowered 6G massive radio access networks}, journal = {{ICT} Express}, volume = {9}, number = {3}, pages = {341--355}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2022.07.002}, doi = {10.1016/J.ICTE.2022.07.002}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ShahjalalKKMKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/LeeOM23, author = {Sangwook Lee and Jeeyun Oh and Won{-}Ki Moon}, title = {Adopting Voice Assistants in Online Shopping: Examining the Role of Social Presence, Performance Risk, and Machine Heuristic}, journal = {Int. J. Hum. Comput. Interact.}, volume = {39}, number = {14}, pages = {2978--2992}, year = {2023}, url = {https://doi.org/10.1080/10447318.2022.2089813}, doi = {10.1080/10447318.2022.2089813}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/LeeOM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisec/KimKYLYTC23, author = {Moon{-}Seok Kim and Sungho Kim and Sang{-}Kyung Yoo and Bong{-}Soo Lee and Ji{-}Man Yu and Il{-}Woong Tcho and Yang{-}Kyu Choi}, title = {Error reduction of SRAM-based physically unclonable function for chip authentication}, journal = {Int. J. Inf. Sec.}, volume = {22}, number = {5}, pages = {1087--1098}, year = {2023}, url = {https://doi.org/10.1007/s10207-023-00668-0}, doi = {10.1007/S10207-023-00668-0}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisec/KimKYLYTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsc/MoonLKPMLLM23, author = {Hearim Moon and Juyeong Lee and Doyoon Kim and Eunsik Park and Junghyun Moon and Minsun Lee and Minji Lee and Eric T. Matson}, title = {Cost-Effective Solution for Fallen Tree Recognition Using {YOLOX} Object Detection}, journal = {Int. J. Semantic Comput.}, volume = {17}, number = {3}, pages = {371--386}, year = {2023}, url = {https://doi.org/10.1142/S1793351X23620039}, doi = {10.1142/S1793351X23620039}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsc/MoonLKPMLLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/KimLJKMLLLL23, author = {Hyunmyung Kim and Kyuil Lee and Chang{-}Hyeon Joh and Jinhee Kim and Sangmi Moon and Changseok Lee and Seungwoon Lee and Jun Lee and HyungJoo Lim}, title = {Spatial experience on tourism through MaaS (Mobility as a Service): Applying for a conjoint model of portfolio choice}, journal = {Inf. Process. Manag.}, volume = {60}, number = {3}, pages = {103263}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2022.103263}, doi = {10.1016/J.IPM.2022.103263}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/KimLJKMLLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/KwonLNNPCM23, author = {Yongsik Kwon and Seonho Lee and Yehyun Nam and Joong Chae Na and Kunsoo Park and Sang K. Cha and Bongki Moon}, title = {DB+-tree: {A} new variant of B+-tree for main-memory database systems}, journal = {Inf. Syst.}, volume = {119}, pages = {102287}, year = {2023}, url = {https://doi.org/10.1016/j.is.2023.102287}, doi = {10.1016/J.IS.2023.102287}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/is/KwonLNNPCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ism/JammoulLKYS23, author = {Kinana Jammoul and Habin Lee and Jisun Kim and Moongil Yoon and Uthayasankar Sivarajah}, title = {Antecedents and Moderators of Promotion Messages for Trust in Mobile Banking Services: An Elaboration Likelihood Model Perspective}, journal = {Inf. Syst. Manag.}, volume = {40}, number = {2}, pages = {186--206}, year = {2023}, url = {https://doi.org/10.1080/10580530.2022.2086327}, doi = {10.1080/10580530.2022.2086327}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ism/JammoulLKYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/BergquistSYYPGCCNBRPPCLCLKKMGLSPB23, author = {Timothy Bergquist and Thomas Schaffter and Yao Yan and Thomas Yu and Justin Prosser and Jifan Gao and Guanhua Chen and Lukasz Charzewski and Zofia Nawalany and Ivan Brugere and Renata Retkute and Alidivinas Prusokas and Augustinas Prusokas and Yonghwa Choi and Sanghoon Lee and Junseok Choe and Inggeol Lee and Sunkyu Kim and Jaewoo Kang and Sean D. Mooney and Justin Guinney}, title = {Evaluation of crowdsourced mortality prediction models as a framework for assessing artificial intelligence in medicine}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {35--44}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad159}, doi = {10.1093/JAMIA/OCAD159}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/BergquistSYYPGCCNBRPPCLCLKKMGLSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/ChooYMPLSCSS23, author = {Hyunwoo Choo and Suyoung Yoo and Suhyeon Moon and Minsu Park and Jiwon Lee and Ki Woong Sung and Won Chul Cha and Soo{-}Yong Shin and Meong Hi Son}, title = {Deep-learning-based personalized prediction of absolute neutrophil count recovery and comparison with clinicians for validation}, journal = {J. Biomed. Informatics}, volume = {137}, pages = {104268}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2022.104268}, doi = {10.1016/J.JBI.2022.104268}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/ChooYMPLSCSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/LeeMCKJ23, author = {Gwanghee Lee and Sangjun Moon and Dasom Choi and Gayeon Kim and Kyoung{-}Son Jhang}, title = {Exploration of Key Point Localization Neural Network Architectures for Y-Maze Behavior Test Automation}, journal = {J. Comput. Sci. Eng.}, volume = {17}, number = {3}, pages = {100--108}, year = {2023}, url = {https://doi.org/10.5626/jcse.2023.17.3.100}, doi = {10.5626/JCSE.2023.17.3.100}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcse/LeeMCKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoLLMK23, author = {Jaeyoung Seo and Sooeun Lee and Myungguk Lee and Changjae Moon and Byungsub Kim}, title = {A 20-Gb/s/Pin Compact Single-Ended DCC-Less {DECS} Transceiver With CDR-Less {RX} Front-End for On-Chip Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {3253--3265}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3287071}, doi = {10.1109/JSSC.2023.3287071}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoLLMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HaRCKKHMKLKKP23, author = {Minho Ha and Junhee Ryu and Jungmin Choi and Kwangjin Ko and Sunwoong Kim and Sungwoo Hyun and Donguk Moon and Byungil Koh and Hokyoon Lee and Myoungseo Kim and Hoshik Kim and Kyoung Park}, title = {Dynamic Capacity Service for Improving {CXL} Pooled Memory Efficiency}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {39--47}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3237756}, doi = {10.1109/MM.2023.3237756}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HaRCKKHMKLKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/KimRYCLCLKC23, author = {Bokkeun Kim and Syed M. Raza and Huigyu Yang and Rajesh Challa and Duc Tai Le and HyunJun Choi and DongJin Lee and Moonseong Kim and Hyunseung Choo}, title = {iPaaS: Intelligent Paging as a Service}, journal = {{IEEE} Netw.}, volume = {37}, number = {2}, pages = {238--245}, year = {2023}, url = {https://doi.org/10.1109/MNET.123.2100764}, doi = {10.1109/MNET.123.2100764}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/KimRYCLCLKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SonMKKL23, author = {Sangkyu Son and Joonsik Moon and Yee{-}Joon Kim and Min{-}Suk Kang and Joonyeol Lee}, title = {Frontal-to-visual information flow explains predictive motion tracking}, journal = {NeuroImage}, volume = {269}, pages = {119914}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.119914}, doi = {10.1016/J.NEUROIMAGE.2023.119914}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/SonMKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/LeeCKMSH23, author = {Dongjae Lee and Minki Cho and Jinwoo Kim and Soonwon Moon and Youngju Song and Chung{-}Kil Hur}, title = {Fair Operational Semantics}, journal = {Proc. {ACM} Program. Lang.}, volume = {7}, number = {{PLDI}}, pages = {811--834}, year = {2023}, url = {https://doi.org/10.1145/3591253}, doi = {10.1145/3591253}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/LeeCKMSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/LeeEMCKJK23, author = {Sumin Lee and Hyunjun Eun and Jinyoung Moon and Seokeon Choi and Yoonhyung Kim and Chanho Jung and Changick Kim}, title = {Learning to Discriminate Information for Online Action Detection: Analysis and Application}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {5}, pages = {5918--5934}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3204808}, doi = {10.1109/TPAMI.2022.3204808}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/LeeEMCKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimJPLKSS23, author = {Jun{-}Seon Kim and Ukjin Jung and Su{-}Hong Park and Dong{-}Yeob Lee and Moonhong Kim and Dongwoo Sohn and Dong{-}Wook Seo}, title = {Modeling and Dynamic Radar Cross-Section Estimation of Chaff Clouds for Real-Time Simulation}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3587}, year = {2023}, url = {https://doi.org/10.3390/rs15143587}, doi = {10.3390/RS15143587}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimJPLKSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeJKBPKJK23, author = {Hyo{-}Jung Lee and Hyun{-}Young Jo and Jong{-}Min Kim and Juseon Bak and Moon{-}Soo Park and Jung{-}Kwon Kim and Yu{-}Jin Jo and Cheol{-}Hee Kim}, title = {Nocturnal Boundary Layer Height Uncertainty in Particulate Matter Simulations during the {KORUS-AQ} Campaign}, journal = {Remote. Sens.}, volume = {15}, number = {2}, pages = {300}, year = {2023}, url = {https://doi.org/10.3390/rs15020300}, doi = {10.3390/RS15020300}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeJKBPKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/MoonNRKYYYL23, author = {Junyoung Moon and Kimoon Nam and Jaewook Ryu and Yoosun Kim and Juseok Yun and Seungtae Yang and Jaeha Yang and Giuk Lee}, title = {Reducing sprint time with exosuit assistance in the real world}, journal = {Sci. Robotics}, volume = {8}, number = {82}, year = {2023}, url = {https://doi.org/10.1126/scirobotics.adf5611}, doi = {10.1126/SCIROBOTICS.ADF5611}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/MoonNRKYYYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/ParkNYMRPYNNRKL23, author = {Juneil Park and Kimoon Nam and Juseok Yun and Junyoung Moon and Jaewook Ryu and Sungjin Park and Seungtae Yang and Alireza Nasirzadeh and Woochul Nam and Sruthi Ramadurai and Myunghee Kim and Giuk Lee}, title = {Effect of hip abduction assistance on metabolic cost and balance during human walking}, journal = {Sci. Robotics}, volume = {8}, number = {83}, year = {2023}, url = {https://doi.org/10.1126/scirobotics.ade0876}, doi = {10.1126/SCIROBOTICS.ADE0876}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/ParkNYMRPYNNRKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiMKGHHNL23, author = {Han{-}Bum Choi and Seung{-}Hyun Moon and Hyang Kim and Nagaraju Guthikonda and Kyung{-}Sik Ham and Song{-}Hee Han and Sang{-}Ho Nam and Yong{-}Hoon Lee}, title = {A Simple Laser-Induced Breakdown Spectroscopy Method for Quantification and Classification of Edible Sea Salts Assisted by Surface-Hydrophilicity-Enhanced Silicon Wafer Substrates}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9280}, year = {2023}, url = {https://doi.org/10.3390/s23229280}, doi = {10.3390/S23229280}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiMKGHHNL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKMP23, author = {Jinyoung Lee and Cheong{-}Ghil Kim and Yeon{-}Kug Moon and Woo{-}Chan Park}, title = {An Implementation of Inverse Cosine Hardware for Sound Rendering Applications}, journal = {Sensors}, volume = {23}, number = {15}, pages = {6731}, year = {2023}, url = {https://doi.org/10.3390/s23156731}, doi = {10.3390/S23156731}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeKMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMKTK23, author = {Jongha Lee and Gwiyeong Moon and Sukhyeon Ka and Kar{-}Ann Toh and Donghyun Kim}, title = {Deep Learning Approach for the Localization and Analysis of Surface Plasmon Scattering}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8100}, year = {2023}, url = {https://doi.org/10.3390/s23198100}, doi = {10.3390/S23198100}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeMKTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeOKPCKK23, author = {Ui Jin Lee and Yunkwang Oh and Oh{-}Seok Kwon and Jeong Mee Park and Hyun Mo Cho and Dong Hyung Kim and Moonil Kim}, title = {Single-Cell Detection of Erwinia amylovora Using Bio-Functionalized {SIS} Sensor}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7400}, year = {2023}, url = {https://doi.org/10.3390/s23177400}, doi = {10.3390/S23177400}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeOKPCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonOKLLSJ23, author = {Junhyung Moon and Minsuk Oh and Soljee Kim and Kyoungwoo Lee and Junga Lee and Yoonkyung Song and Justin Y. Jeon}, title = {Intelligent Estimation of Exercise Induced Energy Expenditure Including Excess Post-Exercise Oxygen Consumption {(EPOC)} with Different Exercise Intensity}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9235}, year = {2023}, url = {https://doi.org/10.3390/s23229235}, doi = {10.3390/S23229235}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonOKLLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/LeeKLK23, author = {Haegeun Lee and Hansol Kim and Jinook Lee and Moon Gi Kang}, title = {Overlapping group prior for image deconvolution using patch-wise gradient statistics}, journal = {Signal Process.}, volume = {211}, pages = {109117}, year = {2023}, url = {https://doi.org/10.1016/j.sigpro.2023.109117}, doi = {10.1016/J.SIGPRO.2023.109117}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/LeeKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/SongHKYPLLK23, author = {Jiho Song and Seong{-}Hwan Hyun and Keunwoo Kim and Young{-}Jun Yoon and Juho Park and Moon{-}Sik Lee and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Singular Direction-Based Quantizer and Receiver Designs for User Cooperative Distributed Reception}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {349--360}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3229319}, doi = {10.1109/JSYST.2022.3229319}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/SongHKYPLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/PatelPLPKJAKBC23, author = {Ajay Kumar Patel and Eun{-}Sung Park and Hongseok Lee and G. G. Lakshmi Priya and Hangi Kim and Rahul Joshi and Muhammad Akbar Andi Arief and Moon S. Kim and In{-}Suck Baek and Byoung{-}Kwan Cho}, title = {Deep Learning-Based Plant Organ Segmentation and Phenotyping of Sorghum Plants Using LiDAR Point Cloud}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {16}, pages = {8492--8507}, year = {2023}, url = {https://doi.org/10.1109/JSTARS.2023.3312815}, doi = {10.1109/JSTARS.2023.3312815}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/PatelPLPKJAKBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ParkHPLM23, author = {Jeong{-}Eon Park and Jung{-}Kyu Han and Ki{-}Bum Park and Byoung{-}Hee Lee and Gun{-}Woo Moon}, title = {A New Direct Charging Control for Electrical Power Systems in Low Earth Orbit Satellites}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {59}, number = {3}, pages = {2566--2578}, year = {2023}, url = {https://doi.org/10.1109/TAES.2022.3218495}, doi = {10.1109/TAES.2022.3218495}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ParkHPLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeLSKSLK23, author = {Hyokeun Lee and Seungyong Lee and Byeongki Song and Moonsoo Kim and Seokbo Shim and Hyuk{-}Jae Lee and Hyun Kim}, title = {An In-Module Disturbance Barrier for Mitigating Write Disturbance in Phase-Change Memory}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {4}, pages = {1150--1162}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3197071}, doi = {10.1109/TC.2022.3197071}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/LeeLSKSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/MunMKLS23, author = {Han{-}Gyeol Mun and Seunghyun Moon and Byungjun Kim and Kyeong{-}Jun Lee and Jae{-}Yoon Sim}, title = {Bottleneck-Stationary Compact Model Accelerator With Reduced Requirement on Memory Bandwidth for Edge Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {2}, pages = {772--782}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2022.3222862}, doi = {10.1109/TCSI.2022.3222862}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/MunMKLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MinKMKLYCK23, author = {Donghyun Min and Kihyun Kim and Chaewon Moon and Awais Khan and Seungjin Lee and Changhwan Youn and Woosuk Chung and Youngjae Kim}, title = {A Multi-tenant Key-value {SSD} with Secondary Index for Search Query Processing and Analysis}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {4}, pages = {65:1--65:27}, year = {2023}, url = {https://doi.org/10.1145/3590153}, doi = {10.1145/3590153}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/MinKMKLYCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimLJNMS23, author = {Seungnyun Kim and Anho Lee and Hyungyu Ju and Khoa Anh Ngo and Jihoon Moon and Byonghyo Shim}, title = {Transformer-Based Channel Parameter Acquisition for Terahertz Ultra-Massive {MIMO} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {11}, pages = {15127--15132}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3287530}, doi = {10.1109/TVT.2023.3287530}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KimLJNMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/MoonKGLKP23, author = {Jin{-}Young Moon and Nari Kim and Geonil Goh and Kyung{-}Ryong Lee and Hansol Kim and Young{-}Woo Park}, title = {Stubbi: an Interactive Device for Enhancing Remote Text and Voice Communication in Small Intimate Groups through Simple Physical Movements}, booktitle = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {1773--1788}, year = {2023}, crossref = {DBLP:conf/ACMdis/2023}, url = {https://doi.org/10.1145/3563657.3596016}, doi = {10.1145/3563657.3596016}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/MoonKGLKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/EoMKHKLCPL23, author = {Sugyeong Eo and Hyeonseok Moon and Jinsung Kim and Yuna Hur and Jeongwook Kim and Songeun Lee and Changwoo Chun and Sungsoo Park and Heuiseok Lim}, title = {Towards Diverse and Effective Question-Answer Pair Generation from Children Storybooks}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6100--6115}, year = {2023}, crossref = {DBLP:conf/acl/2023f}, url = {https://doi.org/10.18653/v1/2023.findings-acl.380}, doi = {10.18653/V1/2023.FINDINGS-ACL.380}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/EoMKHKLCPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/LeeLLKL23, author = {Seung{-}Que Lee and Junhwan Lee and Moon{-}Sik Lee and Seung{-}Gyu Kim and Seongjin Lee}, title = {Design, Implementation and Analysis of {L1} Control {SW} for {FAPI} based 5G {NR} gNB}, booktitle = {28th Asia Pacific Conference on Communications, {APCC} 2023, Sydney, Australia, November 19-22, 2023}, pages = {57--60}, year = {2023}, crossref = {DBLP:conf/apcc/2023}, url = {https://doi.org/10.1109/APCC60132.2023.10460746}, doi = {10.1109/APCC60132.2023.10460746}, timestamp = {Thu, 21 Mar 2024 17:12:44 +0100}, biburl = {https://dblp.org/rec/conf/apcc/LeeLLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Donghun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Changsik Yoo and Tae{-}Young Oh}, title = {A 1.01V 8.5Gb/s/pin 16Gb LPDDR5x {SDRAM} with Self-Pre-Emphasized Stacked-Tx, Supply Voltage Insensitive Rx, and Optimized Clock Using 4th-Generation 10nm {DRAM} Process for High-Speed and Low-Power Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--4}, year = {2023}, crossref = {DBLP:conf/asscc/2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348005}, doi = {10.1109/A-SSCC58667.2023.10348005}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ParkKKYMLJ23, author = {Changjoo Park and Jeongmyeong Kim and Kyounghun Kang and Minkyu Yang and Byeongmin Moon and Siheon Lee and Wanyeong Jung}, title = {A 74.0 dB-SNDR 175.4 dB-FoM Pipelined-SAR {ADC} Using a Cyclically Charged Floating Inverter Amplifier}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, year = {2023}, crossref = {DBLP:conf/asscc/2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347995}, doi = {10.1109/A-SSCC58667.2023.10347995}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ParkKKYMLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/LeeLLCKK23, author = {Seungeun Lee and Jaeyoung Lee and Moonhyun Lee and JinTak Choi and Kyungtae Kang and Younghoon Kim}, title = {Integrating Genetic Information for Early Alzheimer's Diagnosis through {MRI} Interpretation}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2023, Pittsburgh, PA, USA, October 15-18, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/bhi/2023}, url = {https://doi.org/10.1109/BHI58575.2023.10313442}, doi = {10.1109/BHI58575.2023.10313442}, timestamp = {Fri, 01 Dec 2023 14:30:09 +0100}, biburl = {https://dblp.org/rec/conf/bhi/LeeLLCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/JeonMLLKPK23, author = {Eunkyoung Jeon and Sehwan Moon and Seihyoung Lee and Aram Lee and Sanghyun Kim and Kiwon Park and Jeong Eun Kim}, title = {A Comparative Study of {AI} Models for Depression Assessment using Voice Features}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {4905--4906}, year = {2023}, crossref = {DBLP:conf/bibm/2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385900}, doi = {10.1109/BIBM58861.2023.10385900}, timestamp = {Thu, 14 Mar 2024 16:59:09 +0100}, biburl = {https://dblp.org/rec/conf/bibm/JeonMLLKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/MoonLKJJK23, author = {Sehwan Moon and Aram Lee and Ju{-}Wan Kim and Eunkyoung Jeon and Min Jhon and Jeong Eun Kim}, title = {Challenge in Classification of Depressive Symptoms Using Actigraphy Data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {4926--4928}, year = {2023}, crossref = {DBLP:conf/bibm/2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10386017}, doi = {10.1109/BIBM58861.2023.10386017}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/MoonLKJJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/RyuKJMLCKKKCNHRJ23, author = {Seokhyun Ryu and Sohyun Kim and Jaeyung Jun and Donguk Moon and Kyungsoo Lee and Jungmin Choi and Sunwoong Kim and Hyungsoo Kim and Luke Kim and Won Ha Choi and Moohyeon Nam and Dooyoung Hwang and Hongchan Roh and Young{-}Pyo Joo}, title = {System Optimization of Data Analytics Platforms using Compute Express Link {(CXL)} Memory}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {9--12}, year = {2023}, crossref = {DBLP:conf/bigcomp/2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00011}, doi = {10.1109/BIGCOMP57234.2023.00011}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/RyuKJMLCKKKCNHRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/LeeMKLLC23, author = {Hyeokmin Lee and Hosang Moon and Jaehoon Kim and Jaeheui Lee and Eunghyuk Lee and Sungtaek Chung}, title = {Analysis of Driving Behavior by Applying {LDA} Topic Model at Intersection Using {VR} Simulator}, booktitle = {Proceedings of the 16th International Joint Conference on Biomedical Engineering Systems and Technologies, {BIOSTEC} 2023, Volume 5: HEALTHINF, Lisbon, Portugal, February 16-18, 2023}, pages = {432--438}, year = {2023}, crossref = {DBLP:conf/biostec/2023hi}, url = {https://doi.org/10.5220/0011716900003414}, doi = {10.5220/0011716900003414}, timestamp = {Thu, 23 Mar 2023 11:48:52 +0100}, biburl = {https://dblp.org/rec/conf/biostec/LeeMKLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ChunLLJK23, author = {Chanjun Chun and Young Han Lee and Geon Woo Lee and Moongu Jeon and Hong Kook Kim}, title = {Non-Parallel Voice Conversion Using Cycle-Consistent Adversarial Networks with Self-Supervised Representations}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {931--932}, year = {2023}, crossref = {DBLP:conf/ccnc/2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060510}, doi = {10.1109/CCNC51644.2023.10060510}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/ChunLLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/KimHSLMLLKCY023, author = {Seongkwang Kim and Jincheol Ha and Mincheol Son and ByeongHak Lee and Dukjae Moon and Joohee Lee and Sangyub Lee and Jihoon Kwon and Jihoon Cho and Hyojin Yoon and Jooyoung Lee}, title = {{AIM:} Symmetric Primitive for Shorter Signatures with Stronger Security}, booktitle = {Proceedings of the 2023 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2023, Copenhagen, Denmark, November 26-30, 2023}, pages = {401--415}, year = {2023}, crossref = {DBLP:conf/ccs/2023}, url = {https://doi.org/10.1145/3576915.3616579}, doi = {10.1145/3576915.3616579}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/KimHSLMLLKCY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpaior/KimCMLLP23, author = {Dongyun Kim and Yeonjun Choi and Kyungduk Moon and Myungho Lee and Kangbok Lee and Michael L. Pinedo}, title = {Iterated Greedy Constraint Programming for Scheduling Steelmaking Continuous Casting}, booktitle = {Integration of Constraint Programming, Artificial Intelligence, and Operations Research - 20th International Conference, {CPAIOR} 2023, Nice, France, May 29 - June 1, 2023, Proceedings}, pages = {477--492}, year = {2023}, crossref = {DBLP:conf/cpaior/2023}, url = {https://doi.org/10.1007/978-3-031-33271-5\_31}, doi = {10.1007/978-3-031-33271-5\_31}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpaior/KimCMLLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimLM23, author = {Jonghee Kim and Youngwan Lee and Jinyoung Moon}, title = {{T2V2T:} Text-to-Video-to-Text Fusion for Text-to-Video Retrieval}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5613--5618}, year = {2023}, crossref = {DBLP:conf/cvpr/2023w}, url = {https://doi.org/10.1109/CVPRW59228.2023.00594}, doi = {10.1109/CVPRW59228.2023.00594}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhPKML23, author = {Yeonguk Oh and JoonKyu Park and Jaeha Kim and Gyeongsik Moon and Kyoung Mu Lee}, title = {Recovering 3D Hand Mesh Sequence from a Single Blurry Image: {A} New Dataset and Temporal Unfolding}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {554--563}, year = {2023}, crossref = {DBLP:conf/cvpr/2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00061}, doi = {10.1109/CVPR52729.2023.00061}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhPKML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/HanKK00LLBH23, author = {Hojae Han and Yu Jin Kim and Byoungjip Kim and Youngwon Lee and Kyungjae Lee and Kyungmin Lee and Moontae Lee and Kyunghoon Bae and Seung{-}won Hwang}, title = {On Sample-Efficient Code Generation}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing: {EMNLP} 2023 - Industry Track, Singapore, December 6-10, 2023}, pages = {783--791}, year = {2023}, crossref = {DBLP:conf/emnlp/2023i}, url = {https://doi.org/10.18653/v1/2023.emnlp-industry.73}, doi = {10.18653/V1/2023.EMNLP-INDUSTRY.73}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/HanKK00LLBH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JangSLSHLMYL23, author = {Yoonna Jang and Suhyune Son and Jeongwoo Lee and Junyoung Son and Yuna Hur and Jungwoo Lim and Hyeonseok Moon and Kisu Yang and Heuiseok Lim}, title = {Post-hoc Utterance Refining Method by Entity Mining for Faithful Knowledge Grounded Conversations}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {4844--4861}, year = {2023}, crossref = {DBLP:conf/emnlp/2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.295}, doi = {10.18653/V1/2023.EMNLP-MAIN.295}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JangSLSHLMYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MoonLCJPKMPP23, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, title = {Analyzing Norm Violations in Live-Stream Chat}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {852--868}, year = {2023}, crossref = {DBLP:conf/emnlp/2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.55}, doi = {10.18653/V1/2023.EMNLP-MAIN.55}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/MoonLCJPKMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giscience/JangCKKLD23, author = {Kee Moon Jang and Junda Chen and Yuhao Kang and Junghwan Kim and Jinhyung Lee and F{\'{a}}bio Duarte}, title = {Understanding Place Identity with Generative {AI} (Short Paper)}, booktitle = {12th International Conference on Geographic Information Science, GIScience 2023, September 12-15, 2023, Leeds, {UK}}, pages = {41:1--41:6}, year = {2023}, crossref = {DBLP:conf/giscience/2023}, url = {https://doi.org/10.4230/LIPIcs.GIScience.2023.41}, doi = {10.4230/LIPICS.GISCIENCE.2023.41}, timestamp = {Sat, 09 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/giscience/JangCKKLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeMKA23, author = {Gwangho Lee and Juseong Moon and Ronny Yongho Kim and Woojin Ahn}, title = {Novel Transmission Scheme Using Transmit Opportunity Transfer in Wi-Fi 8}, booktitle = {{IEEE} Globecom Workshops 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {1344--1347}, year = {2023}, crossref = {DBLP:conf/globecom/2023w}, url = {https://doi.org/10.1109/GCWkshps58843.2023.10464810}, doi = {10.1109/GCWKSHPS58843.2023.10464810}, timestamp = {Tue, 26 Mar 2024 22:14:40 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeMKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/HongKMLJ23, author = {Seokjoon Hong and Daniel Kim and Junhyeong Moon and Kyungrak Lee and Inwhee Joe}, title = {5G {NR} based initial access procedure simulation environment implementation including system information using ns-3 simulator}, booktitle = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, pages = {171--173}, year = {2023}, crossref = {DBLP:conf/icact/2023}, url = {https://doi.org/10.23919/ICACT56868.2023.10079674}, doi = {10.23919/ICACT56868.2023.10079674}, timestamp = {Thu, 06 Apr 2023 09:43:44 +0200}, biburl = {https://dblp.org/rec/conf/icact/HongKMLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSLSKBS23, author = {Han{-}Sol Lee and Moonkyu Song and Junseo Lee and Yeol{-}Min Seong and Ducksoo Kim and Kwanghyuk Bae and Seongwook Song}, title = {An Antispoofing Approach in Biometric Authentication System for a Smartcard}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, year = {2023}, crossref = {DBLP:conf/icassp/2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095918}, doi = {10.1109/ICASSP49357.2023.10095918}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeSLSKBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/MoonKRL23, author = {SungHwan Moon and Tae Seong Kim and Jihye Ryu and Won Hee Lee}, title = {Federated Learning for Sleep Stage Classification on Edge Devices via a Model-Agnostic Meta-Learning-Based Pre-Trained Model}, booktitle = {13th {IEEE} International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2023, Berlin, Germany, September 3-5, 2023}, pages = {188--192}, year = {2023}, crossref = {DBLP:conf/icce-berlin/2023}, url = {https://doi.org/10.1109/ICCE-Berlin58801.2023.10375664}, doi = {10.1109/ICCE-BERLIN58801.2023.10375664}, timestamp = {Tue, 16 Jan 2024 21:01:21 +0100}, biburl = {https://dblp.org/rec/conf/icce-berlin/MoonKRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeKM23, author = {Sanghoon Lee and Hyunwook Kim and Han{-}gil Moon}, title = {Robust Bluetooth Call Streaming with Frame-Overlapped Transmission and High Efficient Speech Compression}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/iccel/2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043430}, doi = {10.1109/ICCE56470.2023.10043430}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LeeKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/RafiqueHLKJ23, author = {Muhammad Aasim Rafique and Muhammad Ishfaq Hussain and Byung{-}Geun Lee and Hong Kook Kim and Moongu Jeon}, title = {Vehicle {CAN} Bus Data Prediction Using Transformers with Auxiliary Decoder Loss}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/iccel/2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043442}, doi = {10.1109/ICCE56470.2023.10043442}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/RafiqueHLKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JangKYKLLLS23, author = {Joel Jang and Seungone Kim and Seonghyeon Ye and Doyoung Kim and Lajanugen Logeswaran and Moontae Lee and Kyungjae Lee and Minjoon Seo}, title = {Exploring the Benefits of Training Expert Language Models over Instruction Tuning}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, pages = {14702--14729}, year = {2023}, crossref = {DBLP:conf/icml/2023}, url = {https://proceedings.mlr.press/v202/jang23a.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/JangKYKLLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeLPHKLL23, author = {Yoonjoo Lee and Kyungjae Lee and Sunghyun Park and Dasol Hwang and Jaehyeon Kim and Hong{-}In Lee and Moontae Lee}, title = {{QASA:} Advanced Question Answering on Scientific Articles}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, pages = {19036--19052}, year = {2023}, crossref = {DBLP:conf/icml/2023}, url = {https://proceedings.mlr.press/v202/lee23n.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/LeeLPHKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/FreemanSKLK23, author = {Harry Freeman and Eric Schneider and Chung Hee Kim and Moonyoung Lee and George Kantor}, title = {3D Reconstruction-Based Seed Counting of Sorghum Panicles for Agricultural Inspection}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {9594--9600}, year = {2023}, crossref = {DBLP:conf/icra/2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161400}, doi = {10.1109/ICRA48891.2023.10161400}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/FreemanSKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiCLK23, author = {Jang{-}Ho Choi and Moonyoung Chung and Taewhi Lee and Jiyong Kim}, title = {Towards Better Time-series Data Augmentation for Contrastive Learning}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1322--1324}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392505}, doi = {10.1109/ICTC58733.2023.10392505}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiCLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCJLMONS23, author = {Minjae Kim and Woojin Choi and Hansu Jeong and Sophia Lee and Jeongwon Moon and Ethan O'Sullivan and Donghyeon Na and Anthony Smith}, title = {Outdoor Long Range Ubiquitous Projectiles Tracking System Using {P-MPLR} and Computer Vision}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {245--250}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393144}, doi = {10.1109/ICTC58733.2023.10393144}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimCJLMONS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKCP23, author = {Chaeyeong Lee and Moonbeom Kim and Sungrae Cho and Jeongyeup Paek}, title = {Mimicking {GFSK} Modulation for WiFi-to-BLE Cross Technology Communication}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {536--538}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392311}, doi = {10.1109/ICTC58733.2023.10392311}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKMBMBLBL23, author = {Yong Su Lee and Jun Woo Kim and Young{-}Jin Moon and Seungjae Bahng and Jang{-}won Moon and Young{-}Jo Bang and Hoon Lee and JungSook Bae and Heesoo Lee}, title = {Energy efficient operation method of iterative channel decoder in wireless communication systems}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1194--1196}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392669}, doi = {10.1109/ICTC58733.2023.10392669}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKMBMBLBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonMLBKSLBB23, author = {Jang{-}won Moon and JinMyoung Moon and Yong Su Lee and Seungjae Bahng and Jun Woo Kim and Kyung Yeol Sohn and Hoon Lee and Young{-}Jo Bang and JungSook Bae}, title = {Physical Layer Modem Implementation for Movable Wireless Backhaul System}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {779--781}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393266}, doi = {10.1109/ICTC58733.2023.10393266}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonMLBKSLBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhKMCLKS23, author = {Seungtaek Oh and Sung Kyeom Kim and Jaewon Moon and Seungwook Choi and Soonho Lee and Seungwoo Kum and Hyun{-}Kwon Suh}, title = {Yield Monitoring Service with Time Series Representation of Growth from Crop Images}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1755--1758}, year = {2023}, crossref = {DBLP:conf/ictc/2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393247}, doi = {10.1109/ICTC58733.2023.10393247}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/OhKMCLKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimLP23, author = {Tae{-}Sung Kim and Moonjin Lee and Jae{-}Jin Park}, title = {Variation of Hazardous and Noxious Substances {(HNS)} Spectra at Different Wind Condition}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {7602--7603}, year = {2023}, crossref = {DBLP:conf/igarss/2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283042}, doi = {10.1109/IGARSS52108.2023.10283042}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkPFKKL23, author = {Jae{-}Jin Park and Kyung{-}Ae Park and Pierre{-}Yves Foucher and Tae{-}Sung Kim and Yong{-}Myung Kim and Moonjin Lee}, title = {Estimation of Hazardous and Noxious Substance (Toluene) Thickness Using Hyperspectral Remote Sensing}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {7604--7605}, year = {2023}, crossref = {DBLP:conf/igarss/2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283075}, doi = {10.1109/IGARSS52108.2023.10283075}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ParkPFKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeeKMMS23, author = {Kyeong{-}Jun Lee and ByungJun Kim and Han{-}Gyeol Mun and Seunghyun Moon and Jae{-}Yoon Sim}, title = {Joint Optimization of Cache Management and Graph Reordering for {GCN} Acceleration}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/islped/2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244423}, doi = {10.1109/ISLPED58423.2023.10244423}, timestamp = {Mon, 25 Sep 2023 14:10:09 +0200}, biburl = {https://dblp.org/rec/conf/islped/LeeKMMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimML23, author = {Jiwon Kim and Seungsik Moon and Youngjoo Lee}, title = {Low-Complexity Phase Shift Design for IRS-Aided {SU-MIMO} Wireless Systems}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {317--318}, year = {2023}, crossref = {DBLP:conf/isocc/2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396498}, doi = {10.1109/ISOCC59558.2023.10396498}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeMKC23, author = {Jisoo Lee and Daseul Moon and Woohyun Kim and Woong Choi}, title = {Design and Analysis of Compound Gates for Lightweight Multiplier}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {127--128}, year = {2023}, crossref = {DBLP:conf/isocc/2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396432}, doi = {10.1109/ISOCC59558.2023.10396432}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeMKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangMLSLS23, author = {Kiseo Kang and Donggyu Minn and Jaeho Lee and Ho{-}Jin Song and Moonjoo Lee and Jae{-}Yoon Sim}, title = {A Cryogenic Controller {IC} for Superconducting Qubits with {DRAG} Pulse Generation by Direct Synthesis without Using Memory}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {510--511}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067671}, doi = {10.1109/ISSCC42615.2023.10067671}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangMLSLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/FanWDLRBAG0L23, author = {Ying Fan and Olivia Watkins and Yuqing Du and Hao Liu and Moonkyung Ryu and Craig Boutilier and Pieter Abbeel and Mohammad Ghavamzadeh and Kangwook Lee and Kimin Lee}, title = {Reinforcement Learning for Fine-tuning Text-to-Image Diffusion Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, crossref = {DBLP:conf/nips/2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/fc65fab891d83433bd3c8d966edde311-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/FanWDLRBAG0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GoKLLOMC23, author = {Hyojun Go and JinYoung Kim and Yunsung Lee and Seunghyun Lee and Shinhyeok Oh and Hyeongdon Moon and Seungtaek Choi}, title = {Addressing Negative Transfer in Diffusion Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, crossref = {DBLP:conf/nips/2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/56a7b9a07ae01ddea762dcc51280298b-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GoKLLOMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JangKLSKLL23, author = {Youngsoo Jang and Geon{-}Hyeong Kim and Jongmin Lee and Sungryull Sohn and Byoungjip Kim and Honglak Lee and Moontae Lee}, title = {SafeDICE: Offline Safe Imitation Learning with Non-Preferred Demonstrations}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, crossref = {DBLP:conf/nips/2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/ed2fb79f2664c3d9ba878be7e575b2af-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/JangKLSKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/Kim0JBLJYCM23, author = {Peter Yongho Kim and Junbeom Kwon and Sunghwan Joo and Sangyoon Bae and Donggyu Lee and Yoonho Jung and Shinjae Yoo and Jiook Cha and Taesup Moon}, title = {SwiFT: Swin 4D fMRI Transformer}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, crossref = {DBLP:conf/nips/2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/8313b1920ee9c78d846c5798c1ce48be-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/Kim0JBLJYCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/LeeCMKPN23, author = {Chihyun Lee and Sangeun Chae and Sungho Moon and Kyeungpyo Kim and Sungsoon Park and Beomseok Nam}, title = {Improving Compaction in {LSM} Trees through {ZNS} Simple Copy: (Extended Abstract)}, booktitle = {12th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {64--65}, year = {2023}, crossref = {DBLP:conf/nvmsa/2023}, url = {https://doi.org/10.1109/NVMSA58981.2023.00013}, doi = {10.1109/NVMSA58981.2023.00013}, timestamp = {Tue, 03 Oct 2023 19:13:28 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/LeeCMKPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChoMKLSKKL23, author = {Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Wonkyoung Lee and Minkyu Sung and Sooyeon Kim and Seung Hwan Kim and Joon Ki Lee}, title = {Demonstrations of THz-band indoor network based on photonics technology}, booktitle = {34th {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications {PIMRC} 2023, Toronto, ON, Canada, September 5-8, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/pimrc/2023}, url = {https://doi.org/10.1109/PIMRC56721.2023.10293940}, doi = {10.1109/PIMRC56721.2023.10293940}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/ChoMKLSKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimCKNJLMP23, author = {Eunjae Kim and Sukwon Choi and Jiyoung Kim and Jae{-}Ho Nah and Woonam Jung and Tae{-}Hyeong Lee and Yeon{-}Kug Moon and Woo{-}Chan Park}, title = {An Architecture and Implementation of Real-Time Sound Propagation Hardware for Mobile Devices}, booktitle = {{SIGGRAPH} Asia 2023 Conference Papers, {SA} 2023, Sydney, NSW, Australia, December 12-15, 2023}, pages = {81:1--81:9}, year = {2023}, crossref = {DBLP:conf/siggrapha/2023}, url = {https://doi.org/10.1145/3610548.3618237}, doi = {10.1145/3610548.3618237}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimCKNJLMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/MoonKL23, author = {Jaewan Moon and Hye{-}young Kim and Jongwuk Lee}, title = {It's Enough: Relaxing Diagonal Constraints in Linear Autoencoders for Recommendation}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {1639--1648}, year = {2023}, crossref = {DBLP:conf/sigir/2023}, url = {https://doi.org/10.1145/3539618.3591704}, doi = {10.1145/3539618.3591704}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/MoonKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKKCPLSYPKLSKL23, author = {Youngmin Jo and Anil Kavala and Tongsung Kim and Byung{-}Kwan Chun and Jungjune Park and Taesung Lee and Jungmin Seo and Manjae Yang and Taehyeon Park and Hyunjin Kwon and Cheolhui Lee and Younghoon Son and Junghwan Kwak and Younggyu Lee and Hwan{-}Seok Ku and Dae{-}Hoon Na and Changyeon Yu and Jonghoon Park and Jae{-}Hwan Kim and Hyojin Kwon and Chan{-}ho Kim and Moon{-}Ki Jung and Chanjin Park and Donghyun Seo and Moosung Kim and Seungjae Lee and Jin{-}Yub Lee and Dongku Kang and Chiweon Yoon and SungHoi Hur}, title = {A 3.0 Gb/s/pin 4\({}^{\mbox{th}}\) generation F-chip with Toggle 5.0 Specification for 16Tb {NAND} Flash Memory Multi chip Package}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185391}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185391}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKKCPLSYPKLSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/FiondaHAACCC0DE23, author = {Valeria Fionda and Olaf Hartig and Reyhaneh Abdolazimi and Sihem Amer{-}Yahia and Hongzhi Chen and Xiao Chen and Peng Cui and Jeffrey Dalton and Xin Luna Dong and Lisette Esp{\'{\i}}n{-}Noboa and Wenqi Fan and Manuela Fritz and Quan Gan and Jingtong Gao and Xiaojie Guo and Torsten Hahmann and Jiawei Han and Soyeon Caren Han and Estevam Hruschka and Liang Hu and Jiaxin Huang and Utkarshani Jaimini and Olivier Jeunen and Yushan Jiang and Fariba Karimi and George Karypis and Krishnaram Kenthapadi and Himabindu Lakkaraju and Hady W. Lauw and Thai Le and Trung{-}Hoang Le and Dongwon Lee and Geon Lee and Liat Levontin and Cheng{-}Te Li and Haoyang Li and Ying Li and Jay Chiehen Liao and Qidong Liu and Usha Lokala and Ben London and Siqu Long and Hande K{\"{u}}{\c{c}}{\"{u}}k{-}McGinty and Yu Meng and Seungwhan Moon and Usman Naseem and Pradeep Natarajan and Behrooz Omidvar{-}Tehrani and Zijie Pan and Devesh Parekh and Jian Pei and Tiago Peixoto and Steven Pemberton and Josiah Poon and Filip Radlinski and Federico Rossetto and Kaushik Roy and Aghiles Salah and Mehrnoosh Sameki and Amit P. Sheth and Cogan Shimizu and Kijung Shin and Dongjin Song and Julia Stoyanovich and Dacheng Tao and Johanne Trippas and Quoc Truong and Yu{-}Che Tsai and Adaku Uchendu and Bram van den Akker and Lin Wang and Minjie Wang and Shoujin Wang and Xin Wang and Ingmar Weber and Henry Weld and Lingfei Wu and Da Xu and Yifan Ethan Xu and Shuyuan Xu and Bo Yang and Ke Yang and Elad Yom{-}Tov and Jaemin Yoo and Zhou Yu and Reza Zafarani and Hamed Zamani and Meike Zehlike and Qi Zhang and Xikun Zhang and Yongfeng Zhang and Yu Zhang and Zheng Zhang and Liang Zhao and Xiangyu Zhao and Wenwu Zhu}, title = {Tutorials at The Web Conference 2023}, booktitle = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {648--658}, year = {2023}, crossref = {DBLP:conf/www/2023c}, url = {https://doi.org/10.1145/3543873.3587713}, doi = {10.1145/3543873.3587713}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/FiondaHAACCC0DE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02903, author = {Byoungjip Kim and Sungik Choi and Dasol Hwang and Moontae Lee and Honglak Lee}, title = {Transferring Pre-trained Multimodal Representations with Cross-modal Similarity Matching}, journal = {CoRR}, volume = {abs/2301.02903}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02903}, doi = {10.48550/ARXIV.2301.02903}, eprinttype = {arXiv}, eprint = {2301.02903}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-05300, author = {Jiwon Kim and Moon{-}Ju Kang and KangHun Lee and HyungJun Moon and Bo{-}Kwan Jeon}, title = {Deep Reinforcement Learning for Asset Allocation: Reward Clipping}, journal = {CoRR}, volume = {abs/2301.05300}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.05300}, doi = {10.48550/ARXIV.2301.05300}, eprinttype = {arXiv}, eprint = {2301.05300}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-05300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-03202, author = {Joel Jang and Seungone Kim and Seonghyeon Ye and Doyoung Kim and Lajanugen Logeswaran and Moontae Lee and Kyungjae Lee and Minjoon Seo}, title = {Exploring the Benefits of Training Expert Language Models over Instruction Tuning}, journal = {CoRR}, volume = {abs/2302.03202}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.03202}, doi = {10.48550/ARXIV.2302.03202}, eprinttype = {arXiv}, eprint = {2302.03202}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-03202.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-05942, author = {Moon Jeong Park and Youngbin Choi and Namhoon Lee and Dongwoo Kim}, title = {SpReME: Sparse Regression for Multi-Environment Dynamic Systems}, journal = {CoRR}, volume = {abs/2302.05942}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.05942}, doi = {10.48550/ARXIV.2302.05942}, eprinttype = {arXiv}, eprint = {2302.05942}, timestamp = {Sun, 19 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-05942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12192, author = {Kimin Lee and Hao Liu and Moonkyung Ryu and Olivia Watkins and Yuqing Du and Craig Boutilier and Pieter Abbeel and Mohammad Ghavamzadeh and Shixiang Shane Gu}, title = {Aligning Text-to-Image Models using Human Feedback}, journal = {CoRR}, volume = {abs/2302.12192}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12192}, doi = {10.48550/ARXIV.2302.12192}, eprinttype = {arXiv}, eprint = {2302.12192}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12192.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15417, author = {Yeonguk Oh and JoonKyu Park and Jaeha Kim and Gyeongsik Moon and Kyoung Mu Lee}, title = {Recovering 3D Hand Mesh Sequence from a Single Blurry Image: {A} New Dataset and Temporal Unfolding}, journal = {CoRR}, volume = {abs/2303.15417}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15417}, doi = {10.48550/ARXIV.2303.15417}, eprinttype = {arXiv}, eprint = {2303.15417}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15417.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06488, author = {Chaoning Zhang and Chenshuang Zhang and Chenghao Li and Yu Qiao and Sheng Zheng and Sumit Kumar Dam and Mengchun Zhang and Jung Uk Kim and Seong Tae Kim and Jinwoo Choi and Gyeong{-}Moon Park and Sung{-}Ho Bae and Lik{-}Hang Lee and Pan Hui and In So Kweon and Choong Seon Hong}, title = {One Small Step for Generative AI, One Giant Leap for {AGI:} {A} Complete Survey on ChatGPT in {AIGC} Era}, journal = {CoRR}, volume = {abs/2304.06488}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06488}, doi = {10.48550/ARXIV.2304.06488}, eprinttype = {arXiv}, eprint = {2304.06488}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10731, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, title = {Analyzing Norm Violations in Live-Stream Chat}, journal = {CoRR}, volume = {abs/2305.10731}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10731}, doi = {10.48550/ARXIV.2305.10731}, eprinttype = {arXiv}, eprint = {2305.10731}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12922, author = {Jaewan Moon and Hye{-}young Kim and Jongwuk Lee}, title = {It's Enough: Relaxing Diagonal Constraints in Linear Autoencoders for Recommendation}, journal = {CoRR}, volume = {abs/2305.12922}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12922}, doi = {10.48550/ARXIV.2305.12922}, eprinttype = {arXiv}, eprint = {2305.12922}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13741, author = {Kibeom Kim and Hyundo Lee and Min Whoo Lee and Moonheon Lee and Minsu Lee and Byoung{-}Tak Zhang}, title = {{L-SA:} Learning Under-Explored Targets in Multi-Target Reinforcement Learning}, journal = {CoRR}, volume = {abs/2305.13741}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13741}, doi = {10.48550/ARXIV.2305.13741}, eprinttype = {arXiv}, eprint = {2305.13741}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-16381, author = {Ying Fan and Olivia Watkins and Yuqing Du and Hao Liu and Moonkyung Ryu and Craig Boutilier and Pieter Abbeel and Mohammad Ghavamzadeh and Kangwook Lee and Kimin Lee}, title = {{DPOK:} Reinforcement Learning for Fine-tuning Text-to-Image Diffusion Models}, journal = {CoRR}, volume = {abs/2305.16381}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.16381}, doi = {10.48550/ARXIV.2305.16381}, eprinttype = {arXiv}, eprint = {2305.16381}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-16381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-00354, author = {Hyojun Go and Jin Young Kim and Yunsung Lee and Seunghyun Lee and Shinhyeok Oh and Hyeongdon Moon and Seungtaek Choi}, title = {Addressing Negative Transfer in Diffusion Models}, journal = {CoRR}, volume = {abs/2306.00354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.00354}, doi = {10.48550/ARXIV.2306.00354}, eprinttype = {arXiv}, eprint = {2306.00354}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-00354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04662, author = {Kee Moon Jang and Junda Chen and Yuhao Kang and Junghwan Kim and Jinhyung Lee and F{\'{a}}bio Duarte}, title = {Understanding Place Identity with Generative {AI}}, journal = {CoRR}, volume = {abs/2306.04662}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04662}, doi = {10.48550/ARXIV.2306.04662}, eprinttype = {arXiv}, eprint = {2306.04662}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06605, author = {Sugyeong Eo and Hyeonseok Moon and Jinsung Kim and Yuna Hur and Jeongwook Kim and Songeun Lee and Changwoo Chun and Sungsoo Park and Heuiseok Lim}, title = {Towards Diverse and Effective Question-Answer Pair Generation from Children Storybooks}, journal = {CoRR}, volume = {abs/2306.06605}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06605}, doi = {10.48550/ARXIV.2306.06605}, eprinttype = {arXiv}, eprint = {2306.06605}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06605.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-05916, author = {Peter Yongho Kim and Junbeom Kwon and Sunghwan Joo and Sangyoon Bae and Donggyu Lee and Yoonho Jung and Shinjae Yoo and Jiook Cha and Taesup Moon}, title = {SwiFT: Swin 4D fMRI Transformer}, journal = {CoRR}, volume = {abs/2307.05916}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.05916}, doi = {10.48550/ARXIV.2307.05916}, eprinttype = {arXiv}, eprint = {2307.05916}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-05916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-05977, author = {Sanghyun Kim and Seohyeon Jung and Balhae Kim and Moonseok Choi and Jinwoo Shin and Juho Lee}, title = {Towards Safe Self-Distillation of Internet-Scale Text-to-Image Diffusion Models}, journal = {CoRR}, volume = {abs/2307.05977}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.05977}, doi = {10.48550/ARXIV.2307.05977}, eprinttype = {arXiv}, eprint = {2307.05977}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-05977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-12517, author = {Yunho Kim and Hyunsik Oh and Jeonghyun Lee and Jinhyeok Choi and Gwanghyeon Ji and Moonkyu Jung and Donghoon Youm and Jemin Hwangbo}, title = {Not Only Rewards But Also Constraints: Applications on Legged Robot Locomotion}, journal = {CoRR}, volume = {abs/2308.12517}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.12517}, doi = {10.48550/ARXIV.2308.12517}, eprinttype = {arXiv}, eprint = {2308.12517}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-12517.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00237, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, journal = {CoRR}, volume = {abs/2309.00237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00237}, doi = {10.48550/ARXIV.2309.00237}, eprinttype = {arXiv}, eprint = {2309.00237}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01950, author = {Dongyeun Lee and Chaewon Kim and Sangjoon Yu and Jaejun Yoo and Gyeong{-}Moon Park}, title = {{RADIO:} Reference-Agnostic Dubbing Video Synthesis}, journal = {CoRR}, volume = {abs/2309.01950}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01950}, doi = {10.48550/ARXIV.2309.01950}, eprinttype = {arXiv}, eprint = {2309.01950}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04062, author = {Sungjun Cho and Dae{-}Woong Jeong and Sung Moon Ko and Jinwoo Kim and Sehui Han and Seunghoon Hong and Honglak Lee and Moontae Lee}, title = {3D Denoisers are Good 2D Teachers: Molecular Pretraining via Denoising and Cross-Modal Distillation}, journal = {CoRR}, volume = {abs/2309.04062}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04062}, doi = {10.48550/ARXIV.2309.04062}, eprinttype = {arXiv}, eprint = {2309.04062}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-06770, author = {Hah Min Lew and Jae Seong Kim and Moon Hwan Lee and Jaegeun Park and Sangyeon Youn and Hee Man Kim and Jihun Kim and Jae Youn Hwang}, title = {Deep Learning-based Synthetic High-Resolution In-Depth Imaging Using an Attachable Dual-element Endoscopic Ultrasound Probe}, journal = {CoRR}, volume = {abs/2309.06770}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.06770}, doi = {10.48550/ARXIV.2309.06770}, eprinttype = {arXiv}, eprint = {2309.06770}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-06770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00080, author = {Ung Hee Lee and Tor Shepherd and Sangbae Kim and Avik De and Hao Su and Robert D. Gregg and Luke M. Mooney and Elliott J. Rouse}, title = {How to Model Brushless Electric Motors for the Design of Lightweight Robotic Systems}, journal = {CoRR}, volume = {abs/2310.00080}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00080}, doi = {10.48550/ARXIV.2310.00080}, eprinttype = {arXiv}, eprint = {2310.00080}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00080.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07479, author = {Chung Hee Kim and Moonyoung Lee and Oliver Kroemer and George Kantor}, title = {Towards Robotic Tree Manipulation: Leveraging Graph Representations}, journal = {CoRR}, volume = {abs/2311.07479}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07479}, doi = {10.48550/ARXIV.2311.07479}, eprinttype = {arXiv}, eprint = {2311.07479}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-09601, author = {Lajanugen Logeswaran and Sungryull Sohn and Yiwei Lyu and Anthony Zhe Liu and Dong{-}Ki Kim and Dongsub Shim and Moontae Lee and Honglak Lee}, title = {Code Models are Zero-shot Precondition Reasoners}, journal = {CoRR}, volume = {abs/2311.09601}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.09601}, doi = {10.48550/ARXIV.2311.09601}, eprinttype = {arXiv}, eprint = {2311.09601}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-09601.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-03446, author = {Kibeom Kim and Kisung Shin and Min Whoo Lee and Moonhoen Lee and Minsu Lee and Byoung{-}Tak Zhang}, title = {Visual Hindsight Self-Imitation Learning for Interactive Navigation}, journal = {CoRR}, volume = {abs/2312.03446}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.03446}, doi = {10.48550/ARXIV.2312.03446}, eprinttype = {arXiv}, eprint = {2312.03446}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-03446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04511, author = {Sehoon Kim and Suhong Moon and Ryan Tabrizi and Nicholas Lee and Michael W. Mahoney and Kurt Keutzer and Amir Gholami}, title = {An {LLM} Compiler for Parallel Function Calling}, journal = {CoRR}, volume = {abs/2312.04511}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04511}, doi = {10.48550/ARXIV.2312.04511}, eprinttype = {arXiv}, eprint = {2312.04511}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ParkLLCCKCM23, author = {Sanghyeon Park and Jeong Hyuk Lee and Seunghwa Lee and Jung Hyun Chun and Hyeonmyeong Cho and MinGi Kim and Hyun Ki Cho and Soo{-}Mook Moon}, title = {Beyond the Blockchain Address: Zero-Knowledge Address Abstraction}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {191}, year = {2023}, url = {https://eprint.iacr.org/2023/191}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ParkLLCCKCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLKL22, author = {Moonsoo Kim and Hyokeun Lee and Hyun Kim and Hyuk{-}Jae Lee}, title = {{WL-WD:} Wear-Leveling Solution to Mitigate Write Disturbance Errors for Phase-Change Memory}, journal = {{IEEE} Access}, volume = {10}, pages = {11420--11431}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3145986}, doi = {10.1109/ACCESS.2022.3145986}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJCKM22, author = {Wonjun Lee and Piljoong Jeong and Hajin Choi and Jinwoo Kim and Bochang Moon}, title = {Online Illumination Learning for Interactive Global Illumination in Augmented Reality}, journal = {{IEEE} Access}, volume = {10}, pages = {109498--109509}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3214516}, doi = {10.1109/ACCESS.2022.3214516}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeJCKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkMLKS22, author = {Jong{-}Yeon Park and Yong{-}Hyuk Moon and Won{-}Il Lee and Sung{-}Hyun Kim and Kouichi Sakurai}, title = {A Survey of Polynomial Multiplication With {RSA-ECC} Coprocessors and Implementations of {NIST} {PQC} Round3 {KEM} Algorithms in Exynos2100}, journal = {{IEEE} Access}, volume = {10}, pages = {2546--2563}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3138807}, doi = {10.1109/ACCESS.2021.3138807}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkMLKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SeoMLEPKCL22, author = {Jaehyung Seo and Hyeonseok Moon and Chanhee Lee and Sugyeong Eo and Chanjun Park and Jihoon Kim and Changwoo Chun and Heuiseok Lim}, title = {Plain Template Insertion: Korean-Prompt-Based Engineering for Few-Shot Learners}, journal = {{IEEE} Access}, volume = {10}, pages = {107587--107597}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3213027}, doi = {10.1109/ACCESS.2022.3213027}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SeoMLEPKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YeonLPK22, author = {Moon{-}Sook Yeon and Young{-}Koo Lee and Dinh{-}Lam Pham and Kwanghoon Pio Kim}, title = {Experimental Verification on Human-Centric Network-Based Resource Allocation Approaches for Process-Aware Information Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {23342--23354}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3152778}, doi = {10.1109/ACCESS.2022.3152778}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YeonLPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YuHLLHKJKKIKC22, author = {Ji{-}Man Yu and Gyeongdo Ham and Chungryeol Lee and Jae{-}Hyeok Lee and Joon{-}Kyu Han and Jin{-}Ki Kim and Donggon Jang and Nahyun Kim and Moon{-}Seok Kim and Sung Gap Im and Dae{-}Shik Kim and Yang{-}Kyu Choi}, title = {A Multiple-State Ion Synaptic Transistor Applicable to Abnormal Car Detection with Transfer Learning}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {6}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100231}, doi = {10.1002/AISY.202100231}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YuHLLHKJKKIKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChoiLYCLMCCYK22, author = {Jaeyong Choi and Woochan Lee and Jung{-}Ki Yoon and Sun Mi Choi and Chang{-}Hoon Lee and Hyeong{-}Gon Moon and Sukki Cho and Jin{-}Haeng Chung and Han{-}Kwang Yang and Jong{-}Il Kim}, title = {Expression-based species deconvolution and realignment removes misalignment error in multispecies single-cell data}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {157}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04676-0}, doi = {10.1186/S12859-022-04676-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChoiLYCLMCCYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KimKPLCKM22, author = {Youngjin Kim and Taejong Kim and Chanho Park and Jaewon Lee and Hyungtae Cho and Myungjun Kim and Il Moon}, title = {Development of novel flow distribution apparatus for simulated moving bed to improve degree of mixing}, journal = {Comput. Chem. Eng.}, volume = {156}, pages = {107553}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2021.107553}, doi = {10.1016/J.COMPCHEMENG.2021.107553}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/KimKPLCKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/MukasaWFAKJSKLK22, author = {Perez Mukasa and Collins Wakholi and Mohammad Akbar Faqeerzada and Hanim Z. Amanah and Hangi Kim and Rahul Joshi and Hyun{-}Kwon Suh and Geonwoo Kim and Hoonsoo Lee and Moon S. Kim and In{-}Suck Baek and Byoung{-}Kwan Cho}, title = {Nondestructive discrimination of seedless from seeded watermelon seeds by using multivariate and deep learning image analysis}, journal = {Comput. Electron. Agric.}, volume = {194}, pages = {106799}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.106799}, doi = {10.1016/J.COMPAG.2022.106799}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/MukasaWFAKJSKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeKKLMJJKL22, author = {Hyeong Jun Lee and Young Woo Kim and Jun Hong Kim and Yong{-}Joon Lee and Jinseok Moon and Peter Jeong and Joonhee Jeong and Jung{-}Sun Kim and Joon Sang Lee}, title = {Optimization of {FFR} prediction algorithm for gray zone by hemodynamic features with synthetic model and biometric data}, journal = {Comput. Methods Programs Biomed.}, volume = {220}, pages = {106827}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106827}, doi = {10.1016/J.CMPB.2022.106827}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeKKLMJJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/EnochMLAK22, author = {Simon Yusuf Enoch and Chun Yong Moon and Donghwan Lee and Myung Kil Ahn and Dong Seong Kim}, title = {A practical framework for cyber defense generation, enforcement and evaluation}, journal = {Comput. Networks}, volume = {208}, pages = {108878}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.108878}, doi = {10.1016/J.COMNET.2022.108878}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/EnochMLAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/KimSKKL22, author = {Moon{-}Cheol Kim and In{-}Hoo Seok and Chang{-}Jae Kim and Kyu Seok Kim and Kyu Ho Lee}, title = {Moire compensation in 2D colorimeter using adaptive spatial-frequency filter}, journal = {Displays}, volume = {74}, pages = {102280}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102280}, doi = {10.1016/J.DISPLA.2022.102280}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/KimSKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/JungLAUP22, author = {Kichul Jung and Moonyoung Lee and Heejin An and Myoung{-}Jin Um and Daeryong Park}, title = {Characterization and classification of river networks in South Korea}, journal = {Environ. Model. Softw.}, volume = {156}, pages = {105495}, year = {2022}, url = {https://doi.org/10.1016/j.envsoft.2022.105495}, doi = {10.1016/J.ENVSOFT.2022.105495}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/JungLAUP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/JungLKYKK22, author = {Soyi Jung and Moon{-}Sik Lee and Jihyung Kim and Mi{-}Young Yun and Joongheon Kim and Jae{-}Hyun Kim}, title = {Trustworthy handover in {LEO} satellite mobile networks}, journal = {{ICT} Express}, volume = {8}, number = {3}, pages = {432--437}, year = {2022}, url = {https://doi.org/10.1016/j.icte.2021.10.011}, doi = {10.1016/J.ICTE.2021.10.011}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/JungLKYKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/HwangJL22, author = {Kitae Hwang and In Hwan Jung and Jae Moon Lee}, title = {U-Mosquitto: extension of Mosquitto broker for delivery of urgent {MQTT} message}, journal = {Int. J. Comput. Vis. Robotics}, volume = {12}, number = {1}, pages = {39--52}, year = {2022}, url = {https://doi.org/10.1504/IJCVR.2022.119242}, doi = {10.1504/IJCVR.2022.119242}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcvr/HwangJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/HwangJL22a, author = {Kitae Hwang and In Hwan Jung and Jae Moon Lee}, title = {Collaborative drawing shared between mobile devices using {MQTT}}, journal = {Int. J. Comput. Vis. Robotics}, volume = {12}, number = {5}, pages = {532--548}, year = {2022}, url = {https://doi.org/10.1504/IJCVR.2022.10048789}, doi = {10.1504/IJCVR.2022.10048789}, timestamp = {Sat, 14 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcvr/HwangJL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/MoonLK22, author = {Chang Bae Moon and Jong Yeol Lee and Byeong Man Kim}, title = {A Fuzzy-Based Multimedia Content Retrieval Method Using Mood Tags and Their Synonyms in Social Networks}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {7}, number = {7}, pages = {65}, year = {2022}, url = {https://doi.org/10.9781/ijimai.2022.10.005}, doi = {10.9781/IJIMAI.2022.10.005}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijimai/MoonLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeKMK22, author = {Joo Chan Lee and Yongwoo Kim and Sungtae Moon and Jong Hwan Ko}, title = {A Reconfigurable Neural Architecture for Edge-Cloud Collaborative Real-Time Object Detection}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {23}, pages = {23390--23404}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3205682}, doi = {10.1109/JIOT.2022.3205682}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LeeKMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/YuKGLJ22, author = {Jongmin Yu and Jung{-}Gyun Kim and Jeonghwan Gwak and Byung{-}Geun Lee and Moongu Jeon}, title = {Abnormal event detection using adversarial predictive coding for motion and appearance}, journal = {Inf. Sci.}, volume = {586}, pages = {59--73}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2021.11.001}, doi = {10.1016/J.INS.2021.11.001}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/YuKGLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/0002LJNMGYK22, author = {Eunchan Kim and Jinyoung Lee and Hyunjik Jo and Kwangtek Na and Eunsook Moon and Gahgene Gweon and Byungjoon Yoo and Yeunwoong Kyung}, title = {{SHOMY:} Detection of Small Hazardous Objects using the You Only Look Once Algorithm}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {8}, pages = {2688--2703}, year = {2022}, url = {https://doi.org/10.3837/tiis.2022.08.012}, doi = {10.3837/TIIS.2022.08.012}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/0002LJNMGYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/MoonKPLKS22, author = {Jaewoong Moon and Subin Kim and Jangyong Park and Jieun Lee and Kyung{-}shin Kim and Jaeseung Song}, title = {MalDC: Malicious Software Detection and Classification using Machine Learning}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {5}, pages = {1466--1488}, year = {2022}, url = {https://doi.org/10.3837/tiis.2022.05.004}, doi = {10.3837/TIIS.2022.05.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/MoonKPLKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/LimKL22, author = {Dong{-}Joon Lim and Moonsu Kim and Kyu{-}Won Lee}, title = {A revised dynamic data envelopment analysis model with budget constraints}, journal = {Int. Trans. Oper. Res.}, volume = {29}, number = {2}, pages = {1012--1024}, year = {2022}, url = {https://doi.org/10.1111/itor.12810}, doi = {10.1111/ITOR.12810}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itor/LimKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/LeeKM22, author = {Seungho Lee and Seokhyeon Kim and Sungkon Moon}, title = {Development of a Car-Free Street Mapping Model Using an Integrated System with Unmanned Aerial Vehicles, Aerial Mapping Cameras, and a Deep Learning Algorithm}, journal = {J. Comput. Civ. Eng.}, volume = {36}, number = {3}, year = {2022}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0001013}, doi = {10.1061/(ASCE)CP.1943-5487.0001013}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/LeeKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/KimKMLM22, author = {Byungchul Kim and Hyungki Kim and Yoochan Moon and Gwang Lee and Duhwan Mun}, title = {End-to-end digitization of image format piping and instrumentation diagrams at an industrially applicable level}, journal = {J. Comput. Des. Eng.}, volume = {9}, number = {4}, pages = {1298--1326}, year = {2022}, url = {https://doi.org/10.1093/jcde/qwac056}, doi = {10.1093/JCDE/QWAC056}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/KimKMLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/KwonRCLPM22, author = {Yong Sik Kwon and Cheol Ryu and Sang Kyun Cha and Arthur H. Lee and Kunsoo Park and Bongki Moon}, title = {Index Key Compression and On-the-Fly Reconstruction of In-Memory Indexes}, journal = {J. Database Manag.}, volume = {33}, number = {1}, pages = {1--17}, year = {2022}, url = {https://doi.org/10.4018/jdm.305732}, doi = {10.4018/JDM.305732}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/KwonRCLPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangMBLKLSS22, author = {Kiseo Kang and Donggyu Minn and Seongun Bae and Jaeho Lee and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Jae{-}Yoon Sim}, title = {A 40-nm Cryo-CMOS Quantum Controller {IC} for Superconducting Qubit}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3274--3287}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3198663}, doi = {10.1109/JSSC.2022.3198663}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangMBLKLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLKJMSJ22, author = {Ji{-}Young Kim and Jongsoo Lee and Ki{-}Ryong Kim and Sunghwan Jo and Byoung{-}Mo Moon and Kyomin Sohn and Seong{-}Ook Jung}, title = {A 5 Gb/s Time-Interleaved Voltage-Mode Duobinary Encoding Scheme for 3-D-Stacked {IC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1913--1923}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3153666}, doi = {10.1109/JSSC.2022.3153666}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLKJMSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLMBALCNPSPKC22, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Yi Sun and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light-Tolerant Wireless Neural Recording {IC} for Motor Prediction With Near-Infrared-Based Power and Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1061--1074}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3141688}, doi = {10.1109/JSSC.2022.3141688}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLMBALCNPSPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/ParkLKKKK22, author = {Chanjin Park and Wonhyuk Lee and Moon{-}Hyun Kim and Ung{-}Mo Kim and Taehong Kim and Seunghae Kim}, title = {A Study on Traffic Prediction for the Backbone of Korea's Research and Science Network Using Machine Learning}, journal = {J. Web Eng.}, volume = {21}, number = {5}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.2152}, doi = {10.13052/JWE1540-9589.2152}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/ParkLKKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LeePMK22, author = {Donggyu Lee and Hyeongmin Park and Taesup Moon and Youngwook Kim}, title = {Continual Learning of Micro-Doppler Signature-Based Human Activity Classification}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2020.3046015}, doi = {10.1109/LGRS.2020.3046015}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/LeePMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ParkKOSLKMLY22, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Multi-task vision transformer using low-level chest X-ray feature corpus for {COVID-19} diagnosis and severity quantification}, journal = {Medical Image Anal.}, volume = {75}, pages = {102299}, year = {2022}, url = {https://doi.org/10.1016/j.media.2021.102299}, doi = {10.1016/J.MEDIA.2021.102299}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ParkKOSLKMLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JiCSBSMPSLSOKL22, author = {Sooyeon Ji and Eun{-}Jung Choi and Beomseok Sohn and Kyoungwon Baik and Na{-}Young Shin and Won{-}Jin Moon and Seongbeom Park and Soohwa Song and Phil Hyu Lee and Dongmyung Shin and Se{-}Hong Oh and Eung{-}Yeop Kim and Jongho Lee}, title = {Sandwich spatial saturation for neuromelanin-sensitive {MRI:} Development and multi-center trial}, journal = {NeuroImage}, volume = {264}, pages = {119706}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119706}, doi = {10.1016/J.NEUROIMAGE.2022.119706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JiCSBSMPSLSOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JangSKLKMO22, author = {Ha Young Jang and Jihyeon Song and Jae Hyun Kim and Howard Lee and In{-}Wha Kim and Bongki Moon and Jung Mi Oh}, title = {Machine learning-based quantitative prediction of drug exposure in drug-drug interactions using drug label information}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00639-0}, doi = {10.1038/S41746-022-00639-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JangSKLKMO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimKPMJLL22, author = {Inyeop Kim and Minsam Ko and Joonyoung Park and Sung Wook Moon and Gyuwon Jung and Youn{-}Kyung Lim and Uichin Lee}, title = {Social-Spiritual Face: Designing Social Reading Support for Spiritual Well-being}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--22}, year = {2022}, url = {https://doi.org/10.1145/3555162}, doi = {10.1145/3555162}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimKPMJLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeCKMKL22, author = {Minhyeong Lee and Hyelim Choi and Changu Kim and Jihyun Moon and Dongmok Kim and Dongjun Lee}, title = {Precision Motion Control of Robotized Industrial Hydraulic Excavators via Data-Driven Model Inversion}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {1912--1919}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3142389}, doi = {10.1109/LRA.2022.3142389}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeCKMKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MoonLLYL22, author = {Jiwon Moon and Sangkyu Lim and Hakjun Lee and Seungbum Yu and Ki{-}Baek Lee}, title = {Smart Count System Based on Object Detection Using Deep Learning}, journal = {Remote. Sens.}, volume = {14}, number = {15}, pages = {3761}, year = {2022}, url = {https://doi.org/10.3390/rs14153761}, doi = {10.3390/RS14153761}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MoonLLYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/JangKLSLRC22, author = {Heesik Jang and Ho Moon Kim and Min Sub Lee and Yong Heon Song and Yoon Geon Lee and Whee Ryeong Ryew and Hyouk Ryeol Choi}, title = {Development of modularized in-pipe inspection robotic system: {MRINSPECT} {VII+}}, journal = {Robotica}, volume = {40}, number = {5}, pages = {1361--1384}, year = {2022}, url = {https://doi.org/10.1017/S0263574721001156}, doi = {10.1017/S0263574721001156}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/JangKLSLRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKSLJK22, author = {Pyojin Kim and Jungha Kim and Minkyeong Song and Yeoeun Lee and Moonkyeong Jung and Hyeong{-}Geun Kim}, title = {A Benchmark Comparison of Four Off-the-Shelf Proprietary Visual-Inertial Odometry Systems}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9873}, year = {2022}, url = {https://doi.org/10.3390/s22249873}, doi = {10.3390/S22249873}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKSLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonJSLKWLKKLK22, author = {Hi Gyu Moon and Youngmo Jung and Beomju Shin and Donggeun Lee and Kayoung Kim and Deok Ha Woo and Seok Lee and Sooyeon Kim and Chong{-}Yun Kang and Taikjin Lee and Chulki Kim}, title = {Identification of Chemical Vapor Mixture Assisted by Artificially Extended Database for Environmental Monitoring}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1169}, year = {2022}, url = {https://doi.org/10.3390/s22031169}, doi = {10.3390/S22031169}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonJSLKWLKKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonLK22, author = {Kyoung{-}Sook Moon and Hee Won Lee and Hongjoong Kim}, title = {Adaptive Data Selection-Based Machine Learning Algorithm for Prediction of Component Obsolescence}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7982}, year = {2022}, url = {https://doi.org/10.3390/s22207982}, doi = {10.3390/S22207982}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MoonLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonLKKKP22, author = {Kyoung{-}Sook Moon and Hee Won Lee and Hee Jean Kim and Hongjoong Kim and Jeehoon Kang and Won Chul Paik}, title = {Forecasting Obsolescence of Components by Using a Clustering-Based Hybrid Machine-Learning Algorithm}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3244}, year = {2022}, url = {https://doi.org/10.3390/s22093244}, doi = {10.3390/S22093244}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonLKKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/LeeHCHYSECLLJMS22, author = {Inhee Lee and Roger Hsiao and Gordy Carichner and Chin{-}Wei Hsu and Mingyu Yang and Sara Shoouri and Katherine Ernst and Tess Carichner and Yuyang Li and Jaechan Lim and Cole R. Julick and Eunseong Moon and Yi Sun and Jamie Phillips and Kristi L. Montooth and Delbert A. Green II and Hun{-}Seok Kim and David T. Blaauw}, title = {Tracking the Migration of the Monarch Butterflies with the World's Smallest Computer}, journal = {GetMobile Mob. Comput. Commun.}, volume = {26}, number = {1}, pages = {25--29}, year = {2022}, url = {https://doi.org/10.1145/3539668.3539677}, doi = {10.1145/3539668.3539677}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigmobile/LeeHCHYSECLLJMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/HaLYPBKM22, author = {Sang{-}Hyeon Ha and Jae{-}In Lee and Hyunki Yoon and Tae{-}Ryong Park and Jaeil Baek and Jae{-}Sang Kim and Gun{-}Woo Moon}, title = {Frequency-Variable Resonant Self-Heating Technique for Lithium-Ion Batteries at Low Temperature}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {58}, number = {4}, pages = {3399--3410}, year = {2022}, url = {https://doi.org/10.1109/TAES.2022.3151322}, doi = {10.1109/TAES.2022.3151322}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/HaLYPBKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ChoiLRLOKKCKJ22, author = {Moon{-}Chul Choi and Sanghee Lee and Seungha Roh and Kwangho Lee and Jonghyun Oh and Sungwoo Kim and Kwandong Kim and Woo{-}Seok Choi and Jaeha Kim and Deog{-}Kyoon Jeong}, title = {A 2.5-32 Gb/s Gen 5-PCIe Receiver With Multi-Rate {CDR} Engine and Hybrid {DFE}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {6}, pages = {2677--2681}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3153396}, doi = {10.1109/TCSII.2022.3153396}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ChoiLRLOKKCKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/MoonLMKS22, author = {Seunghyun Moon and Kyeong{-}Jun Lee and Han{-}Gyeol Mun and Byungjun Kim and Jae{-}Yoon Sim}, title = {An 8.9-71.3 {TOPS/W} Deep Learning Accelerator for Arbitrarily Quantized Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {10}, pages = {4148--4152}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3185184}, doi = {10.1109/TCSII.2022.3185184}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/MoonLMKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/MoonLPHK22, author = {Ji Hwan Moon and Sangseon Lee and Minwoo Pak and Benjamin Hur and Sun Kim}, title = {{MLDEG:} {A} Machine Learning Approach to Identify Differentially Expressed Genes Using Network Property and Network Propagation}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {19}, number = {4}, pages = {2356--2364}, year = {2022}, url = {https://doi.org/10.1109/TCBB.2021.3067613}, doi = {10.1109/TCBB.2021.3067613}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/MoonLPHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KangAKKNELML22, author = {Mina Kang and Myoung Hwan Ahn and Dai Ho Ko and Jhoon Kim and Dennis Nicks and Mijin Eo and Yeeun Lee and Kyung Jung Moon and Dong{-}Won Lee}, title = {Characteristics of the Spectral Response Function of Geostationary Environment Monitoring Spectrometer Analyzed by Ground and In-Orbit Measurements}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3091677}, doi = {10.1109/TGRS.2021.3091677}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KangAKKNELML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MoonLSKC22, author = {Jong Hak Moon and Hyungyung Lee and Woncheol Shin and Young{-}Hak Kim and Edward Choi}, title = {Multi-Modal Understanding and Generation for Medical Images and Text via Vision-Language Pre-Training}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {12}, pages = {6070--6080}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3207502}, doi = {10.1109/JBHI.2022.3207502}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MoonLSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/YuLYJP22, author = {Jongmin Yu and Younkwan Lee and Kin Choong Yow and Moongu Jeon and Witold Pedrycz}, title = {Abnormal Event Detection and Localization via Adversarial Event Prediction}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {8}, pages = {3572--3586}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3053563}, doi = {10.1109/TNNLS.2021.3053563}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/YuLYJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LeeLLKH22, author = {Kyungsu Lee and Hah Min Lew and Moon Hwan Lee and Jun{-}Young Kim and Jae Youn Hwang}, title = {CSS-Net: Classification and Substitution for Segmentation of Rotator Cuff Tear}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {VI}}, pages = {101--114}, year = {2022}, crossref = {DBLP:conf/accv/2022-6}, url = {https://doi.org/10.1007/978-3-031-26351-4\_7}, doi = {10.1007/978-3-031-26351-4\_7}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/accv/LeeLLKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/MoonKKL22, author = {Seungsik Moon and Jaehyun Ko and Byungsub Kim and Youngjoo Lee}, title = {A Study On Reliable High-Speed {HBC} Enhanced by {ECC} for Wearable Neural Interfaces}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {110--113}, year = {2022}, crossref = {DBLP:conf/aicas/2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869999}, doi = {10.1109/AICAS54282.2022.9869999}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/MoonKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MoonDK0CL22, author = {Hee{-}Seung Moon and Seungwon Do and Wonjae Kim and Jiwon Seo and Minsuk Chang and Byungjoo Lee}, title = {Speeding up Inference with User Simulators throughPolicy Modulation}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {38:1--38:21}, year = {2022}, crossref = {DBLP:conf/chi/2022}, url = {https://doi.org/10.1145/3491102.3502023}, doi = {10.1145/3491102.3502023}, timestamp = {Fri, 29 Apr 2022 13:37:13 +0200}, biburl = {https://dblp.org/rec/conf/chi/MoonDK0CL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/EoPMSKLL22, author = {Sugyeong Eo and Chanjun Park and Hyeonseok Moon and Jaehyung Seo and Gyeongmin Kim and Jungseob Lee and Heuiseok Lim}, title = {{QUAK:} {A} Synthetic Quality Estimation Dataset for Korean-English Neural Machine Translation}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {5181--5190}, year = {2022}, crossref = {DBLP:conf/coling/2022}, url = {https://aclanthology.org/2022.coling-1.460}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/EoPMSKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoonKLK22, author = {Junoh Moon and Mincheol Kang and Wonyoung Lee and Soontae Kim}, title = {Salvaging Runtime Bad Blocks by Skipping Bad Pages for Improving {SSD} Performance}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {576--579}, year = {2022}, crossref = {DBLP:conf/date/2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774677}, doi = {10.23919/DATE54114.2022.9774677}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/MoonKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, pages = {92--129}, year = {2022}, crossref = {DBLP:conf/eccv/2022-w3}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungCSKSLB0BLKL22, author = {Hyun{-}Yong Jung and Myonglae Chu and Min{-}Woong Seo and Suksan Kim and Jiyoun Song and Sanggwon Lee and Sung{-}Jae Byun and Minkyung Kim and Daehee Bae and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Jonghyun Go and Jaekyu Lee and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, title = {Design and analysis on low-power and low-noise single slope {ADC} for digital pixel sensors}, booktitle = {Imaging Sensors and Systems 2022, online, January 15-26, 2022}, pages = {1--4}, year = {2022}, crossref = {DBLP:conf/ei-iss/2022}, url = {https://doi.org/10.2352/EI.2022.34.7.ISS-256}, doi = {10.2352/EI.2022.34.7.ISS-256}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungCSKSLB0BLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MoonYYLJPSKC22, author = {Hyeongdon Moon and Yoonseok Yang and Hangyeol Yu and Seunghyun Lee and Myeongho Jeong and Juneyoung Park and Jamin Shin and Minsam Kim and Seungtaek Choi}, title = {Evaluating the Knowledge Dependency of Questions}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {10512--10526}, year = {2022}, crossref = {DBLP:conf/emnlp/2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.718}, doi = {10.18653/V1/2022.EMNLP-MAIN.718}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/MoonYYLJPSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/0001JKPMLK22, author = {Jaehyun Ko and Iksu Jang and Chanho Kim and Jihoon Park and Changjae Moon and Sooeun Lee and Byungsub Kim}, title = {A 50 Mb/s Full {HBC} {TRX} with Adaptive {DFE} and Variable-Interval 3x Oversampling {CDR} in 28nm {CMOS} Technology for {A} 75 cm Body Channel Moving at 0.75 Cycle/sec}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {213--216}, year = {2022}, crossref = {DBLP:conf/esscirc/2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911296}, doi = {10.1109/ESSCIRC55480.2022.9911296}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/0001JKPMLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChaMCKCJL022, author = {Jiyoung Cha and YoungGyoun Moon and Sunwoo Cho and Dongmyoung Kim and Jinho Choi and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{RAN-CN} Converged User-Plane for 6G Cellular Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {2843--2848}, year = {2022}, crossref = {DBLP:conf/globecom/2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001487}, doi = {10.1109/GLOBECOM48099.2022.10001487}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChaMCKCJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HongMKLKLK22, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--17}, year = {2022}, crossref = {DBLP:conf/hotchips/2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895626}, doi = {10.1109/HCS55958.2022.9895626}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HongMKLKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KangJKL22, author = {Tae{-}Shin Kang and Moon{-}Il Joo and Beom{-}Soo Kim and Tae{-}Gyu Lee}, title = {Blockchain-based Lightweight Transaction Process Modeling and Development}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {113--118}, year = {2022}, crossref = {DBLP:conf/icact/2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728883}, doi = {10.23919/ICACT53585.2022.9728883}, timestamp = {Fri, 18 Mar 2022 11:45:43 +0100}, biburl = {https://dblp.org/rec/conf/icact/KangJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/MoonKLKH22, author = {Sunghyun Moon and Youngho Kim and Hyunjong Lee and Donghoon Kim and Doosung Hwang}, title = {Evolved IoT Malware Detection using Opcode Category Sequence through Machine Learning}, booktitle = {31st International Conference on Computer Communications and Networks, {ICCCN} 2022, Honolulu, HI, USA, July 25-28, 2022}, pages = {1--7}, year = {2022}, crossref = {DBLP:conf/icccn/2022}, url = {https://doi.org/10.1109/ICCCN54977.2022.9868876}, doi = {10.1109/ICCCN54977.2022.9868876}, timestamp = {Wed, 14 Sep 2022 19:28:51 +0200}, biburl = {https://dblp.org/rec/conf/icccn/MoonKLKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkLLJKCM22, author = {Jae Sung Park and Cheon Lee and Daesung Lim and Seongwoon Jung and Jiman Kim and Junghwa Choi and YoungSu Moon}, title = {Inclusive Convolutional Neural Network Design Enabling Partially Sighted People to Expand Viewing-Experience on Smart Screens}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--4}, year = {2022}, crossref = {DBLP:conf/iccel/2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730586}, doi = {10.1109/ICCE53296.2022.9730586}, timestamp = {Wed, 23 Mar 2022 17:30:12 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkLLJKCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YangLKM22, author = {Jae{-}Mo Yang and G. W. Lee and Sangeun Kim and Han{-}Gil Moon}, title = {{MULTI-SENSOR} {SPEECH} {ENHANCEMENT} {USING} {IN-EAR} {AND} {BEAMFORMING} {SIGNAL} {SYNTHESIS} {IN} {TWS} {VOICE} {COMMUNICATION}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--6}, year = {2022}, crossref = {DBLP:conf/iccel/2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730392}, doi = {10.1109/ICCE53296.2022.9730392}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/YangLKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/NohJKHLLJ22, author = {Juhwan Noh and Dae{-}Woong Jeong and Kiyoung Kim and Sehui Han and Moontae Lee and Honglak Lee and Yousung Jung}, title = {Path-Aware and Structure-Preserving Generation of Synthetically Accessible Molecules}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, pages = {16952--16968}, year = {2022}, crossref = {DBLP:conf/icml/2022}, url = {https://proceedings.mlr.press/v162/noh22a.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/NohJKHLLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/LeeMKK22, author = {Yun{-}hwan Lee and Kwang{-}tae Moon and Dong{-}wan Kim and Jongbae Kim}, title = {ICT-Based Customized Off-Loading Cushion to Prevent Pressure Ulcers for People with Spinal Cord Injury: {A} Pilot Study}, booktitle = {Participative Urban Health and Healthy Aging in the Age of {AI} - 19th International Conference, {ICOST} 2022, Paris, France, June 27-30, 2022, Proceedings}, pages = {217--224}, year = {2022}, crossref = {DBLP:conf/icost/2022}, url = {https://doi.org/10.1007/978-3-031-09593-1\_17}, doi = {10.1007/978-3-031-09593-1\_17}, timestamp = {Wed, 27 Jul 2022 22:15:50 +0200}, biburl = {https://dblp.org/rec/conf/icost/LeeMKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/MoonLKK22, author = {KwangTae Moon and Yun{-}hwan Lee and Dongwan Kim and Jongbae Kim}, title = {Smart Home-Based Home Modification Program for Persons with Disabilities: {A} Pilot Study}, booktitle = {Participative Urban Health and Healthy Aging in the Age of {AI} - 19th International Conference, {ICOST} 2022, Paris, France, June 27-30, 2022, Proceedings}, pages = {266--271}, year = {2022}, crossref = {DBLP:conf/icost/2022}, url = {https://doi.org/10.1007/978-3-031-09593-1\_22}, doi = {10.1007/978-3-031-09593-1\_22}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icost/MoonLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKKJ22, author = {Younkwan Lee and YeongMin Ko and Yechan Kim and Moongu Jeon}, title = {Perception-Friendly Video Enhancement for Autonomous Driving under Adverse Weather Conditions}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {7760--7767}, year = {2022}, crossref = {DBLP:conf/icra/2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811870}, doi = {10.1109/ICRA46639.2022.9811870}, timestamp = {Wed, 20 Jul 2022 18:22:23 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/LeeAKK22, author = {Ahcheong Lee and Irfan Ariq and Yunho Kim and Moonzoo Kim}, title = {{POWER:} Program Option-Aware Fuzzer for High Bug Detection Ability}, booktitle = {15th {IEEE} Conference on Software Testing, Verification and Validation, {ICST} 2022, Valencia, Spain, April 4-14, 2022}, pages = {220--231}, year = {2022}, crossref = {DBLP:conf/icst/2022}, url = {https://doi.org/10.1109/ICST53961.2022.00032}, doi = {10.1109/ICST53961.2022.00032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icst/LeeAKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLMBML22, author = {Jun Woo Kim and Yong Su Lee and Young{-}Jin Moon and Seungjae Bahng and Jang{-}won Moon and Heesoo Lee}, title = {A Method of {PRACH} detection in mmWave 5G Communications system}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {718--720}, year = {2022}, crossref = {DBLP:conf/ictc/2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952541}, doi = {10.1109/ICTC55196.2022.9952541}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLMBML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKSP22, author = {Chaeyeong Lee and Moonbeom Kim and Hyewon Seo and Jeongyeup Paek}, title = {Survey on Integrated Vehicular Platforms for Next Generation Mobility}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {834--838}, year = {2022}, crossref = {DBLP:conf/ictc/2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952950}, doi = {10.1109/ICTC55196.2022.9952950}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellisys/LeeMKMKK22, author = {Jiyoon Lee and Chunghyup Mok and Sanghoon Kim and Seokho Moon and Seo{-}Yeon Kim and Seoung Bum Kim}, title = {Stochastic Feed-forward Attention Mechanism for Reliable Defect Classification and Interpretation}, booktitle = {Intelligent Systems and Applications - Proceedings of the 2022 Intelligent Systems Conference, IntelliSys 2022, Amsterdam, The Netherlands, 1-2 September, 2022, Volume 1}, pages = {148--158}, year = {2022}, crossref = {DBLP:conf/intellisys/2022-1}, url = {https://doi.org/10.1007/978-3-031-16072-1\_11}, doi = {10.1007/978-3-031-16072-1\_11}, timestamp = {Thu, 01 Sep 2022 17:36:28 +0200}, biburl = {https://dblp.org/rec/conf/intellisys/LeeMKMKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/MoonPMLLKLM22, author = {Hearim Moon and Eunsik Park and Jung{-}Hyun Moon and Juyeong Lee and Minji Lee and Doyoon Kim and Minsun Lee and Eric T. Matson}, title = {Cost-Effective Solution for Fallen Tree Recognition Using {YOLOX} Object Detection}, booktitle = {Sixth {IEEE} International Conference on Robotic Computing, {IRC} 2022, Naples, Italy, December 5-7, 2022}, pages = {215--220}, year = {2022}, crossref = {DBLP:conf/irc/2022}, url = {https://doi.org/10.1109/IRC55401.2022.00043}, doi = {10.1109/IRC55401.2022.00043}, timestamp = {Mon, 06 Feb 2023 22:19:31 +0100}, biburl = {https://dblp.org/rec/conf/irc/MoonPMLLKLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeMKLKI22, author = {MyungJoong Lee and Yonghwan Moon and Jeongryul Kim and Seungjun Lee and Keri Kim and HyunKi In}, title = {Development of a cable-driven Growing Sling to assist patient transfer}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {1862--1867}, year = {2022}, crossref = {DBLP:conf/iros/2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981770}, doi = {10.1109/IROS47612.2022.9981770}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/LeeMKLKI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimML22, author = {Jiwon Kim and Seungsik Moon and Youngjoo Lee}, title = {Hardware Analysis of Channel Estimation Method for IRS-Aided {MIMO} Wireless Systems}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {99--100}, year = {2022}, crossref = {DBLP:conf/isocc/2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031321}, doi = {10.1109/ISOCC56007.2022.10031321}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangMBLBJKLSS22, author = {Kiseo Kang and Donggyu Minn and Seunghun Bae and Jaeho Lee and Seongun Bae and Gichang Jung and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Jae{-}Yoon Sim}, title = {A Cryo-CMOS Controller {IC} With Fully Integrated Frequency Generators for Superconducting Qubits}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {362--364}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731574}, doi = {10.1109/ISSCC42614.2022.9731574}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangMBLBJKLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoonSLJK22, author = {Changjae Moon and Jaeyoung Seo and Myungguk Lee and Iksu Jang and Byungsub Kim}, title = {A 20 Gb/s/pin 1.18pJ/b 1149{\(\mathrm{\mu}\)}m\({}^{\mbox{2}}\)Single-Ended Inverter-based 4-tap Addition-Only Feed-Forward Equalization Transmitter with Improved Robustness to Coefficient Errors in 28nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {450--452}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731105}, doi = {10.1109/ISSCC42614.2022.9731105}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoonSLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLPPLPHPYLLL22, author = {Sungbong Park and Changkyu Lee and Sangcheon Park and Haeyong Park and Taeheon Lee and Dami Park and Minsung Heo and Inyong Park and Hyunyoung Yeo and Youna Lee and Juhee Lee and Beomsuk Lee and Dong{-}Chul Lee and Jinyoung Kim and Bokwon Kim and Jinsun Pyo and Shili Quan and Sungyong You and Inho Ro and Sungsoo Choi and SungIn Kim and Insung Joe and Jongeun Park and Chang{-}Hyo Koo and Jae{-}Ho Kim and Chong Kwang Chang and Taehee Kim and JinGyun Kim and Jamie Lee and Hyunchul Kim and Changrok Moon and Hyoung{-}Sub Kim}, title = {A 64Mpixel {CMOS} Image Sensor with 0.50{\(\mathrm{\mu}\)}m Unit Pixels Separated by Front Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731750}, doi = {10.1109/ISSCC42614.2022.9731750}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkLPPLPHPYLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoLLMK22, author = {Jaeyoung Seo and Sooeun Lee and Myungguk Lee and Changjae Moon and Byungsub Kim}, title = {A 20-Gb/s/pin 0.0024-mm\({}^{\mbox{2}}\) Single-Ended {DECS} {TRX} with CDR-less Self-Slicing/Auto-Deserialization to Improve Tolerance on Duty Cycle Error and {RX} Supply Noise for DCC/CDR-less Short-Reach Memory Interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731763}, doi = {10.1109/ISSCC42614.2022.9731763}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoLLMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ldav/HaMJKL22, author = {Hyoji Ha and Kwanghyuk Moon and Hyerim Joung and Hyegyeong Kim and Kyungwon Lee}, title = {Exploration Tool for Effectively Interpreting the Visual Metaphor Process of Sentiment Visualization}, booktitle = {12th {IEEE} Symposium on Large Data Analysis and Visualization, {LDAV} 2022, Oklahoma City, OK, USA, October 16, 2022}, pages = {1--2}, year = {2022}, crossref = {DBLP:conf/ldav/2022}, url = {https://doi.org/10.1109/LDAV57265.2022.9966401}, doi = {10.1109/LDAV57265.2022.9966401}, timestamp = {Mon, 19 Dec 2022 20:28:05 +0100}, biburl = {https://dblp.org/rec/conf/ldav/HaMJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/KimMKAJL22, author = {S. B. Kim and C. I. Moon and S. A. Kye and J. H. Ahn and S. H. Jang and O. S. Lee}, title = {Quantitative diagnosis of injured muscle in post-stroke animal models using synchrotron radiation phase-contrast imaging}, booktitle = {Medical Imaging 2022: Biomedical Applications in Molecular, Structural, and Functional Imaging, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, year = {2022}, crossref = {DBLP:conf/mibam/2022}, url = {https://doi.org/10.1117/12.2610404}, doi = {10.1117/12.2610404}, timestamp = {Thu, 07 Mar 2024 15:34:21 +0100}, biburl = {https://dblp.org/rec/conf/mibam/KimMKAJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeYLCKH22, author = {Kyungsu Lee and Jaeseung Yang and Moon Hwan Lee and Jin Ho Chang and Jun{-}Young Kim and Jae Youn Hwang}, title = {USG-Net: Deep Learning-based Ultrasound Scanning-Guide for an Orthopedic Sonographer}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VII}}, pages = {23--32}, year = {2022}, crossref = {DBLP:conf/miccai/2022-7}, url = {https://doi.org/10.1007/978-3-031-16449-1\_3}, doi = {10.1007/978-3-031-16449-1\_3}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeYLCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HongMKLKLK22, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {616--630}, year = {2022}, crossref = {DBLP:conf/micro/2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00051}, doi = {10.1109/MICRO56248.2022.00051}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/HongMKLKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoMKLLH22, author = {Sungjun Cho and Seonwoo Min and Jinwoo Kim and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Transformers meet Stochastic Block Models: Attention with Data-Adaptive Sparsity and Cost}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/9c93b3cd3bc60c0fe7b0c2d74a2da966-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChoMKLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HormazabalPLHJL22, author = {Rodrigo Hormazabal and Changyoung Park and Soonyoung Lee and Sehui Han and Yeonsik Jo and Jaewan Lee and Ahra Jo and Seung Hwan Kim and Jaegul Choo and Moontae Lee and Honglak Lee}, title = {CEDe: {A} collection of expert-curated datasets with atom-level entity annotations for Optical Chemical Structure Recognition}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/ada36dfeb684a5c11f783fc170c294fe-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/HormazabalPLHJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimCHLL22, author = {Byoungjip Kim and Sungik Choi and Dasol Hwang and Moontae Lee and Honglak Lee}, title = {Transferring Pre-trained Multimodal Representations with Cross-modal Similarity Matching}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/c7201deff8d507a8fe2e86d34094e154-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimCHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimNKLKM22, author = {Dongjun Kim and Byeonghu Na and Se Jung Kwon and Dongsoo Lee and Wanmo Kang and Il{-}Chul Moon}, title = {Maximum Likelihood Training of Implicit Nonlinear Diffusion Model}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/d04e47d0fdca09e898885c66b67b1e95-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimNKLKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimNMCLLH22, author = {Jinwoo Kim and Dat Nguyen and Seonwoo Min and Sungjun Cho and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Pure Transformers are Powerful Graph Learners}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/5d84236751fe6d25dc06db055a3180b0-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimNMCLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/ofc/2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/MoonLKB22, author = {Kongpyung (Justin) Moon and Haeun Lee and Jeeeun Kim and Andrea Bianchi}, title = {ShrinkCells: Localized and Sequential Shape-Changing Actuation of 3D-Printed Objects via Selective Heating}, booktitle = {The 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022 - 2 November 2022}, pages = {86:1--86:12}, year = {2022}, crossref = {DBLP:conf/uist/2022}, url = {https://doi.org/10.1145/3526113.3545670}, doi = {10.1145/3526113.3545670}, timestamp = {Mon, 31 Oct 2022 17:28:09 +0100}, biburl = {https://dblp.org/rec/conf/uist/MoonLKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/MedranoYLYKAPOP22, author = {Juan Medrano and Francisco Yumbla and Geonuk Lee and Junseup Yi and Minjae Kim and Eugene Auh and Jeong Yeol Park and Ilho Oh and Nabih Pico and Hyungpil Moon}, title = {Box segmentation, position and size estimation for robotic box handling applications}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {194--199}, year = {2022}, crossref = {DBLP:conf/urai/2022}, url = {https://doi.org/10.1109/UR55393.2022.9826264}, doi = {10.1109/UR55393.2022.9826264}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/MedranoYLYKAPOP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/AtzeniLLNLMBLCN22, author = {Gabriele Atzeni and Jongyup Lim and Jiawei Liao and Alessandro Novello and Jungho Lee and Eunseong Moon and Michael Barrow and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Taekwang Jang}, title = {A 260{\texttimes}274 {\(\mu\)}m\({}^{\mbox{2}}\) 572 nW Neural Recording Micromote Using Near-Infrared Power Transfer and an {RF} Data Uplink}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {64--65}, year = {2022}, crossref = {DBLP:conf/vlsit/2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830516}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830516}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/AtzeniLLNLMBLCN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/KimLYM22, author = {Yong{-}Kyu Kim and Gyu{-}Sub Lee and Jong{-}Su Yoon and Seung{-}Il Moon}, title = {Dataset For Paper: Evaluation for Maximum Allowable Capacity of Renewable Energy Source Considering {AC} System Strength Measures}, publisher = {{IEEE} DataPort}, year = {2022}, month = may, howpublished = {\url{https://doi.org/10.21227/vpk0-fy39}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/vpk0-fy39}, doi = {10.21227/VPK0-FY39}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/KimLYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/LeeLLKLPJKSLMKC22, author = {Yoon Haeng Lee and Young Hun Lee and Hyunyong Lee and Hansol Kang and Jun Hyuk Lee and Luong Tin Phan and Sung Moon Jin and Yong Bum Kim and Dong{-}Yeop Seok and Seung Yeon Lee and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {Supplement media for Development of {A} Quadruped Robot System with Torque-controllable Modular Actuator Unit}, publisher = {{IEEE} DataPort}, year = {2022}, month = may, howpublished = {\url{https://doi.org/10.21227/qnrf-a965}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/qnrf-a965}, doi = {10.21227/QNRF-A965}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/LeeLLKLPJKSLMKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-06431, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Chang Min Park and Jong Chul Ye}, title = {{AI} can evolve without labels: self-evolving vision transformer for chest X-ray diagnosis through knowledge distillation}, journal = {CoRR}, volume = {abs/2202.06431}, year = {2022}, url = {https://arxiv.org/abs/2202.06431}, eprinttype = {arXiv}, eprint = {2202.06431}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-06431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13699, author = {Dongjun Kim and Byeonghu Na and Se Jung Kwon and Dongsoo Lee and Wanmo Kang and Il{-}Chul Moon}, title = {Maximum Likelihood Training of Implicit Nonlinear Diffusion Models}, journal = {CoRR}, volume = {abs/2205.13699}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13699}, doi = {10.48550/ARXIV.2205.13699}, eprinttype = {arXiv}, eprint = {2205.13699}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13699.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08101, author = {Sungmin Cha and Dongsub Shim and Hyunwoo Kim and Moontae Lee and Honglak Lee and Taesup Moon}, title = {Is Continual Learning Truly Learning Representations Continually?}, journal = {CoRR}, volume = {abs/2206.08101}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08101}, doi = {10.48550/ARXIV.2206.08101}, eprinttype = {arXiv}, eprint = {2206.08101}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08101.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02505, author = {Jinwoo Kim and Tien Dat Nguyen and Seonwoo Min and Sungjun Cho and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Pure Transformers are Powerful Graph Learners}, journal = {CoRR}, volume = {abs/2207.02505}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02505}, doi = {10.48550/ARXIV.2207.02505}, eprinttype = {arXiv}, eprint = {2207.02505}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02505.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06780, author = {Jungha Kim and Minkyeong Song and Yeoeun Lee and Moonkyeong Jung and Pyojin Kim}, title = {An Empirical Evaluation of Four Off-the-Shelf Proprietary Visual-Inertial Odometry Systems}, journal = {CoRR}, volume = {abs/2207.06780}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06780}, doi = {10.48550/ARXIV.2207.06780}, eprinttype = {arXiv}, eprint = {2207.06780}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09858, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Min Jae Lee and Eunbyeol Cho and Jiyoun Kim and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2207.09858}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09858}, doi = {10.48550/ARXIV.2207.09858}, eprinttype = {arXiv}, eprint = {2207.09858}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-10797, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, journal = {CoRR}, volume = {abs/2209.10797}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.10797}, doi = {10.48550/ARXIV.2209.10797}, eprinttype = {arXiv}, eprint = {2209.10797}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-10797.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-15285, author = {Sugyeong Eo and Chanjun Park and Hyeonseok Moon and Jaehyung Seo and Gyeongmin Kim and Jungseob Lee and Heuiseok Lim}, title = {{QUAK:} {A} Synthetic Quality Estimation Dataset for Korean-English Neural Machine Translation}, journal = {CoRR}, volume = {abs/2209.15285}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.15285}, doi = {10.48550/ARXIV.2209.15285}, eprinttype = {arXiv}, eprint = {2209.15285}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-15285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-01323, author = {Jaehyun Park and Subin Lee and Eon Kim and Byeongjun Moon and Dabeen Yu and Yeonseung Yu and Junghwan Kim}, title = {{ASAP:} Accurate semantic segmentation for real time performance}, journal = {CoRR}, volume = {abs/2210.01323}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.01323}, doi = {10.48550/ARXIV.2210.01323}, eprinttype = {arXiv}, eprint = {2210.01323}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-01323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-15541, author = {Sungjun Cho and Seonwoo Min and Jinwoo Kim and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Transformers meet Stochastic Block Models: Attention with Data-Adaptive Sparsity and Cost}, journal = {CoRR}, volume = {abs/2210.15541}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.15541}, doi = {10.48550/ARXIV.2210.15541}, eprinttype = {arXiv}, eprint = {2210.15541}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-15541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05910, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and et al.}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.05910}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05910}, doi = {10.48550/ARXIV.2211.05910}, eprinttype = {arXiv}, eprint = {2211.05910}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07748, author = {Harry Freeman and Eric Schneider and Chung Hee Kim and Moonyoung Lee and George Kantor}, title = {3D Reconstruction-Based Seed Counting of Sorghum Panicles for Agricultural Inspection}, journal = {CoRR}, volume = {abs/2211.07748}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07748}, doi = {10.48550/ARXIV.2211.07748}, eprinttype = {arXiv}, eprint = {2211.07748}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07748.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08082, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2211.08082}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08082}, doi = {10.48550/ARXIV.2211.08082}, eprinttype = {arXiv}, eprint = {2211.08082}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08082.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11902, author = {Hyeongdon Moon and Yoonseok Yang and Jamin Shin and Hangyeol Yu and Seunghyun Lee and Myeongho Jeong and Juneyoung Park and Minsam Kim and Seungtaek Choi}, title = {Evaluating the Knowledge Dependency of Questions}, journal = {CoRR}, volume = {abs/2211.11902}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11902}, doi = {10.48550/ARXIV.2211.11902}, eprinttype = {arXiv}, eprint = {2211.11902}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11902.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimHSLMLLKCYL22, author = {Seongkwang Kim and Jincheol Ha and Mincheol Son and ByeongHak Lee and Dukjae Moon and Joohee Lee and Sangyub Lee and Jihoon Kwon and Jihoon Cho and Hyojin Yoon and Jooyoung Lee}, title = {{AIM:} Symmetric Primitive for Shorter Signatures with Stronger Security}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1387}, year = {2022}, url = {https://eprint.iacr.org/2022/1387}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KimHSLMLLKCYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongKLK21, author = {Soonyoung Hong and Minsub Kim and Haegeun Lee and Moon Gi Kang}, title = {Nighttime Single Image Dehazing Based on the Structural Patch Decomposition}, journal = {{IEEE} Access}, volume = {9}, pages = {82070--82082}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3086191}, doi = {10.1109/ACCESS.2021.3086191}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HongKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JaihuniKLBBMPK21, author = {Mustafa Jaihuni and Fawad Khan and Deoghyun Lee and Jayanta Kumar Basak and Anil Bhujel and Byeong Eun Moon and Jaesung Park and Hyeon Tae Kim}, title = {Determining Spatiotemporal Distribution of Macronutrients in a Cornfield Using Remote Sensing and a Deep Learning Model}, journal = {{IEEE} Access}, volume = {9}, pages = {30256--30266}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3059314}, doi = {10.1109/ACCESS.2021.3059314}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JaihuniKLBBMPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHLK21, author = {Minsub Kim and Soonyoung Hong and Haegeun Lee and Moon Gi Kang}, title = {Single Image Dehazing of Road Scenes Using Spatially Adaptive Atmospheric Point Spread Function}, journal = {{IEEE} Access}, volume = {9}, pages = {76135--76152}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3082175}, doi = {10.1109/ACCESS.2021.3082175}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLKCC21, author = {Sungho Kim and Moon{-}Seok Kim and Yongwoo Lee and Hee{-}Dong Kim and Yang{-}Kyu Choi and Sung{-}Jin Choi}, title = {Low-Power True Random Number Generator Based on Randomly Distributed Carbon Nanotube Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {91341--91346}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3091491}, doi = {10.1109/ACCESS.2021.3091491}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLKCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KyongCMLKKS21, author = {Hongjoon Kyong and Myeongjin Choi and Yecheol Moon and Kyungwook Lee and JongWon Kim and Taegyun Kim and Taewon Seo}, title = {Position Error Compensation of Fa{\c{c}}ade-Cleaning Robot by Optimal Rope Winch Design}, journal = {{IEEE} Access}, volume = {9}, pages = {143392--143405}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3121411}, doi = {10.1109/ACCESS.2021.3121411}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KyongCMLKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKRB21, author = {Nakwon Lee and Yunho Kim and Moonzoo Kim and Duksan Ryu and Jongmoon Baik}, title = {Directed Model Checking for Fast Abstract Reachability Analysis}, journal = {{IEEE} Access}, volume = {9}, pages = {158738--158750}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3130569}, doi = {10.1109/ACCESS.2021.3130569}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKKRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKYM21, author = {Youngwan Lee and Hyung{-}Il Kim and Kimin Yun and Jinyoung Moon}, title = {Diverse Temporal Aggregation and Depthwise Spatiotemporal Factorization for Efficient Video Classification}, journal = {{IEEE} Access}, volume = {9}, pages = {163054--163064}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3132916}, doi = {10.1109/ACCESS.2021.3132916}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LeeCCLCKKKK21, author = {Seunghyun Lee and Young Hun Choi and Yeon Jin Cho and Seul Bi Lee and Jung{-}Eun Cheon and Woo Sun Kim and Jaesung Ko and Jae{-}Moon Koh and Gyeong Hoon Kang}, title = {The usefulness of noninvasive liver stiffness assessment using shear-wave elastography for predicting liver fibrosis in children}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {68}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00601-8}, doi = {10.1186/S12880-021-00601-8}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/LeeCCLCKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LeeCMLKKA21, author = {Juwon Lee and Hyungtae Cho and Il Moon and Igor Lubomirsky and Valery Kaplan and Junghwan Kim and Yuchan Ahn}, title = {Techno-economic assessment of carbonate melt flue gas desulfurization process}, journal = {Comput. Chem. Eng.}, volume = {146}, pages = {107227}, year = {2021}, url = {https://doi.org/10.1016/j.compchemeng.2021.107227}, doi = {10.1016/J.COMPCHEMENG.2021.107227}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LeeCMLKKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/RyuKLPLL21, author = {Hoseok Ryu and Minseok Kim and Seungwhan Lee and Moon Seok Park and Kyoungmin Lee and Jehee Lee}, title = {Functionality-Driven Musculature Retargeting}, journal = {Comput. Graph. Forum}, volume = {40}, number = {1}, pages = {341--356}, year = {2021}, url = {https://doi.org/10.1111/cgf.14191}, doi = {10.1111/CGF.14191}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cgf/RyuKLPLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/LeeKM21, author = {Jongmin Lee and Gwang Kim and Ilkyeong Moon}, title = {A mobile multi-agent sensing problem with submodular functions under a partition matroid}, journal = {Comput. Oper. Res.}, volume = {132}, pages = {105265}, year = {2021}, url = {https://doi.org/10.1016/j.cor.2021.105265}, doi = {10.1016/J.COR.2021.105265}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/LeeKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChoiLMWKK21, author = {Hyeong In Choi and Sungjin Lee and Hwan Pyo Moon and Nam{-}Sook Wee and Daehoon Kim and Song{-}Hwa Kwon}, title = {Seeded Ising Model and Distributed Biometric Template Storage and Matching}, journal = {Entropy}, volume = {23}, number = {7}, pages = {849}, year = {2021}, url = {https://doi.org/10.3390/e23070849}, doi = {10.3390/E23070849}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ChoiLMWKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimLKMLCM21, author = {Hyungki Kim and Wonyong Lee and Mijoo Kim and Yoochan Moon and Taekyong Lee and Mincheol Cho and Duhwan Mun}, title = {Deep-learning-based recognition of symbols and texts at an industrially applicable level from images of high-density piping and instrumentation diagrams}, journal = {Expert Syst. Appl.}, volume = {183}, pages = {115337}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115337}, doi = {10.1016/J.ESWA.2021.115337}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimLKMLCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/MoonKKL21, author = {Kwanyoung Moon and Kyoung Min Kim and Yunmin Kim and Tae{-}Jin Lee}, title = {Device-Selective Energy Request in {RF} Energy-Harvesting Networks}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {5}, pages = {1716--1719}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2021.3053761}, doi = {10.1109/LCOMM.2021.3053761}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/MoonKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MoonPLK21, author = {Jong Ho Moon and Jong Jin Park and Kang{-}Yoon Lee and Dong In Kim}, title = {Heterogeneously Reconfigurable Energy Harvester: An Algorithm for Optimal Reconfiguration}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {3}, pages = {1437--1452}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3013123}, doi = {10.1109/JIOT.2020.3013123}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/MoonPLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimL21, author = {Moonseong Kim and Woochan Lee}, title = {Adaptive Success Rate-based Sensor Relocation for IoT Applications}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {9}, pages = {3120--3137}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.09.002}, doi = {10.3837/TIIS.2021.09.002}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeKP21, author = {Woochan Lee and Moonseong Kim and Jaeyoung Park}, title = {Speed-up of the Matrix Computation on the Ridge Regression}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {10}, pages = {3482--3497}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.10.001}, doi = {10.3837/TIIS.2021.10.001}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/KimKLCM21, author = {Yoon{-}Yeong Kim and Hyemi Kim and Wonsung Lee and Han{-}Lim Choi and Il{-}Chul Moon}, title = {Black-Box Expectation-Maximization Algorithm for Estimating Latent States of High-Speed Vehicles}, journal = {J. Aerosp. Inf. Syst.}, volume = {18}, number = {4}, pages = {175--192}, year = {2021}, url = {https://doi.org/10.2514/1.i010831}, doi = {10.2514/1.I010831}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jacic/KimKLCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/LeeHHKMM21, author = {In Seong Lee and Jong{-}Kwon Ha and Daeho Han and Tae In Kim and Sung Wook Moon and Seung Kyu Min}, title = {PyUNIxMD: {A} Python-based excited state molecular dynamics package}, journal = {J. Comput. Chem.}, volume = {42}, number = {24}, pages = {1755--1766}, year = {2021}, url = {https://doi.org/10.1002/jcc.26711}, doi = {10.1002/JCC.26711}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/LeeHHKMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcgs/MoonJLK21, author = {Sang Jun Moon and Jong{-}June Jeon and Jason Sang Hun Lee and Yongdai Kim}, title = {Learning Multiple Quantiles With Neural Networks}, journal = {J. Comput. Graph. Stat.}, volume = {30}, number = {4}, pages = {1238--1248}, year = {2021}, url = {https://doi.org/10.1080/10618600.2021.1909601}, doi = {10.1080/10618600.2021.1909601}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcgs/MoonJLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/KimLSKN21, author = {Moon{-}Hyun Kim and Junyeong Lee and Syed Asif Raza Shah and Tae{-}Hyung Kim and Seo{-}Young Noh}, title = {Min-max exclusive virtual machine placement in cloud computing for scientific data environment}, journal = {J. Cloud Comput.}, volume = {10}, number = {1}, pages = {2}, year = {2021}, url = {https://doi.org/10.1186/s13677-020-00221-7}, doi = {10.1186/S13677-020-00221-7}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcloudc/KimLSKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/KimPSLM21, author = {Jinah Kim and Junhee Park and Minchan Shin and Jihoon Lee and Nammee Moon}, title = {The Method for Generating Recommended Candidates through Prediction of Multi-Criteria Ratings Using CNN-BiLSTM}, journal = {J. Inf. Process. Syst.}, volume = {17}, number = {4}, pages = {707--720}, year = {2021}, url = {https://doi.org/10.3745/JIPS.02.0159}, doi = {10.3745/JIPS.02.0159}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/KimPSLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/LeeM21, author = {Kihoon Lee and Nammee Moon}, title = {Digital Signage System Based on IntelligentRecommendation Model in Edge Environment: The Case of Unmanned Store}, journal = {J. Inf. Process. Syst.}, volume = {17}, number = {3}, pages = {599--614}, year = {2021}, url = {https://doi.org/10.3745/JIPS.02.0157}, doi = {10.3745/JIPS.02.0157}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/LeeM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/LeeLLKLPKMKC21, author = {Young Hun Lee and Yoon Haeng Lee and Hyunyong Lee and Hansol Kang and Jun Hyuk Lee and Ji Man Park and Yong Bum Kim and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {Whole-Body Control and Angular Momentum Regulation using Torque Sensors for Quadrupedal Robots}, journal = {J. Intell. Robotic Syst.}, volume = {102}, number = {3}, pages = {66}, year = {2021}, url = {https://doi.org/10.1007/s10846-021-01418-x}, doi = {10.1007/S10846-021-01418-X}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/LeeLLKLPKMKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/LeeKM21, author = {Sangyoon Lee and Hyunwoo Kim and Ilkyeong Moon}, title = {A data-driven distributionally robust newsvendor model with a Wasserstein ambiguity set}, journal = {J. Oper. Res. Soc.}, volume = {72}, number = {8}, pages = {1879--1897}, year = {2021}, url = {https://doi.org/10.1080/01605682.2020.1746203}, doi = {10.1080/01605682.2020.1746203}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/LeeKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/JooKKJLM21, author = {Han Young Joo and Jae Wook Kim and Young Seo Kim and So Yun Jeong and Bongsoo Lee and Joo Hyun Moon}, title = {Development of a Multifunctional Radiation Measurement System for the Rapid Radiological Characterization of a Decommissioned Nuclear Facility Site}, journal = {J. Sensors}, volume = {2021}, pages = {8870609:1--8870609:12}, year = {2021}, url = {https://doi.org/10.1155/2021/8870609}, doi = {10.1155/2021/8870609}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/JooKKJLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/YiKSKKJKL21, author = {Changhwan Yi and Dongkyo Kim and Sourabh Solanki and Jae{-}Hong Kwon and Moonil Kim and Sanggeun Jeon and Young{-}Chai Ko and Inkyu Lee}, title = {Design and Performance Analysis of THz Wireless Communication Systems for Chip-to-Chip and Personal Area Networks Applications}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {39}, number = {6}, pages = {1785--1796}, year = {2021}, url = {https://doi.org/10.1109/JSAC.2021.3071849}, doi = {10.1109/JSAC.2021.3071849}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/YiKSKKJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/MoonLK21, author = {Chang Bae Moon and Jong Yeol Lee and Byeong Man Kim}, title = {How to Retrieve Music using Mood Tags in a Folksonomy}, journal = {J. Web Eng.}, volume = {20}, number = {8}, year = {2021}, url = {https://doi.org/10.13052/jwe1540-9589.2086}, doi = {10.13052/JWE1540-9589.2086}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jwe/MoonLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimLJ21, author = {Yechan Kim and Younkwan Lee and Moongu Jeon}, title = {Imbalanced image classification with complement cross entropy}, journal = {Pattern Recognit. Lett.}, volume = {151}, pages = {33--40}, year = {2021}, url = {https://doi.org/10.1016/j.patrec.2021.07.017}, doi = {10.1016/J.PATREC.2021.07.017}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/LeeCLHJMK21, author = {Donghwa Lee and Young{-}Wook Cho and Hyang{-}Tag Lim and Sang{-}Wook Han and Hojoong Jung and Sung Moon and Yong{-}Su Kim}, title = {Characterizing Bell state analyzer using weak coherent pulses}, journal = {Quantum Inf. Process.}, volume = {20}, number = {4}, pages = {149}, year = {2021}, url = {https://doi.org/10.1007/s11128-021-03092-2}, doi = {10.1007/S11128-021-03092-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/LeeCLHJMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimSLC21, author = {Han{-}Saem Kim and Chang{-}Guk Sun and Moon{-}Gyo Lee and Hyung{-}Ik Cho}, title = {Terrain Proxy-Based Site Classification for Seismic Zonation in North Korea within a Geospatial Data-Driven Workflow}, journal = {Remote. Sens.}, volume = {13}, number = {9}, pages = {1844}, year = {2021}, url = {https://doi.org/10.3390/rs13091844}, doi = {10.3390/RS13091844}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimSLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkPFDFKOL21, author = {Jae{-}Jin Park and Kyung{-}Ae Park and Pierre{-}Yves Foucher and Philippe D{\'{e}}liot and St{\'{e}}phane Le Floch and Tae{-}Sung Kim and Sangwoo Oh and Moonjin Lee}, title = {Hazardous Noxious Substance Detection Based on Ground Experiment and Hyperspectral Remote Sensing}, journal = {Remote. Sens.}, volume = {13}, number = {2}, pages = {318}, year = {2021}, url = {https://doi.org/10.3390/rs13020318}, doi = {10.3390/RS13020318}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkPFDFKOL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rjour/YangLZK21, author = {Kexuan Yang and Sang Kyu Lee and Jun Zhao and Hyoung{-}Moon Kim}, title = {{EMSS:} New EM-type algorithms for the Heckman selection model in {R}}, journal = {R J.}, volume = {13}, number = {2}, pages = {239}, year = {2021}, url = {https://doi.org/10.32614/rj-2021-098}, doi = {10.32614/RJ-2021-098}, timestamp = {Sat, 12 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rjour/YangLZK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanBKLHY21, author = {Tae{-}Hee Han and So{-}Young Bak and Sangwoo Kim and Se{-}Hyeong Lee and Ye{-}Ji Han and Moonsuk Yi}, title = {Decoration of CuO NWs Gas Sensor with ZnO NPs for Improving {NO2} Sensing Characteristics}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2103}, year = {2021}, url = {https://doi.org/10.3390/s21062103}, doi = {10.3390/S21062103}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HanBKLHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonKLMC21, author = {Byoungil Jeon and Junha Kim and Eunjoong Lee and Myungkook Moon and Gyuseong Cho}, title = {Pseudo-Gamma Spectroscopy Based on Plastic Scintillation Detectors Using Multitask Learning}, journal = {Sensors}, volume = {21}, number = {3}, pages = {684}, year = {2021}, url = {https://doi.org/10.3390/s21030684}, doi = {10.3390/S21030684}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JeonKLMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKLSKKCAL21, author = {Junwoo Kim and Keumhyun Kim and Dowon Lee and Yongha Shin and Sungsam Kang and Jung{-}Ryul Kim and Youngwoon Choi and Kyungwon An and Moonjoo Lee}, title = {Locking Multi-Laser Frequencies to a Precision Wavelength Meter: Application to Cold Atoms}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6255}, year = {2021}, url = {https://doi.org/10.3390/s21186255}, doi = {10.3390/S21186255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKLSKKCAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonLSPHKSKLML21, author = {Ji{-}Won Kwon and Soo{-}Bin Lee and Sahyun Sung and Yung Park and Joong{-}Won Ha and Gihun Kim and Kyung{-}Soo Suk and Hak{-}Sun Kim and Hwan{-}Mo Lee and Seong{-}Hwan Moon and Byung Ho Lee}, title = {Which Factors Affect the Stress of Intraoperative Orthopedic Surgeons by Using Electroencephalography Signals and Heart Rate Variability?}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4016}, year = {2021}, url = {https://doi.org/10.3390/s21124016}, doi = {10.3390/S21124016}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwonLSPHKSKLML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKLCH21, author = {Kyungsu Lee and Jun{-}Young Kim and Moon Hwan Lee and Chang{-}Hyuk Choi and Jae Youn Hwang}, title = {Imbalanced Loss-Integrated Deep-Learning-Based Ultrasound Image Analysis for Diagnosis of Rotator-Cuff Tear}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2214}, year = {2021}, url = {https://doi.org/10.3390/s21062214}, doi = {10.3390/S21062214}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonLLKB21, author = {Sungtae Moon and Donghun Lee and Dongoo Lee and Doyoon Kim and Hyochoong Bang}, title = {Energy-Efficient Swarming Flight Formation Transitions Using the Improved Fair Hungarian Algorithm}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1260}, year = {2021}, url = {https://doi.org/10.3390/s21041260}, doi = {10.3390/S21041260}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MoonLLKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RheeKLKL21, author = {Jeong Hoon Rhee and Sang Il Kim and Kang Min Lee and Moon Kyum Kim and Yun Mook Lim}, title = {Optimization of Position and Number of Hotspot Detectors Using Artificial Neural Network and Genetic Algorithm to Estimate Material Levels Inside a Silo}, journal = {Sensors}, volume = {21}, number = {13}, pages = {4427}, year = {2021}, url = {https://doi.org/10.3390/s21134427}, doi = {10.3390/S21134427}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RheeKLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoKLLKJMK21, author = {Youngwook Seo and Giyoung Kim and Jongguk Lim and Ahyeong Lee and Balgeum Kim and Jaekyung Jang and Changyeun Mo and Moon S. Kim}, title = {Non-Destructive Detection Pilot Study of Vegetable Organic Residues Using {VNIR} Hyperspectral Imaging and Deep Learning Techniques}, journal = {Sensors}, volume = {21}, number = {9}, pages = {2899}, year = {2021}, url = {https://doi.org/10.3390/s21092899}, doi = {10.3390/S21092899}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoKLLKJMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/MoonKKL21, author = {Seong{-}Eun Moon and Jun{-}Hyuk Kim and Sun{-}Wook Kim and Jong{-}Seok Lee}, title = {Prediction of Car Design Perception Using {EEG} and Gaze Patterns}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {12}, number = {4}, pages = {843--856}, year = {2021}, url = {https://doi.org/10.1109/TAFFC.2019.2901733}, doi = {10.1109/TAFFC.2019.2901733}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taffco/MoonKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimLKMJ21, author = {Ji{-}Young Kim and Jongsoo Lee and Ki{-}Ryong Kim and Byoung{-}Mo Moon and Seong{-}Ook Jung}, title = {A 0.166 pJ/b/pF, 3.5-5 Gb/s {TSV} {I/O} Interface With V\({}_{\mbox{OH}}\) Drift Control}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {6}, pages = {1822--1826}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2020.3039911}, doi = {10.1109/TCSII.2020.3039911}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimLKMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeLLKLPJKSLMKC21, author = {Yoon Haeng Lee and Young Hun Lee and Hyunyong Lee and Hansol Kang and Jun Hyuk Lee and Luong Tin Phan and Sung Moon Jin and Yong Bum Kim and Dong{-}Yeop Seok and Seung Yeon Lee and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {Development of a Quadruped Robot System With Torque-Controllable Modular Actuator Unit}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {8}, pages = {7263--7273}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3007084}, doi = {10.1109/TIE.2020.3007084}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeLLKLPJKSLMKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/LeeKM21, author = {Jin{-}Oh Lee and Yunsu Kim and Seung{-}Il Moon}, title = {Current Injection Power Flow Analysis and Optimal Generation Dispatch for Bipolar {DC} Microgrids}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {3}, pages = {1918--1928}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3046733}, doi = {10.1109/TSG.2020.3046733}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/LeeKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/SungMKCLCKS21, author = {Minkyu Sung and Sang{-}Rok Moon and Eon{-}Sang Kim and Sungmin Cho and Joon Ki Lee and Seung{-}Hyun Cho and Tetsuya Kawanishi and Ho{-}Jin Song}, title = {Design Considerations of Photonic THz Communications for 6G Networks}, journal = {{IEEE} Wirel. Commun.}, volume = {28}, number = {5}, pages = {185--191}, year = {2021}, url = {https://doi.org/10.1109/MWC.001.2100002}, doi = {10.1109/MWC.001.2100002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/SungMKCLCKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/KimPMLJ21, author = {Donghyun Kim and Byungjun Park and Junhyung Moon and Jaeen Lee and Jongpil Jeong}, title = {Design and Performance Analysis for Edge Intelligence-Based F-PMIPv6 Mobility Support for Smart Manufacturing}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {9970942:1--9970942:14}, year = {2021}, url = {https://doi.org/10.1155/2021/9970942}, doi = {10.1155/2021/9970942}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/KimPMLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MoonMLLS21, author = {Seung Jun Moon and Sangwoo Mo and Kimin Lee and Jaeho Lee and Jinwoo Shin}, title = {{MASKER:} Masked Keyword Regularization for Reliable Text Classification}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {13578--13586}, year = {2021}, crossref = {DBLP:conf/aaai/2021}, url = {https://doi.org/10.1609/aaai.v35i15.17601}, doi = {10.1609/AAAI.V35I15.17601}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MoonMLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ImKLCC20, author = {Jinbae Im and Moonki Kim and Hoyeop Lee and Hyunsouk Cho and Sehee Chung}, title = {Self-Supervised Multimodal Opinion Summarization}, booktitle = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 1: Long Papers), Virtual Event, August 1-6, 2021}, pages = {388--403}, year = {2021}, crossref = {DBLP:conf/acl/2021-1}, url = {https://doi.org/10.18653/v1/2021.acl-long.33}, doi = {10.18653/V1/2021.ACL-LONG.33}, timestamp = {Mon, 09 Aug 2021 16:25:37 +0200}, biburl = {https://dblp.org/rec/conf/acl/ImKLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/LeeM021, author = {Joohyun Lee and Jiseon Moon and Sunwoo Kim}, title = {UWB-based Multiple {UAV} Control System for Indoor Ground Vehicle Tracking}, booktitle = {2021 {IEEE} {VTS} 17th Asia Pacific Wireless Communications Symposium (APWCS), Osaka, Japan, August 30-31, 2021}, pages = {1--5}, year = {2021}, crossref = {DBLP:conf/apwcs2/2021}, url = {https://doi.org/10.1109/APWCS50173.2021.9548721}, doi = {10.1109/APWCS50173.2021.9548721}, timestamp = {Wed, 06 Oct 2021 10:43:34 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/LeeM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/ChoHKLLLMY21, author = {Jihoon Cho and Jincheol Ha and Seongkwang Kim and ByeongHak Lee and Joohee Lee and Jooyoung Lee and Dukjae Moon and Hyojin Yoon}, title = {Transciphering Framework for Approximate Homomorphic Encryption}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2021 - 27th International Conference on the Theory and Application of Cryptology and Information Security, Singapore, December 6-10, 2021, Proceedings, Part {III}}, pages = {640--669}, year = {2021}, crossref = {DBLP:conf/asiacrypt/2021-3}, url = {https://doi.org/10.1007/978-3-030-92078-4\_22}, doi = {10.1007/978-3-030-92078-4\_22}, timestamp = {Fri, 03 Dec 2021 17:36:40 +0100}, biburl = {https://dblp.org/rec/conf/asiacrypt/ChoHKLLLMY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LeeKMK21, author = {Joo Chan Lee and Yongwoo Kim and Sungtae Moon and Jong Hwan Ko}, title = {A Splittable DNN-Based Object Detector for Edge-Cloud Collaborative Real-Time Video Inference}, booktitle = {17th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2021, Washington, DC, USA, November 16-19, 2021}, pages = {1--8}, year = {2021}, crossref = {DBLP:conf/avss/2021}, url = {https://doi.org/10.1109/AVSS52988.2021.9663806}, doi = {10.1109/AVSS52988.2021.9663806}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/LeeKMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeKCAKPNKSPCS21, author = {Yongki Lee and Bohdan Karpinskyy and Yunhyeok Choi and Kyoung{-}Moon Ahn and Yongsoo Kim and Jieun Park and Sumin Noh and Jisu Kang and Jonghoon Shin and Jaechul Park and Youngjin Chung and Jongshin Shin}, title = {Samsung Physically Unclonable Function (SAMPUF{\texttrademark}) and its integration with Samsung Security System}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2021, Austin, TX, USA, April 25-30, 2021}, pages = {1--7}, year = {2021}, crossref = {DBLP:conf/cicc/2021}, url = {https://doi.org/10.1109/CICC51472.2021.9431482}, doi = {10.1109/CICC51472.2021.9431482}, timestamp = {Thu, 20 May 2021 14:06:55 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeKCAKPNKSPCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/SuhKLKHPLPMKKKC21, author = {Jangwon Suh and Jimyeong Kim and Eunjung Lee and Jaeill Kim and Duhun Hwang and Jungwon Park and Junghoon Lee and JaeSeung Park and Seo{-}Yoon Moon and Yeonsu Kim and Min Kang and Soonil Kwon and Eue{-}Keun Choi and Wonjong Rhee}, title = {Learning {ECG} Representations for Multi-Label Classification of Cardiac Abnormalities}, booktitle = {Computing in Cardiology, CinC 2021, Brno, Czech Republic, September 13-15, 2021}, pages = {1--4}, year = {2021}, crossref = {DBLP:conf/cinc/2021}, url = {https://doi.org/10.23919/CinC53138.2021.9662753}, doi = {10.23919/CINC53138.2021.9662753}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/SuhKLKHPLPMKKKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LiKMGPL21, author = {Yuyang Li and Yejoong Kim and Eunseong Moon and Yuxin Gao and Jamie Phillips and Inhee Lee}, title = {An Energy Autonomous Light Intensity Sensor for Monarch Butterfly Migration Tracking}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {155--158}, year = {2021}, crossref = {DBLP:conf/esscirc/2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567888}, doi = {10.1109/ESSCIRC53450.2021.9567888}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LiKMGPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/LiKMGPL21, author = {Yuyang Li and Yejoong Kim and Eunseong Moon and Yuxin Gao and Jamie Phillips and Inhee Lee}, title = {An Energy Autonomous Light Intensity Sensor for Monarch Butterfly Migration Tracking}, booktitle = {51st {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2021, Grenoble, France, September 13-22, 2021}, pages = {155--158}, year = {2021}, crossref = {DBLP:conf/essderc/2021}, url = {https://doi.org/10.1109/ESSDERC53440.2021.9631794}, doi = {10.1109/ESSDERC53440.2021.9631794}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/essderc/LiKMGPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/KimLKPM21, author = {Jae{-}Yun Kim and Junmo Lee and Yeon{-}Jae Koo and Sang{-}Hyeon Park and Soo{-}Mook Moon}, title = {Ethanos: efficient bootstrapping for full nodes on account-based blockchain}, booktitle = {EuroSys '21: Sixteenth European Conference on Computer Systems, Online Event, United Kingdom, April 26-28, 2021}, pages = {99--113}, year = {2021}, crossref = {DBLP:conf/eurosys/2021}, url = {https://doi.org/10.1145/3447786.3456231}, doi = {10.1145/3447786.3456231}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/KimLKPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkLLMKHKPBLK21, author = {Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Jewoo Moon and Suknam Kwon and Sanghyuck Ha and MinSeong Kim and Junghun Park and Jihoon Bang and Sukhwan Lim and Inyup Kang}, title = {Samsung Neural Processing Unit : An {AI} accelerator and {SDK} for flagship mobile {AP}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, year = {2021}, crossref = {DBLP:conf/hotchips/2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567119}, doi = {10.1109/HCS52781.2021.9567119}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ParkLLMKHKPBLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KangJKL21, author = {Tae{-}Shin Kang and Moon{-}Il Joo and Beom{-}Soo Kim and Tae{-}Gyu Lee}, title = {Blockchain-based Lightweight Transaction Process Modeling and Development}, booktitle = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, pages = {113--118}, year = {2021}, crossref = {DBLP:conf/icact/2021}, url = {https://doi.org/10.23919/ICACT51234.2021.9370771}, doi = {10.23919/ICACT51234.2021.9370771}, timestamp = {Fri, 18 Mar 2022 11:48:11 +0100}, biburl = {https://dblp.org/rec/conf/icact/KangJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/OhKL21, author = {Jee{-}Sun Oh and Moon{-}Koo Kim and Duk Hee Lee}, title = {A study on the selection of future {AI+X} promising fields and the direction to strengthen competitiveness}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2021, Jeju Island, South Korea, April 13-16, 2021}, pages = {371--374}, year = {2021}, crossref = {DBLP:conf/icaiic/2021}, url = {https://doi.org/10.1109/ICAIIC51459.2021.9415181}, doi = {10.1109/ICAIIC51459.2021.9415181}, timestamp = {Tue, 04 May 2021 16:47:41 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/OhKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/MoonLKK21, author = {Chang Bae Moon and Jong Yeol Lee and Dong{-}Seong Kim and Byeong Man Kim}, title = {Multimedia Contents Retrieval based on 12-Mood Vector}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {842--844}, year = {2021}, crossref = {DBLP:conf/icoin/2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9334010}, doi = {10.1109/ICOIN50884.2021.9334010}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/MoonLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJCJL21, author = {Young{-}Hoon Kim and Hyungsik Ju and Ik{-}Jae Chun and Chan{-}Bok Jeong and Moon{-}Sik Lee}, title = {Design of Low-latency Synthesizable {PUCCH} Demodulation Unit Using Simulink {HDL} Coder}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1387--1389}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620844}, doi = {10.1109/ICTC52510.2021.9620844}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJCJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLM21, author = {Jiyeon Kim and Yong{-}Ju Lee and Yong{-}Hyuk Moon}, title = {Enhancing the Data Regularization Effect with Randomly Combined Features for Object Detection}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1065--1068}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620763}, doi = {10.1109/ICTC52510.2021.9620763}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLMBML21, author = {Jun Woo Kim and Young Su Lee and Young{-}Jin Moon and Seungjae Bahng and Jang Won Moon and Heesoo Lee}, title = {Carrier Frequency Offset Estimation for {OFDM} System with Large Oscillator Phase Noise}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {368--370}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621060}, doi = {10.1109/ICTC52510.2021.9621060}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLMBML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkMLK21, author = {Juyoung Park and Aekyung Moon and Eunryung Lee and Seunghan Kim}, title = {Understanding IoT climate Data based Predictive Model for Outdoor Smart Farm}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1892--1894}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620971}, doi = {10.1109/ICTC52510.2021.9620971}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkMLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YunKYL21, author = {Mi Young Yun and Jihyung Kim and Dukhyun You and Moonsik Lee}, title = {Main features of 5G New Radio for Non-Terrestrial Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1474--1478}, year = {2021}, crossref = {DBLP:conf/ictc/2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620941}, doi = {10.1109/ICTC52510.2021.9620941}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YunKYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkPKOL21, author = {Jae{-}Jin Park and Kyung{-}Ae Park and Tae{-}Sung Kim and Sangwoo Oh and Moonjin Lee}, title = {Hyperspectral Measurements for Ship Detection Using Airborne Image Data}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {4440--4443}, year = {2021}, crossref = {DBLP:conf/igarss/2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553517}, doi = {10.1109/IGARSS47720.2021.9553517}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ParkPKOL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/WestLBKTK21, author = {Jack West and Kyuin Lee and Suman Banerjee and Younghyun Kim and George K. Thiruvathukal and Neil Klingensmith}, title = {Moonshine: An Online Randomness Distiller for Zero-Involvement Authentication}, booktitle = {{IPSN} '21: The 20th International Conference on Information Processing in Sensor Networks, Nashville, TN, USA, May, 2021}, pages = {93--105}, year = {2021}, crossref = {DBLP:conf/ipsn/2021}, url = {https://doi.org/10.1145/3412382.3458899}, doi = {10.1145/3412382.3458899}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/WestLBKTK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeKLC0JHKJYO21, author = {Moonyoung Lee and Youngsun Kwon and Sebin Lee and Jonghun Choe and Junyong Park and Hyobin Jeong and Yujin Heo and Min{-}Su Kim and Sungho Jo and Sung{-}Eui Yoon and Jun{-}Ho Oh}, title = {Dynamic Humanoid Locomotion Over Rough Terrain With Streamlined Perception-Control Pipeline}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {4111--4117}, year = {2021}, crossref = {DBLP:conf/iros/2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636218}, doi = {10.1109/IROS51168.2021.9636218}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeKLC0JHKJYO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimYKEKYLKP21, author = {Gang{-}Jun Kim and Moonjee Yoon and SungHwan Kim and Myeongkyu Eo and Shinhyung Kim and Taehun You and Namhyun Lee and Kijin Kim and Sangwoo Pae}, title = {The Characterization of Degradation on various SiON pMOSFET transistors under {AC/DC} {NBTI} stress}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--4}, year = {2021}, crossref = {DBLP:conf/irps/2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405108}, doi = {10.1109/IRPS46558.2021.9405108}, timestamp = {Wed, 05 May 2021 11:53:21 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimYKEKYLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeBKKYRL21, author = {Moon Soo Lee and Inhak Baick and Min Kim and Seo Hyun Kwon and Myeong Soo Yeo and Hwasung Rhee and Euncheol Lee}, title = {Chip to Package Interaction Risk Assessment of {FCBGA} Devices using {FEA} Simulation, Meta-Modeling and Multi-Objective Genetic Algorithm Optimization Technique}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--6}, year = {2021}, crossref = {DBLP:conf/irps/2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405133}, doi = {10.1109/IRPS46558.2021.9405133}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeBKKYRL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SoLKM21, author = {Jaehyuk So and Dong{-}Hyun Lee and Min{-}Joon Kim and Yeon{-}Kug Moon}, title = {{ASIC} Implementation of Magnetic Induction based Wireless Communication System}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {371--372}, year = {2021}, crossref = {DBLP:conf/isocc/2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9614024}, doi = {10.1109/ISOCC53507.2021.9614024}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SoLKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLSJLLKYLMLJ21, author = {Tae Sung Kim and Seokhyun Lee and Kyungkoo Lee and Sunyoung Shin and SeungSick Jun and YongMi Lee and Seungyong Lee and Homin Kang and Changhyun Yim and Yohan Lim and Eikyung Moon and Sukhwan Lim and Kyung{-}Ah Jeong and Inyup Kang}, title = {4.8 An Area and Energy Efficient 0.12nJ/Pixel 8K 30fps {AV1} Video Decoder in 5nm {CMOS} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {68--70}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366011}, doi = {10.1109/ISSCC42613.2021.9366011}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLSJLLKYLMLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRKCKLBKKBJK21, author = {Taejoong Song and Woojin Rim and Hoonki Kim and Keun Hwi Cho and Taeyeong Kim and Taejung Lee and Geumjong Bae and Dong{-}Won Kim and S. D. Kwon and Sanghoon Baek and Jonghoon Jung and Jongwook Kye and Hakchul Jung and Hyungtae Kim and Soon{-}Moon Jung and Jaehong Park}, title = {24.3 {A} 3nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-BL and an Adaptive Cell-Power Assist Circuit}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {338--340}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365988}, doi = {10.1109/ISSCC42613.2021.9365988}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRKCKLBKKBJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeeHCHYSECLLJMS21, author = {Inhee Lee and Roger Hsiao and Gordy Carichner and Chin{-}Wei Hsu and Mingyu Yang and Sara Shoouri and Katherine Ernst and Tess Carichner and Yuyang Li and Jaechan Lim and Cole R. Julick and Eunseong Moon and Yi Sun and Jamie Phillips and Kristi L. Montooth and Delbert A. Green II and Hun{-}Seok Kim and David T. Blaauw}, title = {mSAIL: milligram-scale multi-modal sensor platform for monarch butterfly migration tracking}, booktitle = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, New Orleans, Louisiana, USA, October 25-29, 2021}, pages = {517--530}, year = {2021}, crossref = {DBLP:conf/mobicom/2021}, url = {https://doi.org/10.1145/3447993.3483263}, doi = {10.1145/3447993.3483263}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LeeHCHYSECLLJMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, crossref = {DBLP:conf/nips/2021db}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ShinMKEPL21, author = {Jonghoon Shin and Junhyung Moon and Beomsik Kim and Jihwan Eom and Noseong Park and Kyoungwoo Lee}, title = {Attention-based stress detection exploiting non-contact monitoring of movement patterns with {IR-UWB} radar}, booktitle = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, pages = {637--640}, year = {2021}, crossref = {DBLP:conf/sac/2021}, url = {https://doi.org/10.1145/3412841.3442089}, doi = {10.1145/3412841.3442089}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ShinMKEPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimCLM21, author = {Yeongmin Kim and Youngjae Cho and Hanbit Lee and Il{-}Chul Moon}, title = {Predict Sequential Credit Card Delinquency with VaDE-Seq2Seq}, booktitle = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, pages = {1159--1164}, year = {2021}, crossref = {DBLP:conf/smc/2021}, url = {https://doi.org/10.1109/SMC52423.2021.9659039}, doi = {10.1109/SMC52423.2021.9659039}, timestamp = {Tue, 11 Jan 2022 08:26:14 +0100}, biburl = {https://dblp.org/rec/conf/smc/KimCLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JoeLPKKKKLHRKPK21, author = {Insung Joe and Yunki Lee and Hye Yeon Park and Jong Uk Kim and Dongyeon Kang and Taehoon Kim and Minkwan Kim and Kwangmin Lee and Minsung Heo and Inho Ro and Jinhyung Kim and Inyong Park and Seokjin Kwon and Kisang Yoon and Dami Park and Changkyu Lee and Eunyoung Jo and Minhwan Jeon and Chanho Park and Kyung Rae Byun and Chong Kwang Chang and JaeSung Hur and Kijoong Yoon and Taeksoo Jeon and Jaehak Lee and Jungho Park and Bumsuk Kim and JungChak Ahn and Hyunchul Kim and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, title = {Development of Advanced Inter-Color-Filter Grid on Sub-Micron-Pixel {CMOS} Image Sensor for Mobile Cameras with High Sensitivity and High Resolution}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/vlsic/2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492339}, doi = {10.23919/VLSICIRCUITS52068.2021.9492339}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JoeLPKKKKLHRKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKCLCLKLSCS21, author = {Kiseo Kang and ByungJun Kim and Gahyun Choi and Sun{-}Kyung Lee and Jisoo Choi and Jaeho Lee and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Yonuk Chong and Jae{-}Yoon Sim}, title = {A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/vlsic/2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492343}, doi = {10.23919/VLSICIRCUITS52068.2021.9492343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKCLCLKLSCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LimLMBALCNPPKCP21, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light Tolerant Neural Recording {IC} for Near-Infrared-Powered Free Floating Motes}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/vlsic/2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492459}, doi = {10.23919/VLSICIRCUITS52068.2021.9492459}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LimLMBALCNPPKCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/vlsic/2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07055, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Vision Transformer for {COVID-19} {CXR} Diagnosis using Chest X-ray Feature Corpus}, journal = {CoRR}, volume = {abs/2103.07055}, year = {2021}, url = {https://arxiv.org/abs/2103.07055}, eprinttype = {arXiv}, eprint = {2103.07055}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07055.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07062, author = {Gwanghyun Kim and Sangjoon Park and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Severity Quantification and Lesion Localization of {COVID-19} on {CXR} using Vision Transformer}, journal = {CoRR}, volume = {abs/2103.07062}, year = {2021}, url = {https://arxiv.org/abs/2103.07062}, eprinttype = {arXiv}, eprint = {2103.07062}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07235, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Vision Transformer using Low-level Chest X-ray Feature Corpus for {COVID-19} Diagnosis and Severity Quantification}, journal = {CoRR}, volume = {abs/2104.07235}, year = {2021}, url = {https://arxiv.org/abs/2104.07235}, eprinttype = {arXiv}, eprint = {2104.07235}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14618, author = {Jack West and Kyuin Lee and Suman Banerjee and Younghyun Kim and George K. Thiruvathukal and Neil Klingensmith}, title = {Moonshine: An Online Randomness Distiller for Zero-Involvement Authentication}, journal = {CoRR}, volume = {abs/2104.14618}, year = {2021}, url = {https://arxiv.org/abs/2104.14618}, eprinttype = {arXiv}, eprint = {2104.14618}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13135, author = {Jinbae Im and Moonki Kim and Hoyeop Lee and Hyunsouk Cho and Sehee Chung}, title = {Self-Supervised Multimodal Opinion Summarization}, journal = {CoRR}, volume = {abs/2105.13135}, year = {2021}, url = {https://arxiv.org/abs/2105.13135}, eprinttype = {arXiv}, eprint = {2105.13135}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11041, author = {Junyeop Lee and Yoonsik Kim and Seonghyeon Kim and Moonbin Yim and Seung Shin and Gayoung Lee and Sungrae Park}, title = {RewriteNet: Realistic Scene Text Image Generation via Editing Text in Real-world Image}, journal = {CoRR}, volume = {abs/2107.11041}, year = {2021}, url = {https://arxiv.org/abs/2107.11041}, eprinttype = {arXiv}, eprint = {2107.11041}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11041.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03393, author = {Sumin Lee and Hyunjun Eun and Jinyoung Moon and Seokeon Choi and Yoonhyung Kim and Chanho Jung and Changick Kim}, title = {Learning to Discriminate Information for Online Action Detection: Analysis and Application}, journal = {CoRR}, volume = {abs/2109.03393}, year = {2021}, url = {https://arxiv.org/abs/2109.03393}, eprinttype = {arXiv}, eprint = {2109.03393}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/EnochHMLAK20, author = {Simon Yusuf Enoch and Zhibin Huang and Chun Yong Moon and Donghwan Lee and Myung Kil Ahn and Dong Seong Kim}, title = {HARMer: Cyber-Attacks Automation and Evaluation}, journal = {{IEEE} Access}, volume = {8}, pages = {129397--129414}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3009748}, doi = {10.1109/ACCESS.2020.3009748}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/EnochHMLAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaKCLMYC20, author = {Jincheol Ha and Seongkwang Kim and Wonseok Choi and Jooyoung Lee and Dukjae Moon and Hyojin Yoon and Jihoon Cho}, title = {Masta: An HE-Friendly Cipher Using Modular Arithmetic}, journal = {{IEEE} Access}, volume = {8}, pages = {194741--194751}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033564}, doi = {10.1109/ACCESS.2020.3033564}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaKCLMYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKLBKK20, author = {Yeontaek Jung and Yoonjae Kim and Woo Hyung Lee and Moon Suk Bang and Youdan Kim and Sungwan Kim}, title = {Path Planning Algorithm for an Autonomous Electric Wheelchair in Hospitals}, journal = {{IEEE} Access}, volume = {8}, pages = {208199--208213}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3038452}, doi = {10.1109/ACCESS.2020.3038452}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungKLBKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCLLMKKAK20, author = {Jang{-}Yeol Kim and In{-}Kui Cho and Hyun Joon Lee and Jaewoo Lee and Jung{-}Ick Moon and Seong{-}Min Kim and Sang{-}Won Kim and Seungyoung Ahn and Kibeom Kim}, title = {A Novel Experimental Approach to the Applicability of High-Sensitivity Giant Magneto-Impedance Sensors in Magnetic Field Communication}, journal = {{IEEE} Access}, volume = {8}, pages = {193091--193101}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3032702}, doi = {10.1109/ACCESS.2020.3032702}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimCLLMKKAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLL20b, author = {Jaesin Kim and Jaemoon Lee and Inkyu Lee}, title = {Antenna Tracking Techniques for Long Range Air-to-Ground Communication Systems Using a Monopulse Method}, journal = {{IEEE} Access}, volume = {8}, pages = {166442--166449}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3022932}, doi = {10.1109/ACCESS.2020.3022932}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLL20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKM20, author = {Jin{-}Oh Lee and Yunsu Kim and Tae{-}Han Kim and Seung{-}Il Moon}, title = {Novel Droop Control of Battery Energy Storage Systems Based on Battery Degradation Cost in Islanded {DC} Microgrids}, journal = {{IEEE} Access}, volume = {8}, pages = {119337--119345}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3005158}, doi = {10.1109/ACCESS.2020.3005158}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLCH20, author = {Moon Hwan Lee and Jun{-}Young Kim and Kyungsu Lee and Chang{-}Hyuk Choi and Jae Youn Hwang}, title = {Wide-Field 3D Ultrasound Imaging Platform With a Semi-Automatic 3D Segmentation Algorithm for Quantitative Analysis of Rotator Cuff Tears}, journal = {{IEEE} Access}, volume = {8}, pages = {65472--65487}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2985858}, doi = {10.1109/ACCESS.2020.2985858}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeRK20, author = {Hongjun Lee and Moonsoo Ra and Whoi{-}Yul Kim}, title = {Nighttime Data Augmentation Using {GAN} for Improving Blind-Spot Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {48049--48059}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2979239}, doi = {10.1109/ACCESS.2020.2979239}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonLKRHLJR20, author = {Jiho Moon and Jaeseong Lee and Seungjin Kim and Gyeongha Ryu and Ju{-}Pyo Hong and Juhyun Lee and Haifeng Jin and Jeongjin Roh}, title = {60-V Non-Inverting Four-Mode Buck-Boost Converter With Bootstrap Sharing for Non-Switching Power Transistors}, journal = {{IEEE} Access}, volume = {8}, pages = {208221--208231}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3038444}, doi = {10.1109/ACCESS.2020.3038444}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MoonLKRHLJR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimMLKPLJ20, author = {Young Woo Kim and Ji Young Moon and Wen Jie Li and June{-}Hong Kim and Yong{-}Hyun Park and Joon Sang Lee and Yeongho Jang}, title = {Effect of membrane insertion for tricuspid regurgitation using immersed-boundary lattice Boltzmann method}, journal = {Comput. Methods Programs Biomed.}, volume = {191}, pages = {105421}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105421}, doi = {10.1016/J.CMPB.2020.105421}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimMLKPLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/JangKLCK20, author = {Kee Moon Jang and Jaeman Kim and Hye{-}Yeong Lee and Hyemin Cho and Youngchul Kim}, title = {Urban Green Accessibility Index: {A} Measure of Pedestrian-Centered Accessibility to Every Green Point in an Urban Area}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {10}, pages = {586}, year = {2020}, url = {https://doi.org/10.3390/ijgi9100586}, doi = {10.3390/IJGI9100586}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/JangKLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/KimLBK20, author = {Yunho Kim and Dongju Lee and Junki Baek and Moonzoo Kim}, title = {{MAESTRO:} Automated test generation framework for high test coverage and reduced human effort in automotive industry}, journal = {Inf. Softw. Technol.}, volume = {123}, pages = {106221}, year = {2020}, url = {https://doi.org/10.1016/j.infsof.2019.106221}, doi = {10.1016/J.INFSOF.2019.106221}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/KimLBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ParkMLK20, author = {Jong Jin Park and Jong Ho Moon and Kang{-}Yoon Lee and Dong In Kim}, title = {Transmitter-Oriented Dual-Mode {SWIPT} With Deep-Learning-Based Adaptive Mode Switching for IoT Sensor Networks}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {9}, pages = {8979--8992}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2999892}, doi = {10.1109/JIOT.2020.2999892}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ParkMLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChoiLYJ20, author = {Hyunguk Choi and Younkwan Lee and Kin Choong Yow and Moongu Jeon}, title = {Block change learning for knowledge distillation}, journal = {Inf. Sci.}, volume = {513}, pages = {360--371}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.10.074}, doi = {10.1016/J.INS.2019.10.074}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChoiLYJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HanKLM20, author = {Jeong Hoon Han and In Soo Kim and Cheol Hee Lee and Young Shik Moon}, title = {Crack Detection Method for Tunnel Lining Surfaces using Ternary Classifier}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {9}, pages = {3797--3822}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.09.013}, doi = {10.3837/TIIS.2020.09.013}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/HanKLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/JungCMCLKM20, author = {Hyunwoo Jung and Ahnryul Choi and Jose Moon and Seung Heon Chae and Kyungsuk Lee and Kyungran Kim and Joung Hwan Mun}, title = {Insole System-Based Neural Network Model to Evaluate Force Risk in Cube Method: Application to Pepper Farming Tasks}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1444--1451}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3068}, doi = {10.1166/JMIHI.2020.3068}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/JungCMCLKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLPKOSBPLLLMHP20, author = {Kyung{-}Soo Ha and Seungseob Lee and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Hyong{-}Ryol Hwang and Dukha Park and Young{-}Hwa Kim and Young Hoon Son and Byongwook Na}, title = {A 7.5 Gb/s/pin 8-Gb {LPDDR5} {SDRAM} With Various High-Speed and Low-Power Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {157--166}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938396}, doi = {10.1109/JSSC.2019.2938396}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLPKOSBPLLLMHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJJPKLAHC20, author = {Changuk Lee and Taejune Jeon and Moon Hyung Jang and Sanggeon Park and Jejung Kim and Jeongsik Lim and Jong{-}Hyun Ahn and Yeowool Huh and Youngcheol Chae}, title = {A 6.5-{\(\mu\)}W 10-kHz {BW} 80.4-dB {SNDR} G\({}_{\mbox{m}}\)-C-Based {CT} {\unicode{8710}}{\(\sum\)} Modulator With a Feedback-Assisted G\({}_{\mbox{m}}\) Linearization for Artifact-Tolerant Neural Recording}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2889--2901}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3018478}, doi = {10.1109/JSSC.2020.3018478}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJJPKLAHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/MoonLKK20, author = {Chang Bae Moon and Jong Yeol Lee and Dong{-}Seong Kim and Byeong Man Kim}, title = {Multimedia content recommendation in social networks using mood tags and synonyms}, journal = {Multim. Syst.}, volume = {26}, number = {2}, pages = {139--156}, year = {2020}, url = {https://doi.org/10.1007/s00530-019-00632-w}, doi = {10.1007/S00530-019-00632-W}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mms/MoonLKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimCLMM20, author = {Jong{-}Suk Kim and Anxiang Chen and Junghwan Lee and Il{-}Ju Moon and Young{-}Il Moon}, title = {Statistical Prediction of Typhoon-Induced Rainfall over China Using Historical Rainfall, Tracks, and Intensity of Typhoon in the Western North Pacific}, journal = {Remote. Sens.}, volume = {12}, number = {24}, pages = {4133}, year = {2020}, url = {https://doi.org/10.3390/rs12244133}, doi = {10.3390/RS12244133}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimCLMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKPOLF20, author = {Jae{-}Jin Park and Tae{-}Sung Kim and Kyung{-}Ae Park and Sangwoo Oh and Moonjin Lee and Pierre{-}Yves Foucher}, title = {Application of Spectral Mixture Analysis to Vessel Monitoring Using Airborne Hyperspectral Data}, journal = {Remote. Sens.}, volume = {12}, number = {18}, pages = {2968}, year = {2020}, url = {https://doi.org/10.3390/rs12182968}, doi = {10.3390/RS12182968}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKPOLF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekLKKCJCCMK20, author = {Jeong{-}Ho Baek and Eungyeong Lee and Nyunhee Kim and Song Lim Kim and Inchan Choi and Hyeonso Ji and Yong Suk Chung and Man{-}Soo Choi and Jung{-}Kyung Moon and Kyung{-}Hwan Kim}, title = {High Throughput Phenotyping for Various Traits on Soybean Seeds Using Image Analysis}, journal = {Sensors}, volume = {20}, number = {1}, pages = {248}, year = {2020}, url = {https://doi.org/10.3390/s20010248}, doi = {10.3390/S20010248}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekLKKCJCCMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FaqeerzadaLKJLK20, author = {Mohammad Akbar Faqeerzada and Santosh Lohumi and Geonwoo Kim and Rahul Joshi and Hoonsoo Lee and Moon Sung Kim and Byoung{-}Kwan Cho}, title = {Hyperspectral Shortwave Infrared Image Analysis for Detection of Adulterants in Almond Powder with One-Class Classification Method}, journal = {Sensors}, volume = {20}, number = {20}, pages = {5855}, year = {2020}, url = {https://doi.org/10.3390/s20205855}, doi = {10.3390/S20205855}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FaqeerzadaLKJLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonLMKC20, author = {Byoungil Jeon and Youhan Lee and Myungkook Moon and Jongyul Kim and Gyuseong Cho}, title = {Reconstruction of Compton Edges in Plastic Gamma Spectra Using Deep Autoencoder}, journal = {Sensors}, volume = {20}, number = {10}, pages = {2895}, year = {2020}, url = {https://doi.org/10.3390/s20102895}, doi = {10.3390/S20102895}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeonLMKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KandpalLBKBC20, author = {Lalit Mohan Kandpal and Jayoung Lee and Hyungjin Bae and Moon S. Kim and In{-}Suck Baek and Byoung{-}Kwan Cho}, title = {Near-Infrared Transmittance Spectral Imaging for Nondestructive Measurement of Internal Disorder in Korean Ginseng}, journal = {Sensors}, volume = {20}, number = {1}, pages = {273}, year = {2020}, url = {https://doi.org/10.3390/s20010273}, doi = {10.3390/S20010273}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KandpalLBKBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHSCKLSSSCJK20, author = {Minsu Kim and Insol Hwang and Minho Seong and Jaemook Choi and Myunggun Kim and Hee{-}Du Lee and Kyung{-}Jae Shin and Hungsun Son and Hoon Sohn and Junho Choi and Hoon Eui Jeong and Moon Kyu Kwak}, title = {Multifunctional Smart Ball Sensor for Wireless Structural Health Monitoring in a Fire Situation}, journal = {Sensors}, volume = {20}, number = {15}, pages = {4328}, year = {2020}, url = {https://doi.org/10.3390/s20154328}, doi = {10.3390/S20154328}, timestamp = {Sat, 18 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimHSCKLSSSCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLK20, author = {Hansol Kim and Sukho Lee and Moon Gi Kang}, title = {Demosaicing of {RGBW} Color Filter Array Based on Rank Minimization with Colorization Constraint}, journal = {Sensors}, volume = {20}, number = {16}, pages = {4458}, year = {2020}, url = {https://doi.org/10.3390/s20164458}, doi = {10.3390/S20164458}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLMCK20, author = {Sung Joon Kim and Seung Ho Lee and Hyungpil Moon and Hyouk Ryeol Choi and Ja Choon Koo}, title = {A Non-Array Type Cut to Shape Soft Slip Detection Sensor Applicable to Arbitrary Surface}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6185}, year = {2020}, url = {https://doi.org/10.3390/s20216185}, doi = {10.3390/S20216185}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLMCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPL20b, author = {Moonseong Kim and Sooyeon Park and Woochan Lee}, title = {Ping-Pong Free Advanced and Energy Efficient Sensor Relocation for IoT-Sensory Network}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5654}, year = {2020}, url = {https://doi.org/10.3390/s20195654}, doi = {10.3390/S20195654}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimPL20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonKXNGL20, author = {Eui Jung Moon and Youngsik Kim and Yu Xu and Yeul Na and Amato J. Giaccia and Jae Hyung Lee}, title = {Evaluation of Salmon, Tuna, and Beef Freshness Using a Portable Spectrometer}, journal = {Sensors}, volume = {20}, number = {15}, pages = {4299}, year = {2020}, url = {https://doi.org/10.3390/s20154299}, doi = {10.3390/S20154299}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MoonKXNGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/LeeKLMJ20, author = {Seokwon Lee and Youdan Kim and Yongwoo Lee and Gwanyoung Moon and Byung{-}Eul Jeon}, title = {Robust-Backstepping Missile Autopilot Design Considering Time-Varying Parameters and Uncertainty}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {56}, number = {6}, pages = {4269--4287}, year = {2020}, url = {https://doi.org/10.1109/TAES.2020.2990819}, doi = {10.1109/TAES.2020.2990819}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/LeeKLMJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/EunLMPJK20, author = {Hyunjun Eun and Sumin Lee and Jinyoung Moon and Jongyoul Park and Chanho Jung and Changick Kim}, title = {{SRG:} Snippet Relatedness-Based Temporal Action Proposal Generator}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {30}, number = {11}, pages = {4232--4244}, year = {2020}, url = {https://doi.org/10.1109/TCSVT.2019.2953187}, doi = {10.1109/TCSVT.2019.2953187}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/EunLMPJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimSPALMC20, author = {Yoonsik Kim and Jae Woong Soh and Jaewoo Park and Byeongyong Ahn and Hyun{-}Seung Lee and Young{-}Su Moon and Nam Ik Cho}, title = {A Pseudo-Blind Convolutional Neural Network for the Reduction of Compression Artifacts}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {30}, number = {4}, pages = {1121--1135}, year = {2020}, url = {https://doi.org/10.1109/TCSVT.2019.2901919}, doi = {10.1109/TCSVT.2019.2901919}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KimSPALMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/SongSLLMK20, author = {Jae Eun Song and Jaewook Shin and Hongpyo Lee and Ho Joon Lee and Won{-}Jin Moon and Dong{-}Hyun Kim}, title = {Blind Source Separation for Myelin Water Fraction Mapping Using Multi-Echo Gradient Echo Imaging}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {6}, pages = {2235--2245}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.2967068}, doi = {10.1109/TMI.2020.2967068}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/SongSLLMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/BaeLKLLKM20, author = {Jang Won Bae and Junseok Lee and Do{-}Hyung Kim and Kanghoon Lee and Jongmin Lee and Kee{-}Eung Kim and Il{-}Chul Moon}, title = {Layered Behavior Modeling via Combining Descriptive and Prescriptive Approaches: {A} Case Study of Infantry Company Engagement}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {7}, pages = {2551--2565}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2018.2822312}, doi = {10.1109/TSMC.2018.2822312}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/BaeLKLLKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/ChoiSLLPYPCKKK20, author = {Junho Choi and Moon Gu Son and Yong Yi Lee and Kwan H. Lee and Jin Pyo Park and Chang Hun Yeo and Jung Seo Park and Sung{-}In Choi and Won Don Kim and Tae Won Kang and Kwang Hee Ko}, title = {Position-based augmented reality platform for aiding construction and inspection of offshore plants}, journal = {Vis. Comput.}, volume = {36}, number = {10}, pages = {2039--2049}, year = {2020}, url = {https://doi.org/10.1007/s00371-020-01902-9}, doi = {10.1007/S00371-020-01902-9}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/ChoiSLLPYPCKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHJLCFLS20, author = {Moonsu Kim and Yun Heo and Seungjae Jung and Kelvin Le and Nathaniel Conos and Hanif Fatemi and Jongpil Lee and Youngmin Shin}, title = {A Method of Via Variation Induced Delay Computation}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1712--1713}, year = {2020}, crossref = {DBLP:conf/date/2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116405}, doi = {10.23919/DATE48585.2020.9116405}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/KimHJLCFLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/AndersenLMM20, author = {Kim Normann Andersen and Jungwoo Lee and Tobias Mettler and M. Jae Moon}, title = {Ten Misunderstandings about Maturity Models}, booktitle = {dg.o '20: The 21st Annual International Conference on Digital Government Research, Seoul, Republic of Korea, June 15-19, 2020}, pages = {261--266}, year = {2020}, crossref = {DBLP:conf/dgo/2020}, url = {https://doi.org/10.1145/3396956.3396980}, doi = {10.1145/3396956.3396980}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dgo/AndersenLMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, pages = {692--712}, year = {2020}, crossref = {DBLP:conf/eccv/2020-w4}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeYKJJ20, author = {Younkwan Lee and Heongjun Yoo and Yechan Kim and Jihun Jeong and Moongu Jeon}, title = {Self-supervised Attribute-Aware Refinement Network for Low-Quality Text Recognition}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, pages = {228--244}, year = {2020}, crossref = {DBLP:conf/eccv/2020-w5}, url = {https://doi.org/10.1007/978-3-030-68238-5\_17}, doi = {10.1007/978-3-030-68238-5\_17}, timestamp = {Mon, 01 Feb 2021 18:33:39 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeYKJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungKSKLCKCKJLR20, author = {Taesub Jung and Yonghun Kwon and Sungyoung Seo and Min{-}Sun Keel and Changkeun Lee and Sung{-}Ho Choi and Sae{-}Young Kim and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Moosup Lim and Hyunsurk Ryu and Yitae Kim and Joonseok Kim and Chang{-}Rok Moon}, title = {A 4-tap global shutter pixel with enhanced {IR} sensitivity for {VGA} time-of-flight {CMOS} image sensors}, booktitle = {Imaging Sensors and Systems 2020, Burlingame, CA, USA, January 26-30, 2020}, pages = {1--6}, year = {2020}, crossref = {DBLP:conf/ei-iss/2020}, url = {https://doi.org/10.2352/ISSN.2470-1173.2020.7.ISS-103}, doi = {10.2352/ISSN.2470-1173.2020.7.ISS-103}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungKSKLCKCKJLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdse/LeeRKC20, author = {Dokyung Lee and Syed Muhammad Raza and Moonseong Kim and Hyunseung Choo}, title = {Cost Effective Control Plane Design for Service Assurance in Software Defined Service Function Chaining}, booktitle = {Future Data and Security Engineering. Big Data, Security and Privacy, Smart City and Industry 4.0 Applications - 7th International Conference, {FDSE} 2020, Quy Nhon, Vietnam, November 25-27, 2020, Proceedings}, pages = {387--400}, year = {2020}, crossref = {DBLP:conf/fdse/2020ccis}, url = {https://doi.org/10.1007/978-981-33-4370-2\_27}, doi = {10.1007/978-981-33-4370-2\_27}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdse/LeeRKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimKLMR20, author = {Eun Sung Kim and Jung Uk Kim and Sangmin Lee and Sang{-}Keun Moon and Yong Man Ro}, title = {Class Incremental Learning With Task-Selection}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {1846--1850}, year = {2020}, crossref = {DBLP:conf/icip/2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9190703}, doi = {10.1109/ICIP40778.2020.9190703}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KimKLMR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimYYL20, author = {Jihyung Kim and Mi Young Yun and Dukhyun You and Moonsik Lee}, title = {Beam Management for 5G Satellite Systems Based on {NR}}, booktitle = {2020 International Conference on Information Networking, {ICOIN} 2020, Barcelona, Spain, January 7-10, 2020}, pages = {32--34}, year = {2020}, crossref = {DBLP:conf/icoin/2020}, url = {https://doi.org/10.1109/ICOIN48656.2020.9016571}, doi = {10.1109/ICOIN48656.2020.9016571}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimYYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/MoonKMLBSL20, author = {Young{-}Jin Moon and Jun Woo Kim and Jang Won Moon and Young Su Lee and Seungjae Bahng and Kyung Yeol Sohn and Hoon Lee}, title = {OFDM-based 25Gbps Wireless Backhaul System for 5G Convergence Service}, booktitle = {2020 International Conference on Information Networking, {ICOIN} 2020, Barcelona, Spain, January 7-10, 2020}, pages = {814--817}, year = {2020}, crossref = {DBLP:conf/icoin/2020}, url = {https://doi.org/10.1109/ICOIN48656.2020.9016621}, doi = {10.1109/ICOIN48656.2020.9016621}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/MoonKMLBSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icores/MoonKLS20, author = {Dug Hee Moon and Dong Ok Kim and Young Hoon Lee and Yang Woo Shin}, title = {A Simulation Study on the Effect of Reconfiguration Strategy in an Automotive Body Shop Considering the Change of Product-mix}, booktitle = {Proceedings of the 9th International Conference on Operations Research and Enterprise Systems, {ICORES} 2020, Valletta, Malta, February 22-24, 2020}, pages = {350--355}, year = {2020}, crossref = {DBLP:conf/icores/2020}, url = {https://doi.org/10.5220/0009096303500355}, doi = {10.5220/0009096303500355}, timestamp = {Fri, 17 Apr 2020 13:20:39 +0200}, biburl = {https://dblp.org/rec/conf/icores/MoonKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BackYL20, author = {Moon{-}Ki Back and Seung Won Yoon and Kyu{-}Chul Lee}, title = {GAN-based Augmentation for Populating Speech Dataset with High Fidelity Synthesized Audio}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1267--1269}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289283}, doi = {10.1109/ICTC49870.2020.9289283}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/BackYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJJL20, author = {Young{-}Hoon Kim and Hyungsik Ju and Chan{-}Bok Jeong and Moon{-}Sik Lee}, title = {Performance comparison of {DTX} detection schemes for 5G {NR} {PUCCH}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1391--1394}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289538}, doi = {10.1109/ICTC49870.2020.9289538}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPMJKL20, author = {Minjae Kim and Jong Geun Park and Daesung Moon and Jongsoo Jang and Youngsoo Kim and Jonghoon Lee}, title = {Long-Term Evolution Vulnerability Focusing on System Information Block Messages}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {837--842}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289440}, doi = {10.1109/ICTC49870.2020.9289440}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimPMJKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonKMLBSL20, author = {Young{-}Jin Moon and Jun Woo Kim and Jang Won Moon and Young Su Lee and Seungjae Bahng and Kyung Yeol Sohn and Hoon Lee}, title = {Two Stages Method for {IQ} Imbalance Compensation in Multi-FA {OFDM} System}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1420--1422}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289484}, doi = {10.1109/ICTC49870.2020.9289484}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonKMLBSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YiKSKKJKL20, author = {Changhwan Yi and Dongkyo Kim and Sourabh Solanki and Jae{-}Hong Kwon and Moonil Kim and Sanggeun Jeon and Young{-}Chai Ko and Inkyu Lee}, title = {THz Wireless Systems Design for Personal Area Networks Applications}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {529--531}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289216}, doi = {10.1109/ICTC49870.2020.9289216}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YiKSKKJKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YouKYLK20, author = {Dukhyun You and Jihyung Kim and Mi Young Yun and Moonsik Lee and Joonhyuk Kang}, title = {Performance Evaluation of Data Transmission for Non-Terrestrial Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1073--1075}, year = {2020}, crossref = {DBLP:conf/ictc/2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289577}, doi = {10.1109/ICTC49870.2020.9289577}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YouKYLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/KaragiannisBBCF20, author = {Dimitris Karagiannis and Robert Andrei Buchmann and Xavier Boucher and Sergio Cavalieri and Adrian Florea and Dimitris Kiritsis and Moonkun Lee}, title = {OMiLAB: {A} Smart Innovation Environment for Digital Engineers}, booktitle = {Boosting Collaborative Networks 4.0 - 21st {IFIP} {WG} 5.5 Working Conference on Virtual Enterprises, {PRO-VE} 2020, Valencia, Spain, November 23-25, 2020, Proceedings}, pages = {273--282}, year = {2020}, crossref = {DBLP:conf/ifip5-5/2020}, url = {https://doi.org/10.1007/978-3-030-62412-5\_23}, doi = {10.1007/978-3-030-62412-5\_23}, timestamp = {Mon, 15 Feb 2021 15:12:32 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-5/KaragiannisBBCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkPFDFKOL20, author = {Jae{-}Jin Park and Kyung{-}Ae Park and Pierre{-}Yves Foucher and Philippe D{\'{e}}liot and St{\'{e}}phane Le Floch and Tae{-}Sung Kim and Sangwoo Oh and Moonjin Lee}, title = {Hazardous Noxious Substance Detection Based on Hyperspectral Remote Sensing Technique}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {2165--2168}, year = {2020}, crossref = {DBLP:conf/igarss/2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324029}, doi = {10.1109/IGARSS39084.2020.9324029}, timestamp = {Mon, 22 Feb 2021 16:46:47 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ParkPFDFKOL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/WooLKIIKML20, author = {Jiyong Woo and Miyoung Lee and Jeong Hun Kim and Jong{-}Pil Im and Solyee Im and Yeriaron Kim and Seung{-}Eon Moon and Joohyun Lee}, title = {Impact of Variability Issues of Resistive Memory Synapses on Pattern Recognition Systems}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {201--202}, year = {2020}, crossref = {DBLP:conf/isocc/2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333029}, doi = {10.1109/ISOCC50952.2020.9333029}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/WooLKIIKML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKAKKPLN20, author = {Yunhyeok Choi and Bohdan Karpinskyy and Kyoung{-}Moon Ahn and Yongsoo Kim and Soonkwan Kwon and Jieun Park and Yongki Lee and Mijung Noh}, title = {27.4 Physically Unclonable Function in 28nm {FD801} Technology Achieving High Reliability for {AEC-Q100} Grade 1 and 1SO26262 {ASIL-B}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {426--428}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063075}, doi = {10.1109/ISSCC19947.2020.9063075}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKAKKPLN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPSLJLC20, author = {Yunhong Kim and Sungsik Park and Seung{-}Woo Song and Sangwoo Lee and Moon Hyung Jang and Changuk Lee and Youngcheol Chae}, title = {23.8 {A} 41{\(\mu\)}W 16MS/s 99.2dB-SFDR Capacitively Degenerated Dynamic Amplifier with Nonlinear-Slope-Factor Compensation}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {358--360}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062982}, doi = {10.1109/ISSCC19947.2020.9062982}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPSLJLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LimMBNPPOLKSBCP20, author = {Jongyup Lim and Eunseong Moon and Michael Barrow and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Sechang Oh and Inhee Lee and Hun{-}Seok Kim and Dennis Sylvester and David T. Blaauw and Cynthia A. Chestek and Jamie Phillips and Tae{-}Kwang Jang}, title = {26.9 {A} 0.19{\texttimes}0.17mm\({}^{\mbox{2}}\) Wireless Neural Recording {IC} for Motor Prediction with Near-Infrared-Based Power and Data Telemetry}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {416--418}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063005}, doi = {10.1109/ISSCC19947.2020.9063005}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LimMBNPPOLKSBCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/LeeMJK20, author = {Gilhee Lee and Taegeun Moon and Min Jang and Hyoungshick Kim}, title = {eAPT: enhancing {APT} with a mirror site resolver}, booktitle = {2020 {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Coimbra, Portugal, October 12-15, 2020}, pages = {117--122}, year = {2020}, crossref = {DBLP:conf/issre/2020w}, url = {https://doi.org/10.1109/ISSREW51248.2020.00048}, doi = {10.1109/ISSREW51248.2020.00048}, timestamp = {Thu, 14 Jan 2021 08:55:20 +0100}, biburl = {https://dblp.org/rec/conf/issre/LeeMJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/YuKLJ20, author = {Jongmin Yu and Du Yong Kim and Younkwan Lee and Moongu Jeon}, title = {Unsupervised Pixel-level Road Defect Detection via Adversarial Image-to-Frequency Transform}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, pages = {1708--1713}, year = {2020}, crossref = {DBLP:conf/ivs/2020}, url = {https://doi.org/10.1109/IV47402.2020.9304843}, doi = {10.1109/IV47402.2020.9304843}, timestamp = {Fri, 15 Jan 2021 15:43:41 +0100}, biburl = {https://dblp.org/rec/conf/ivs/YuKLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/MoonCLKHK020, author = {Sehwa Moon and Dahim Choi and Ji{-}Yeon Lee and Myoung{-}Hee Kim and Helen Hong and Bong{-}Seog Kim and Jang{-}Hwan Choi}, title = {Machine learning-powered prediction of recurrence in patients with non-small cell lung cancer using quantitative clinical and radiomic biomarkers}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, year = {2020}, crossref = {DBLP:conf/micad/2020}, url = {https://doi.org/10.1117/12.2549962}, doi = {10.1117/12.2549962}, timestamp = {Tue, 05 Mar 2024 15:24:16 +0100}, biburl = {https://dblp.org/rec/conf/micad/MoonCLKHK020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MoonLSKKL20, author = {Jihwan Moon and Hoon Lee and Chang{-}Ick Song and Seowoo Kang and Minseok Kim and Inkyu Lee}, title = {Full-Duplex Spoofing Relays for Wireless Surveillance With Inter-Relay Interference Suppression}, booktitle = {91st {IEEE} Vehicular Technology Conference, {VTC} Spring 2020, Antwerp, Belgium, May 25-28, 2020}, pages = {1--5}, year = {2020}, crossref = {DBLP:conf/vtc/2020s}, url = {https://doi.org/10.1109/VTC2020-Spring48590.2020.9129441}, doi = {10.1109/VTC2020-SPRING48590.2020.9129441}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MoonLSKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/JeonMKKLHC20, author = {Hong{-}Bae Jeon and Hyung{-}Joo Moon and Soo{-}Min Kim and Do{-}Hoon Kwon and Joon{-}Woo Lee and Sang{-}Kook Han and Chan{-}Byoung Chae}, title = {Demo: {A} Unified Platform of Free-Space Optics for High-Quality Video Transmission}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference Workshops, {WCNC} Workshops 2020, Seoul, Korea (South), April 6-9, 2020}, pages = {1--2}, year = {2020}, crossref = {DBLP:conf/wcnc/2020w}, url = {https://doi.org/10.1109/WCNCW48565.2020.9124814}, doi = {10.1109/WCNCW48565.2020.9124814}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/JeonMKKLHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-11175, author = {Jongmin Yu and Du Yong Kim and Younkwan Lee and Moongu Jeon}, title = {Unsupervised Pixel-level Road Defect Detection via Adversarial Image-to-Frequency Transform}, journal = {CoRR}, volume = {abs/2001.11175}, year = {2020}, url = {https://arxiv.org/abs/2001.11175}, eprinttype = {arXiv}, eprint = {2001.11175}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-11175.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-06954, author = {Hong{-}Bae Jeon and Hyung{-}Joo Moon and Soo{-}Min Kim and Do{-}Hoon Kwon and Joon{-}Woo Lee and Sang{-}Kook Han and Chan{-}Byoung Chae}, title = {Demo: {A} Unified Platform of Free-Space Optics for High-Quality Video Transmission}, journal = {CoRR}, volume = {abs/2005.06954}, year = {2020}, url = {https://arxiv.org/abs/2005.06954}, eprinttype = {arXiv}, eprint = {2005.06954}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-06954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14352, author = {Simon Yusuf Enoch and Zhibin Huang and Chun Yong Moon and Donghwan Lee and Myung Kil Ahn and Dong Seong Kim}, title = {HARMer: Cyber-attacks Automation and Evaluation}, journal = {CoRR}, volume = {abs/2006.14352}, year = {2020}, url = {https://arxiv.org/abs/2006.14352}, eprinttype = {arXiv}, eprint = {2006.14352}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-15311, author = {Hoseok Ryu and Minseok Kim and Seunghwan Lee and Moon Seok Park and Kyoung{-}Min Lee and Jehee Lee}, title = {Functionality-Driven Musculature Retargeting}, journal = {CoRR}, volume = {abs/2007.15311}, year = {2020}, url = {https://arxiv.org/abs/2007.15311}, eprinttype = {arXiv}, eprint = {2007.15311}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-15311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-02189, author = {Yechan Kim and Younkwan Lee and Moongu Jeon}, title = {Imbalanced Image Classification with Complement Cross Entropy}, journal = {CoRR}, volume = {abs/2009.02189}, year = {2020}, url = {https://arxiv.org/abs/2009.02189}, eprinttype = {arXiv}, eprint = {2009.02189}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-02189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-11543, author = {Yong Sik Kwon and Cheol Ryu and Sang Kyun Cha and Arthur H. Lee and Kunsoo Park and Bongki Moon}, title = {Compressed Key Sort and Fast Index Reconstruction}, journal = {CoRR}, volume = {abs/2009.11543}, year = {2020}, url = {https://arxiv.org/abs/2009.11543}, eprinttype = {arXiv}, eprint = {2009.11543}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-11543.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-00448, author = {Hyokeun Lee and Seungyong Lee and Byeongki Song and Moonsoo Kim and Seokbo Shim and Hyun Kim and Hyuk{-}Jae Lee}, title = {Mitigating Write Disturbance Errors of Phase-Change Memory as In-Module Approach}, journal = {CoRR}, volume = {abs/2011.00448}, year = {2020}, url = {https://arxiv.org/abs/2011.00448}, eprinttype = {arXiv}, eprint = {2011.00448}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-00448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12893, author = {Myunggi Lee and Wonwoong Cho and Moonheum Kim and David I. Inouye and Nojun Kwak}, title = {StyleUV: Diverse and High-fidelity {UV} Map Generative Model}, journal = {CoRR}, volume = {abs/2011.12893}, year = {2020}, url = {https://arxiv.org/abs/2011.12893}, eprinttype = {arXiv}, eprint = {2011.12893}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12893.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-15020, author = {Moonyoung Lee and Youngsun Kwon and Sebin Lee and Jonghun Choe and Junyong Park and Hyobin Jeong and Yujin Heo and Min{-}Su Kim and Sungho Jo and Sung{-}Eui Yoon and Jun{-}Ho Oh}, title = {Dynamic Humanoid Locomotion over Uneven Terrain With Streamlined Perception-Control Pipeline}, journal = {CoRR}, volume = {abs/2011.15020}, year = {2020}, url = {https://arxiv.org/abs/2011.15020}, eprinttype = {arXiv}, eprint = {2011.15020}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-15020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-00317, author = {Youngwan Lee and Hyungil Kim and Kimin Yun and Jinyoung Moon}, title = {Diverse Temporal Aggregation and Depthwise Spatiotemporal Factorization for Efficient Video Classification}, journal = {CoRR}, volume = {abs/2012.00317}, year = {2020}, url = {https://arxiv.org/abs/2012.00317}, eprinttype = {arXiv}, eprint = {2012.00317}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-00317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-04254, author = {Junmo Lee and Seongjun Kim and Sang{-}Hyeon Park and Soo{-}Mook Moon}, title = {RouTEE: {A} Secure Payment Network Routing Hub using Trusted Execution Environments}, journal = {CoRR}, volume = {abs/2012.04254}, year = {2020}, url = {https://arxiv.org/abs/2012.04254}, eprinttype = {arXiv}, eprint = {2012.04254}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-04254.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-09392, author = {Seung Jun Moon and Sangwoo Mo and Kimin Lee and Jaeho Lee and Jinwoo Shin}, title = {{MASKER:} Masked Keyword Regularization for Reliable Text Classification}, journal = {CoRR}, volume = {abs/2012.09392}, year = {2020}, url = {https://arxiv.org/abs/2012.09392}, eprinttype = {arXiv}, eprint = {2012.09392}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-09392.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChoHKLLMY20, author = {Jihoon Cho and Jincheol Ha and Seongkwang Kim and Joohee Lee and Jooyoung Lee and Dukjae Moon and Hyojin Yoon}, title = {Hybrid Framework for Approximate Computation over Encrypted Data}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1335}, year = {2020}, url = {https://eprint.iacr.org/2020/1335}, timestamp = {Wed, 11 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChoHKLLMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKKKLSL19, author = {Donghwa Kim and Pilsung Kang and Junhong Kim and Czang Yeob Kim and Jong{-}Ha Lee and Sangil Suh and Moon{-}Soo Lee}, title = {Machine Learning Classification of First-Onset Drug-Naive {MDD} Using Structural {MRI}}, journal = {{IEEE} Access}, volume = {7}, pages = {153977--153985}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2949128}, doi = {10.1109/ACCESS.2019.2949128}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKKKLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRLKK19, author = {Joongsik Kim and Moonsoo Ra and Hongjun Lee and Jeyeon Kim and Whoi{-}Yul Kim}, title = {Precise 3D Baseball Pitching Trajectory Estimation Using Multiple Unsynchronized Cameras}, journal = {{IEEE} Access}, volume = {7}, pages = {166463--166475}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2953340}, doi = {10.1109/ACCESS.2019.2953340}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimRLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHHKCB19, author = {Sang{-}Woo Lee and Jun Hur and Moon{-}Beom Heo and Sunwoo Kim and Hosung Choo and Gangil Byun}, title = {A Suboptimal Approach to Antenna Design Problems With Kernel Regression}, journal = {{IEEE} Access}, volume = {7}, pages = {17461--17468}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2896658}, doi = {10.1109/ACCESS.2019.2896658}, timestamp = {Sat, 02 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeHHKCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKC19, author = {Jinbae Lee and Bobae Kim and Jong{-}Moon Chung}, title = {Time Estimation and Resource Minimization Scheme for Apache Spark and Hadoop Big Data Systems With Failures}, journal = {{IEEE} Access}, volume = {7}, pages = {9658--9666}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891001}, doi = {10.1109/ACCESS.2019.2891001}, timestamp = {Thu, 14 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeMBLK19, author = {Taekyu Lee and Seong{-}Eun Moon and Jongsoo Baek and Jong{-}Seok Lee and Songkuk Kim}, title = {Music for Sleep and Wake-Up: An Empirical Study}, journal = {{IEEE} Access}, volume = {7}, pages = {145816--145828}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945404}, doi = {10.1109/ACCESS.2019.2945404}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeMBLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonKKJCL19, author = {Seungsik Moon and In{-}Soo Kim and Dongyun Kam and Dong{-}Woo Jee and Junil Choi and Youngjoo Lee}, title = {Massive {MIMO} Systems With Low-Resolution ADCs: Baseband Energy Consumption vs. Symbol Detection Performance}, journal = {{IEEE} Access}, volume = {7}, pages = {6650--6660}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2890427}, doi = {10.1109/ACCESS.2018.2890427}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MoonKKJCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooMYLK19, author = {Samuel Woo and Daesung Moon and Taek{-}Young Youn and Yousik Lee and Yongeun Kim}, title = {{CAN} {ID} Shuffling Technique {(CIST):} Moving Target Defense Strategy for Protecting In-Vehicle {CAN}}, journal = {{IEEE} Access}, volume = {7}, pages = {15521--15536}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2892961}, doi = {10.1109/ACCESS.2019.2892961}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WooMYLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/KimLM19, author = {Dongwook Kim and Kyungsik Lee and Ilkyeong Moon}, title = {Stochastic facility location model for drones considering uncertain flight distance}, journal = {Ann. Oper. Res.}, volume = {283}, number = {1-2}, pages = {1283--1302}, year = {2019}, url = {https://doi.org/10.1007/s10479-018-3114-6}, doi = {10.1007/S10479-018-3114-6}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/KimLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HurKLMLK19, author = {Benjamin Hur and Dongwon Kang and Sangseon Lee and Ji Hwan Moon and Gung Lee and Sun Kim}, title = {Venn-diaNet : venn diagram based network propagation analysis framework for comparing multiple biological experiments}, journal = {{BMC} Bioinform.}, volume = {20-S}, number = {23}, pages = {667}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-3302-7}, doi = {10.1186/S12859-019-3302-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HurKLMLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmot/ShinKKLBM19, author = {Su{-}Jin Shin and Ahram Kang and Doyun Kim and Junseok Lee and Jang Won Bae and Il{-}Chul Moon}, title = {Improving counterfire operations with enhanced command and control structure}, journal = {Comput. Math. Organ. Theory}, volume = {25}, number = {4}, pages = {464--498}, year = {2019}, url = {https://doi.org/10.1007/s10588-018-9278-4}, doi = {10.1007/S10588-018-9278-4}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmot/ShinKKLBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcis/LeeKM19, author = {Sunmin Lee and Jinah Kim and Nammee Moon}, title = {Random forest and WiFi fingerprint-based indoor location recognition system using smart watch}, journal = {Hum. centric Comput. Inf. Sci.}, volume = {9}, pages = {6}, year = {2019}, url = {https://doi.org/10.1186/s13673-019-0168-7}, doi = {10.1186/S13673-019-0168-7}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hcis/LeeKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WonSJLLPSMS19, author = {You{-}Sun Won and Dongseung Shin and Sohee Jung and Jae{-}Ho Lee and Cheolhyo Lee and Miryong Park and Yunjeong Song and Kiyoung Moon and Dong{-}Wook Seo}, title = {Method to improve degraded range resolution due to non-ideal factors in {FMCW} radar}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {1}, pages = {20180924}, year = {2019}, url = {https://doi.org/10.1587/elex.15.20180924}, doi = {10.1587/ELEX.15.20180924}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WonSJLLPSMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimCSLKMK19, author = {Min{-}Joon Kim and Sung{-}Hun Chae and Young{-}Bo Shim and Dong{-}Hyun Lee and Myung{-}Jin Kim and Yeon{-}Kug Moon and Kon{-}Woo Kwon}, title = {Design and Implementation of Magnetic Induction based Wireless Underground Communication System Supporting Distance Measurement}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {8}, pages = {4227--4240}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.08.023}, doi = {10.3837/TIIS.2019.08.023}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimCSLKMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/YangLJKKM19, author = {Hyeon Seok Yang and Jong Min Lee and Woojin Jeong and Seung{-}Hee Kim and Sun{-}Joong Kim and Young Shik Moon}, title = {Improved Quality Keyframe Selection Method for {HD} Video}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {6}, pages = {3074--3091}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.06.017}, doi = {10.3837/TIIS.2019.06.017}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/YangLJKKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/SongLM19, author = {Hyejin Song and Kihoon Lee and Nammee Moon}, title = {User Modeling Using User Preference and User Life Pattern Based on Personal Bio Data and {SNS} Data}, journal = {J. Inf. Process. Syst.}, volume = {15}, number = {3}, pages = {645--654}, year = {2019}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=676}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/SongLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JeonKHLKKPJKMNK19, author = {Sungwoong Jeon and Sangwon Kim and Shinwon Ha and Seungmin Lee and Eunhee Kim and So Yeun Kim and Sun Hwa Park and Jung Ho Jeon and Sung Won Kim and Cheil Moon and Bradley J. Nelson and Jin{-}young Kim and Seong{-}Woon Yu and Hongsoo Choi}, title = {Magnetically actuated microrobots as a platform for stem cell transplantation}, journal = {Sci. Robotics}, volume = {4}, number = {30}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aav4317}, doi = {10.1126/SCIROBOTICS.AAV4317}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JeonKHLKKPJKMNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnBKLM19, author = {Hongmin Ahn and Kyounghun Been and In{-}Dong Kim and Chong Hyun Lee and Wonkyu Moon}, title = {A Critical Step to Using a Parametric Array Loudspeaker in Mobile Devices}, journal = {Sensors}, volume = {19}, number = {20}, pages = {4449}, year = {2019}, url = {https://doi.org/10.3390/s19204449}, doi = {10.3390/S19204449}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnBKLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BakLKLWLY19, author = {So{-}Young Bak and Jeongseok Lee and Yoojong Kim and Se{-}Hyeong Lee and Kyoungwan Woo and Sanghyun Lee and Moonsuk Yi}, title = {Sensitivity Improvement of Urchin-Like ZnO Nanostructures Using Two-Dimensional Electron Gas in MgZnO/ZnO}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5195}, year = {2019}, url = {https://doi.org/10.3390/s19235195}, doi = {10.3390/S19235195}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BakLKLWLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuanKLK19, author = {Xintao Huan and Kyeong Soo Kim and Sanghyuk Lee and Moon Keun Kim}, title = {Optimal Message Bundling with Delay and Synchronization Constraints in Wireless Sensor Networks}, journal = {Sensors}, volume = {19}, number = {18}, pages = {4027}, year = {2019}, url = {https://doi.org/10.3390/s19184027}, doi = {10.3390/S19184027}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuanKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongSLPMK19, author = {Hyeryun Jeong and Myeongsu Seong and Hyun{-}Suk Lee and Kwangsung Park and Sucbei Moon and Jae Gwan Kim}, title = {Design of an Optical Probe to Monitor Vaginal Hemodynamics during Sexual Arousal}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2129}, year = {2019}, url = {https://doi.org/10.3390/s19092129}, doi = {10.3390/S19092129}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JeongSLPMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPL19, author = {Moonseong Kim and Sooyeon Park and Woochan Lee}, title = {Energy and Distance-Aware Hopping Sensor Relocation for Wireless Sensor Networks}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1567}, year = {2019}, url = {https://doi.org/10.3390/s19071567}, doi = {10.3390/S19071567}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeIKLM19, author = {Jaewoo Lee and Jong{-}Pil Im and Jeong{-}Hun Kim and Sol{-}Yee Lim and Seung{-}Eon Moon}, title = {Wafer-Level-Based Open-Circuit Sensitivity Model from Theoretical {ALEM} and Empirical {OSCM} Parameters for a Capacitive {MEMS} Acoustic Sensor}, journal = {Sensors}, volume = {19}, number = {3}, pages = {488}, year = {2019}, url = {https://doi.org/10.3390/s19030488}, doi = {10.3390/S19030488}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeIKLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLBKWLLY19, author = {Jeongseok Lee and Se{-}Hyeong Lee and So{-}Young Bak and Yoojong Kim and Kyoungwan Woo and Sanghyun Lee and Yooseong Lim and Moonsuk Yi}, title = {Improved Sensitivity of {\(\alpha\)}-Fe\({}_{\mbox{2}}\)O\({}_{\mbox{3}}\) Nanoparticle-Decorated ZnO Nanowire Gas Sensor for {CO}}, journal = {Sensors}, volume = {19}, number = {8}, pages = {1903}, year = {2019}, url = {https://doi.org/10.3390/s19081903}, doi = {10.3390/S19081903}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeLBKWLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamLSKBK19, author = {Hyung Seok Nam and Woo Hyung Lee and Han Gil Seo and Yoon Jae Kim and Moon Suk Bang and Sungwan Kim}, title = {Inertial Measurement Unit Based Upper Extremity Motion Characterization for Action Research Arm Test and Activities of Daily Living}, journal = {Sensors}, volume = {19}, number = {8}, pages = {1782}, year = {2019}, url = {https://doi.org/10.3390/s19081782}, doi = {10.3390/S19081782}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamLSKBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhLHKOLJMKPMCH19, author = {Seo Yeong Oh and Min Ji Lee and Nam Su Heo and Suji Kim and Jeong Su Oh and Yuseon Lee and Eun Jeong Jeon and Hyungsil Moon and Hyung Soo Kim and Tae Jung Park and Guiim Moon and Hyang Sook Chun and Yun Suk Huh}, title = {Cuvette-Type {LSPR} Sensor for Highly Sensitive Detection of Melamine in Infant Formulas}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3839}, year = {2019}, url = {https://doi.org/10.3390/s19183839}, doi = {10.3390/S19183839}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/OhLHKOLJMKPMCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoLMKBLC19, author = {Youngwook Seo and Hoonsoo Lee and Changyeun Mo and Moon S. Kim and In{-}Suck Baek and Jae{-}Young Lee and Byoung{-}Kwan Cho}, title = {Multispectral Fluorescence Imaging Technique for On-Line Inspection of Fecal Residues on Poultry Carcasses}, journal = {Sensors}, volume = {19}, number = {16}, pages = {3483}, year = {2019}, url = {https://doi.org/10.3390/s19163483}, doi = {10.3390/S19163483}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoLMKBLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimCKL19, author = {Moonsoo Kim and Jungwoo Choi and Hyun Kim and Hyuk{-}Jae Lee}, title = {An Effective {DRAM} Address Remapping for Mitigating Rowhammer Errors}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1428--1441}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2907248}, doi = {10.1109/TC.2019.2907248}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/KimCKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimCL19, author = {Moonsoo Kim and Ik{-}Joon Chang and Hyuk{-}Jae Lee}, title = {Segmented Tag Cache: {A} Novel Cache Organization for Reducing Dynamic Read Energy}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1546--1552}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2906872}, doi = {10.1109/TC.2019.2906872}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeKKKL19, author = {Hyokeun Lee and Moonsoo Kim and Hyunchul Kim and Hyun Kim and Hyuk{-}Jae Lee}, title = {Integration and Boost of a Read-Modify-Write Module in Phase Change Memory System}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {12}, pages = {1772--1784}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2933826}, doi = {10.1109/TC.2019.2933826}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/LeeKKKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HwangMJKPHL19, author = {Seokha Hwang and Seungsik Moon and Jaehwan Jung and Daesung Kim and In{-}Cheol Park and Jeongseok Ha and Youngjoo Lee}, title = {Energy-Efficient Symmetric {BC-BCH} Decoder Architecture for Mobile Storages}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {11}, pages = {4462--4475}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2922983}, doi = {10.1109/TCSI.2019.2922983}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HwangMJKPHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/LeeMHJJKPK19, author = {Hojoon Lee and Hyungon Moon and Ingoo Heo and DaeHee Jang and Jinsoo Jang and Kihwan Kim and Yunheung Paek and Brent ByungHoon Kang}, title = {KI-Mon {ARM:} {A} Hardware-Assisted Event-triggered Monitoring Platform for Mutable Kernel Object}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {16}, number = {2}, pages = {287--300}, year = {2019}, url = {https://doi.org/10.1109/TDSC.2017.2679710}, doi = {10.1109/TDSC.2017.2679710}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/LeeMHJJKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LeeJBMLL19, author = {Duk{-}Ho Lee and Changwon Jang and Kiseung Bang and Seokil Moon and Gang Li and Byoungho Lee}, title = {Speckle Reduction for Holographic Display Using Optical Path Difference and Random Phase Generator}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {11}, pages = {6170--6178}, year = {2019}, url = {https://doi.org/10.1109/TII.2019.2927454}, doi = {10.1109/TII.2019.2927454}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LeeJBMLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeeMKL19, author = {Jooyoung Lee and Daesung Moon and Ikkyun Kim and Youngseok Lee}, title = {A semantic approach to improving machine readability of a large-scale attack graph}, journal = {J. Supercomput.}, volume = {75}, number = {6}, pages = {3028--3045}, year = {2019}, url = {https://doi.org/10.1007/s11227-018-2394-6}, doi = {10.1007/S11227-018-2394-6}, timestamp = {Sun, 19 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeeMKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ByeonLKC19, author = {Moonsub Byeon and Minsik Lee and Kikyung Kim and Jin Young Choi}, title = {Variational Inference for 3-D Localization and Tracking of Multiple Targets Using Multiple Cameras}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {30}, number = {11}, pages = {3260--3274}, year = {2019}, url = {https://doi.org/10.1109/TNNLS.2018.2890526}, doi = {10.1109/TNNLS.2018.2890526}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/ByeonLKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChoiSCPL19, author = {Jongwoo Choi and Youngmee Shin and Moonok Choi and Wan{-}Ki Park and Il{-}Woo Lee}, title = {Robust Control of a Microgrid Energy Storage System Using Various Approaches}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {3}, pages = {2702--2712}, year = {2019}, url = {https://doi.org/10.1109/TSG.2018.2808914}, doi = {10.1109/TSG.2018.2808914}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChoiSCPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimMLJ19, author = {Jonghun Kim and Hector John T. Manaligod and Jieun Lee and Sun{-}Moon Jo}, title = {Cloud Networking Computing}, journal = {Wirel. Pers. Commun.}, volume = {105}, number = {2}, pages = {399--404}, year = {2019}, url = {https://doi.org/10.1007/s11277-019-06152-0}, doi = {10.1007/S11277-019-06152-0}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimMLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MoonKPALK19, author = {Eunbae Moon and Muhammad Toaha Raza Khan and Hyeongcheol Park and Syed Hassan Ahmed and Sungwon Lee and Dongkyun Kim}, title = {{OMRI-MAC:} Optimized Multi-transmission Receiver-Initiated {MAC} in Underwater Wireless Sensor Networks}, journal = {Wirel. Pers. Commun.}, volume = {107}, number = {3}, pages = {1491--1505}, year = {2019}, url = {https://doi.org/10.1007/s11277-018-5977-x}, doi = {10.1007/S11277-018-5977-X}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/MoonKPALK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChoiEMJLKK19, author = {Kwang{-}Seong Choi and Yong{-}Sung Eom and Seok Hwan Moon and Jiho Joo and Kwangjoo Lee and Jung Hak Kim and Ju Hyeon Kim}, title = {Development of Laser-Assisted Bonding with Compression {(LABC)} Process for 3D {IC} Integration}, booktitle = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, pages = {1--3}, year = {2019}, crossref = {DBLP:conf/3dic/2019}, url = {https://doi.org/10.1109/3DIC48104.2019.9058890}, doi = {10.1109/3DIC48104.2019.9058890}, timestamp = {Sun, 19 Apr 2020 18:46:53 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChoiEMJLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/TufailPLMK19, author = {Muhammad Tufail and Sangjin Park and Haebin Lee and Yang Gyu Moon and KwanMyung Kim}, title = {Discomfort with Low-back Pain Relief Exercise Training for Older Adult Women}, booktitle = {Advances in Interdisciplinary Practice in Industrial Design - Proceedings of the {AHFE} 2019 International Conference on Interdisciplinary Practice in Industrial Design, Washington, DC, USA, July 24-28, 2019}, pages = {283--288}, year = {2019}, crossref = {DBLP:conf/ahfe/2019-17}, url = {https://doi.org/10.1007/978-3-030-20470-9\_30}, doi = {10.1007/978-3-030-20470-9\_30}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/TufailPLMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, year = {2019}, crossref = {DBLP:conf/bibm/2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0005KMY19, author = {Dongwook Lee and Junyoung Kim and Won{-}Jin Moon and Jong Chul Ye}, title = {CollaGAN: Collaborative {GAN} for Missing Image Data Imputation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2487--2496}, year = {2019}, crossref = {DBLP:conf/cvpr/2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Lee\_CollaGAN\_Collaborative\_GAN\_for\_Missing\_Image\_Data\_Imputation\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00259}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0005KMY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NahTBHMSL19, author = {Seungjun Nah and Radu Timofte and Sungyong Baik and Seokil Hong and Gyeongsik Moon and Sanghyun Son and Kyoung Mu Lee and Xintao Wang and Kelvin C. K. Chan and Ke Yu and Chao Dong and Chen Change Loy and Yuchen Fan and Jiahui Yu and Ding Liu and Thomas S. Huang and Hyeonjun Sim and Munchurl Kim and Dongwon Park and Jisoo Kim and Se Young Chun and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Rahul Kumar Gupta and Vishal M. Chudasama and Heena Patel and Kishor P. Upla and Hongfei Fan and Guo Li and Yumei Zhang and Xiang Li and Wenjie Zhang and Qingwen He and Kuldeep Purohit and A. N. Rajagopalan and Jeonghun Kim and Mohammad Tofighi and Tiantong Guo and Vishal Monga}, title = {{NTIRE} 2019 Challenge on Video Deblurring: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {1974--1984}, year = {2019}, crossref = {DBLP:conf/cvpr/2019w}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Nah\_NTIRE\_2019\_Challenge\_on\_Video\_Deblurring\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00249}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/NahTBHMSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NahTGBHMSL19, author = {Seungjun Nah and Radu Timofte and Shuhang Gu and Sungyong Baik and Seokil Hong and Gyeongsik Moon and Sanghyun Son and Kyoung Mu Lee and Xintao Wang and Kelvin C. K. Chan and Ke Yu and Chao Dong and Chen Change Loy and Yuchen Fan and Jiahui Yu and Ding Liu and Thomas S. Huang and Xiao Liu and Chao Li and Dongliang He and Yukang Ding and Shilei Wen and Fatih Porikli and Ratheesh Kalarot and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Peng Yi and Zhongyuan Wang and Kui Jiang and Junjun Jiang and Jiayi Ma and Hang Dong and Xinyi Zhang and Zhe Hu and Kwan{-}Young Kim and Dong Un Kang and Se Young Chun and Kuldeep Purohit and A. N. Rajagopalan and Yapeng Tian and Yulun Zhang and Yun Fu and Chenliang Xu and Ahmet Murat Tekalp and Mustafa Akin Yilmaz and Cansu Korkmaz and Manoj Sharma and Megh Makwana and Anuj Badhwar and Ajay Pratap Singh and Avinash Upadhyay and Rudrabha Mukhopadhyay and Ankit Shukla and Dheeraj Khanna and A. S. Mandal and Santanu Chaudhury and Si Miao and Yongxin Zhu and Xiao Huo}, title = {{NTIRE} 2019 Challenge on Video Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {1985--1995}, year = {2019}, crossref = {DBLP:conf/cvpr/2019w}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Nah\_NTIRE\_2019\_Challenge\_on\_Video\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00250}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/NahTGBHMSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKML19, author = {Youngjae Lee and Wonjong Kim and Kiyoung Moon and Kil{-}Taek Lim}, title = {A Mobile Edge Computing Device to Support Data Collecting and Processing from IoT}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, year = {2019}, crossref = {DBLP:conf/elinfocom/2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706465}, doi = {10.23919/ELINFOCOM.2019.8706465}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LeeMKY19, author = {Seung{-}Ju Lee and Hyun{-}Ji Moon and Da{-}Jung Kim and Yourim Yoon}, title = {Genetic algorithm-based feature selection for depression scale prediction}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, pages = {65--66}, year = {2019}, crossref = {DBLP:conf/gecco/2019c}, url = {https://doi.org/10.1145/3319619.3326779}, doi = {10.1145/3319619.3326779}, timestamp = {Thu, 11 Jul 2019 09:46:27 +0200}, biburl = {https://dblp.org/rec/conf/gecco/LeeMKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/ParkLKY19, author = {Seongjae Park and Yunjae Lee and Moonsub Kim and Heon Y. Yeom}, title = {Automating Context-Based Access Pattern Hint Injection for System Performance and Swap Storage Durability}, booktitle = {11th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2019, Renton, WA, USA, July 8-9, 2019}, year = {2019}, crossref = {DBLP:conf/hotstorage/2019}, url = {https://www.usenix.org/conference/hotstorage19/presentation/park}, timestamp = {Tue, 02 Feb 2021 07:59:33 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/ParkLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KimKLKM19, author = {Keunwook Kim and Minkyung Kim and Hotae Lee and Jaehoon Kim and Jungwook Moon}, title = {A Contextual Inquiry of {AVEC:} Power Assist Wheelchair Enhancing Communication}, booktitle = {14th {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2019, Daegu, South Korea, March 11-14, 2019}, pages = {642--643}, year = {2019}, crossref = {DBLP:conf/hri/2019}, url = {https://doi.org/10.1109/HRI.2019.8673238}, doi = {10.1109/HRI.2019.8673238}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/KimKLKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KimKLKM19a, author = {Jaehoon Kim and Minkyung Kim and Hotae Lee and Keunwook Kim and Jungwook Moon}, title = {{AVEC:} Power Assist Vehicle Enriching Communication Using Intuitive Interface}, booktitle = {14th {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2019, Daegu, South Korea, March 11-14, 2019}, pages = {765--766}, year = {2019}, crossref = {DBLP:conf/hri/2019}, url = {https://doi.org/10.1109/HRI.2019.8673276}, doi = {10.1109/HRI.2019.8673276}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/KimKLKM19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/KimLMN19, author = {Hyun{-}Woo Kim and Keonsoo Lee and Chanki Moon and Yunyoung Nam}, title = {Comparative Analysis of Machine Learning Algorithms along with Classifiers for {AF} Detection using a Scale}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {427--429}, year = {2019}, crossref = {DBLP:conf/icaiic/2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8669084}, doi = {10.1109/ICAIIC.2019.8669084}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/KimLMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/LeeKMN19, author = {Keonsoo Lee and Hyun{-}Woo Kim and Chanki Moon and Yunyoung Nam}, title = {Analysis of Vocal Disorders using Cobweb Clustering}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {120--123}, year = {2019}, crossref = {DBLP:conf/icaiic/2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8669011}, doi = {10.1109/ICAIIC.2019.8669011}, timestamp = {Mon, 08 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/LeeKMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeLMK19, author = {Geon Woo Lee and Jung Hyuk Lee and Jung Min Moon and Hong Kook Kim}, title = {Non-linear Acoustic Echo Cancellation Based on Mel-Frequency Domain Volterra Filtering}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019, Las Vegas, NV, USA, January 11-13, 2019}, pages = {1--2}, year = {2019}, crossref = {DBLP:conf/iccel/2019}, url = {https://doi.org/10.1109/ICCE.2019.8662105}, doi = {10.1109/ICCE.2019.8662105}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeLMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimMHKLSY19, author = {Daewoo Kim and Sangwoo Moon and David Hostallero and Wan Ju Kang and Taeyoung Lee and Kyunghwan Son and Yung Yi}, title = {Learning to Schedule Communication in Multi-agent Reinforcement Learning}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, year = {2019}, crossref = {DBLP:conf/iclr/2019}, url = {https://openreview.net/forum?id=SJxu5iR9KQ}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimMHKLSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeLLKPJKSLMKC19, author = {Yoon Haeng Lee and Young Hun Lee and Hyunyong Lee and Hansol Kang and Luong Tin Phan and Sung Moon Jin and Yong Bum Kim and Dong{-}Yeop Seok and Seung Yeon Lee and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {Force-controllable Quadruped Robot System with Capacitive-type Joint Torque Sensor}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {6777--6782}, year = {2019}, crossref = {DBLP:conf/icra/2019}, url = {https://doi.org/10.1109/ICRA.2019.8794459}, doi = {10.1109/ICRA.2019.8794459}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeLLKPJKSLMKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KimLBK19, author = {Yunho Kim and Dongju Lee and Junki Baek and Moonzoo Kim}, title = {Concolic testing for high test coverage and reduced human effort in automotive industry}, booktitle = {Proceedings of the 41st International Conference on Software Engineering: Software Engineering in Practice, {ICSE} {(SEIP)} 2019, Montreal, QC, Canada, May 25-31, 2019}, pages = {151--160}, year = {2019}, crossref = {DBLP:conf/icse/2019seip}, url = {https://doi.org/10.1109/ICSE-SEIP.2019.00024}, doi = {10.1109/ICSE-SEIP.2019.00024}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icse/KimLBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/KimL0JH19, author = {Seungtae Kim and Yonggu Lee and Jinho Choi and Moongu Jeon and Euiseok Hwang}, title = {Dynamic Key Update Strategy in Physical-Layer Challenge-Response Authentication}, booktitle = {13th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2019, Gold Coast, Australia, December 16-18, 2019}, pages = {1--6}, year = {2019}, crossref = {DBLP:conf/icspcs/2019}, url = {https://doi.org/10.1109/ICSPCS47537.2019.9008743}, doi = {10.1109/ICSPCS47537.2019.9008743}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icspcs/KimL0JH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/LeeHLKSKJ19, author = {Juhyun Lee and Yoojin Hong and Younkwan Lee and Hyun Soo Kim and Chul Han Song and Du Yong Kim and Moongu Jeon}, title = {Empirical Analysis of Tree-Based Models for {PM2.5} Concentration Prediction}, booktitle = {13th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2019, Gold Coast, Australia, December 16-18, 2019}, pages = {1--7}, year = {2019}, crossref = {DBLP:conf/icspcs/2019}, url = {https://doi.org/10.1109/ICSPCS47537.2019.9008645}, doi = {10.1109/ICSPCS47537.2019.9008645}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icspcs/LeeHLKSKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimMLBMSL19, author = {Jun Woo Kim and Jang{-}won Moon and Young Su Lee and Seungjae Bahng and Young{-}Jin Moon and Kyung Yeol Sohn and Hoon Lee}, title = {A Parallelized Synchronization Method for Wideband Wireless Systems}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {822--824}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939677}, doi = {10.1109/ICTC46691.2019.8939677}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimMLBMSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KwonMLK19, author = {Gi Hyeob Kwon and Chang Bae Moon and Jae{-}Min Lee and Dong{-}Seong Kim}, title = {Identification Method of Military Mobile Device Using for {C3I}}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {767--769}, year = {2019}, crossref = {DBLP:conf/ictc/2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939896}, doi = {10.1109/ICTC46691.2019.8939896}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KwonMLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeMK19, author = {Jong Yeol Lee and Chang Bae Moon and Byeong Man Kim}, title = {The Color-Music Relationship Direct Modeling using Machine Learning}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {629--631}, year = {2019}, crossref = {DBLP:conf/icufn/2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806131}, doi = {10.1109/ICUFN.2019.8806131}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LongLKKKM19, author = {Hoang Long and Suk{-}Hwan Lee and Ki{-}Ryong Kwon and Kyung{-}Won Kang and Oh{-}Jun Kwon and Kwang{-}Seok Moon}, title = {Beam reassignment method mitigates the blocking probability of Multi-Service {CDMA}}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {266--271}, year = {2019}, crossref = {DBLP:conf/icufn/2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806068}, doi = {10.1109/ICUFN.2019.8806068}, timestamp = {Wed, 28 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LongLKKKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/MoonLKK19, author = {Chang Bae Moon and Jong Yeol Lee and Dong{-}Seong Kim and Byeong Man Kim}, title = {Analysis of Mood Tags for Multimedia Content Recommendation in Social Networks}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {452--454}, year = {2019}, crossref = {DBLP:conf/icufn/2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806025}, doi = {10.1109/ICUFN.2019.8806025}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/MoonLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/MoonKL19, author = {Kwanyoung Moon and Yunmin Kim and Tae{-}Jin Lee}, title = {Energy Level-Based Adaptive Backscatter and Active Communication in Energy-Harvesting Network}, booktitle = {Proceedings of the 13th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2019, Phuket, Thailand, January 4-6, 2019}, pages = {111--119}, year = {2019}, crossref = {DBLP:conf/icuimc/2019}, url = {https://doi.org/10.1007/978-3-030-19063-7\_10}, doi = {10.1007/978-3-030-19063-7\_10}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/MoonKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimOCL19, author = {Tae{-}Sung Kim and Sangwoo Oh and Tae Byung Chun and Moonjin Lee}, title = {Impact of Atmospheric Correction on the Ship Detection Using Airborne Hyperspectral Image}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {2190--2192}, year = {2019}, crossref = {DBLP:conf/igarss/2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898766}, doi = {10.1109/IGARSS.2019.8898766}, timestamp = {Wed, 20 Nov 2019 17:11:08 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimOCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/KimOLK19, author = {Hansol Kim and Paul Oh and Sangyoon Lee and Moon Gi Kang}, title = {Patch-based image despeckling using low-rank Hankel matrix approach with speckle level estimation}, booktitle = {Image Processing: Algorithms and Systems XVII, Burlingame, CA, USA, 13-17 January 2019}, year = {2019}, crossref = {DBLP:conf/ipas/2019}, url = {https://doi.org/10.2352/ISSN.2470-1173.2019.11.IPAS-252}, doi = {10.2352/ISSN.2470-1173.2019.11.IPAS-252}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/KimOLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/LeeKHK19, author = {Haegeun Lee and Jonghyun Kim and Jaeduk Han and Moon Gi Kang}, title = {Multi-frame super-resolution utilizing spatially adaptive regularization for ToF camera}, booktitle = {Image Processing: Algorithms and Systems XVII, Burlingame, CA, USA, 13-17 January 2019}, year = {2019}, crossref = {DBLP:conf/ipas/2019}, url = {https://doi.org/10.2352/ISSN.2470-1173.2019.11.IPAS-275}, doi = {10.2352/ISSN.2470-1173.2019.11.IPAS-275}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/LeeKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/YunLML19, author = {Nayoung Yun and Hakjun Lee and Jiwon Moon and Ki{-}Baek Lee}, title = {Korean Customer Service Associate Assist System Based on Machine Learning}, booktitle = {3rd {IEEE} International Conference on Robotic Computing, {IRC} 2019, Naples, Italy, February 25-27, 2019}, pages = {544--548}, year = {2019}, crossref = {DBLP:conf/irc/2019}, url = {https://doi.org/10.1109/IRC.2019.00112}, doi = {10.1109/IRC.2019.00112}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/irc/YunLML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimM0L19, author = {Jehyeok Kim and Junyoung Moon and JongWon Kim and Giuk Lee}, title = {Design of Compact Variable Gravity Compensator {(CVGC)} Based on Cam and Variable Pivot of a Lever Mechanism}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {3583--3588}, year = {2019}, crossref = {DBLP:conf/iros/2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967609}, doi = {10.1109/IROS40897.2019.8967609}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/KimM0L19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimML19, author = {Hanjun Kim and Jiyoun Moon and Beomhee Lee}, title = {RGB-to-TSDF: Direct {TSDF} Prediction from a Single {RGB} Image for Dense 3D Reconstruction}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {6714--6720}, year = {2019}, crossref = {DBLP:conf/iros/2019}, url = {https://doi.org/10.1109/IROS40897.2019.8968566}, doi = {10.1109/IROS40897.2019.8968566}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeKCLLKKLPJM19, author = {Young Hun Lee and Ja Choon Koo and Hyouk Ryeol Choi and Yoon Haeng Lee and Hyunyong Lee and Hansol Kang and Yong Bum Kim and Jun Hyuk Lee and Luong Tin Phan and Sung Moon Jin and Hyungpil Moon}, title = {Whole-Body Motion and Landing Force Control for Quadrupedal Stair Climbing}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4746--4751}, year = {2019}, crossref = {DBLP:conf/iros/2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967527}, doi = {10.1109/IROS40897.2019.8967527}, timestamp = {Fri, 31 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeKCLLKKLPJM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeMKS19, author = {Won{-}Bum Lee and Byung{-}Yoon Moon and Tae{-}Jung Kim and Jae{-}Bok Song}, title = {Wall-Mounted Robot Arm Equipped with 3-DOF Roll-Pitch-Pitch Counterbalance Mechanism}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {3571--3576}, year = {2019}, crossref = {DBLP:conf/iros/2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967817}, doi = {10.1109/IROS40897.2019.8967817}, timestamp = {Fri, 31 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeMKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/KimHKSKLLHML19, author = {So{-}Young Kim and Sunwoo Heo and Kiyung Kim and Myungwoo Son and Seung{-}Mo Kim and Ho{-}In Lee and Yongsu Lee and Hyeon Jun Hwang and Moon{-}Ho and Byoung Hun Lee}, title = {Demonstration of ternary devices and circuits using dual channel graphene barristors}, booktitle = {2019 {IEEE} 49th International Symposium on Multiple-Valued Logic (ISMVL), Fredericton, NB, Canada, May 21-23, 2019}, pages = {25--30}, year = {2019}, crossref = {DBLP:conf/ismvl/2019}, url = {https://doi.org/10.1109/ISMVL.2019.00013}, doi = {10.1109/ISMVL.2019.00013}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/KimHKSKLLHML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLMK19, author = {Jihye Kim and Sangjun Lee and Minho Moon and Sungho Kang}, title = {Transition-delay Test Methodology for Designs with Self-gating}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {93--94}, year = {2019}, crossref = {DBLP:conf/isocc/2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9078524}, doi = {10.1109/ISOCC47750.2019.9078524}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimLMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBGPSCKKKJMH19, author = {Inhak Lee and Hanwool Jeong and Sangyeop Baeck and Siddharth Gupta and Changnam Park and Dongwook Seo and Jaeseung Choi and Jaeyoung Kim and Hoon Kim and Jungmyung Kang and Sunyung Jang and Daeyoung Moon and Sangshin Han and Taehyung Kim and Jaehyun Lim and Younghwan Park and Hyejin Hwang and Jeonseung Kang and Taejoong Song}, title = {A Voltage and Temperature Tracking {SRAM} Assist Supporting 740mV Dual-Rail Offset for Low-Power and High-Performance Applications in 7nm {EUV} FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {392--394}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662439}, doi = {10.1109/ISSCC.2019.8662439}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBGPSCKKKJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HanLSPKSKYB19, author = {Young{-}Tak Han and Dong{-}Hoon Lee and Jang{-}Uk Shin and Sang{-}Ho Park and Seok{-}Tae Kim and Sang{-}Moon Shin and Hong{-}Beom Kim and Byoungdon Yoon and Yongsoon Baek}, title = {A Compact 100G-ER4 {ROSA} Realized by Hybrid Integration of {SOA} and Lensed PIN-PDs for {QSFP28} Transceivers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, year = {2019}, crossref = {DBLP:conf/ofc/2019}, url = {https://ieeexplore.ieee.org/document/8696814}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HanLSPKSKYB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KimLKNK19, author = {Moon{-}Ki Kim and Ji Yang Lee and Jung{-}Hee Kim and Hassen Nigatu and Doik Kim}, title = {A Robust Position Estimation Algorithm under Unusual Large Range Errors}, booktitle = {28th {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2019, New Delhi, India, October 14-18, 2019}, pages = {1--6}, year = {2019}, crossref = {DBLP:conf/ro-man/2019}, url = {https://doi.org/10.1109/RO-MAN46459.2019.8956398}, doi = {10.1109/RO-MAN46459.2019.8956398}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/KimLKNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rv/KannanKLS019, author = {Sampath Kannan and Moonzoo Kim and Insup Lee and Oleg Sokolsky and Mahesh Viswanathan}, title = {A Retrospective Look at the Monitoring and Checking (MaC) Framework}, booktitle = {Runtime Verification - 19th International Conference, {RV} 2019, Porto, Portugal, October 8-11, 2019, Proceedings}, pages = {1--14}, year = {2019}, crossref = {DBLP:conf/rv/2019}, url = {https://doi.org/10.1007/978-3-030-32079-9\_1}, doi = {10.1007/978-3-030-32079-9\_1}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rv/KannanKLS019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simultech/KilLPY19, author = {Hyun Gwon Kil and Chan Lee and Jong Jin Park and Sang Moon Yang}, title = {Design of a Circular-type Pod Silencer for a High-pressure Axial Flow Fan}, booktitle = {Proceedings of the 9th International Conference on Simulation and Modeling Methodologies, Technologies and Applications, {SIMULTECH} 2019, Prague, Czech Republic, July 29-31, 2019}, pages = {263--268}, year = {2019}, crossref = {DBLP:conf/simultech/2019}, url = {https://doi.org/10.5220/0007838402630268}, doi = {10.5220/0007838402630268}, timestamp = {Wed, 18 Sep 2019 16:39:34 +0200}, biburl = {https://dblp.org/rec/conf/simultech/KilLPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/LeeKYKM19, author = {Keunhong Lee and Jeehoon Kang and Wonsup Yoon and Joongi Kim and Sue B. Moon}, title = {Enveloping Implicit Assumptions of Intrusive Data Structures within Ownership Type System}, booktitle = {Proceedings of the 10th Workshop on Programming Languages and Operating Systems, {SOSP} 2019, Huntsville, ON, Canada, October 27-30, 2019}, pages = {16--22}, year = {2019}, crossref = {DBLP:conf/sosp/2019plos}, url = {https://doi.org/10.1145/3365137.3365403}, doi = {10.1145/3365137.3365403}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sosp/LeeKYKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ParkLXMK19, author = {Soyeon Park and Sangho Lee and Wen Xu and Hyungon Moon and Taesoo Kim}, title = {libmpk: Software Abstraction for Intel Memory Protection Keys (Intel {MPK)}}, booktitle = {2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, pages = {241--254}, year = {2019}, crossref = {DBLP:conf/usenix/2019}, url = {https://www.usenix.org/conference/atc19/presentation/park-soyeon}, timestamp = {Mon, 01 Feb 2021 17:03:06 +0100}, biburl = {https://dblp.org/rec/conf/usenix/ParkLXMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, year = {2019}, crossref = {DBLP:conf/vlsic/2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeMKPB19, author = {Inhee Lee and Eunseong Moon and Yejoong Kim and Jamie Phillips and David T. Blaauw}, title = {A 10mm\({}^{\mbox{3}}\) Light-Dose Sensing IoT\({}^{\mbox{2}}\) System With 35-To-339nW 10-To-300klx Light-Dose-To-Digital Converter}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {180}, year = {2019}, crossref = {DBLP:conf/vlsic/2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778007}, doi = {10.23919/VLSIC.2019.8778007}, timestamp = {Tue, 06 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeMKPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-09764, author = {Dongwook Lee and Junyoung Kim and Won{-}Jin Moon and Jong Chul Ye}, title = {CollaGAN : Collaborative {GAN} for Missing Image Data Imputation}, journal = {CoRR}, volume = {abs/1901.09764}, year = {2019}, url = {http://arxiv.org/abs/1901.09764}, eprinttype = {arXiv}, eprint = {1901.09764}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-09764.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-01554, author = {Daewoo Kim and Sangwoo Moon and David Hostallero and Wan Ju Kang and Taeyoung Lee and Kyunghwan Son and Yung Yi}, title = {Learning to Schedule Communication in Multi-agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/1902.01554}, year = {2019}, url = {http://arxiv.org/abs/1902.01554}, eprinttype = {arXiv}, eprint = {1902.01554}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-01554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-05953, author = {Jae{-}Yun Kim and Junmo Lee and Yeon{-}Jae Koo and Sang{-}Hyeon Park and Soo{-}Mook Moon}, title = {Ethanos: Lightweight Bootstrapping for Ethereum}, journal = {CoRR}, volume = {abs/1911.05953}, year = {2019}, url = {http://arxiv.org/abs/1911.05953}, eprinttype = {arXiv}, eprint = {1911.05953}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-05953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11306, author = {Hyunjun Eun and Sumin Lee and Jinyoung Moon and Jongyoul Park and Chanho Jung and Changick Kim}, title = {{SRG:} Snippet Relatedness-based Temporal Action Proposal Generator}, journal = {CoRR}, volume = {abs/1911.11306}, year = {2019}, url = {http://arxiv.org/abs/1911.11306}, eprinttype = {arXiv}, eprint = {1911.11306}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11306.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKML18, author = {Hai Young Jung and Sung{-}Hwan Kim and Byunghee Moon and Seok{-}Hyun Lee}, title = {A New Circuit Design of Two-Switch Buck-Boost Converter}, journal = {{IEEE} Access}, volume = {6}, pages = {47415--47423}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2866886}, doi = {10.1109/ACCESS.2018.2866886}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungKML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLP18, author = {Moonbeom Kim and Jongho Lee and Jeongyeup Paek}, title = {Neutralizing {BLE} Beacon-Based Electronic Attendance System Using Signal Imitation Attack}, journal = {{IEEE} Access}, volume = {6}, pages = {77921--77930}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2884488}, doi = {10.1109/ACCESS.2018.2884488}, timestamp = {Fri, 18 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkWPLKCKHM18, author = {Chang Hoon Park and Min Ki Woo and Byung{-}Kwon Park and Min Soo Lee and Yong{-}Su Kim and Young{-}Wook Cho and Sangin Kim and Sang{-}Wook Han and Sung Moon}, title = {Practical Plug-and-Play Measurement-Device-Independent Quantum Key Distribution With Polarization Division Multiplexing}, journal = {{IEEE} Access}, volume = {6}, pages = {58587--58593}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2874028}, doi = {10.1109/ACCESS.2018.2874028}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkWPLKCKHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SohPKALMC18, author = {Jae Woong Soh and Jaewoo Park and Yoonsik Kim and Byeongyong Ahn and Hyun{-}Seung Lee and Young{-}Su Moon and Nam Ik Cho}, title = {Reduction of Video Compression Artifacts Based on Deep Temporal Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {63094--63106}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2876864}, doi = {10.1109/ACCESS.2018.2876864}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SohPKALMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ChoeKLLNPJ18, author = {Junkyoung Choe and Ji{-}Eun Kim and Bong{-}Woo Lee and Jeong Hee Lee and Moon Nam and Youn{-}Il Park and Sung{-}Hwan Jo}, title = {A comparative synteny analysis tool for target-gene {SNP} marker discovery: connecting genomics data to breeding in Solanaceae}, journal = {Database J. Biol. Databases Curation}, volume = {2018}, pages = {bay047}, year = {2018}, url = {https://doi.org/10.1093/database/bay047}, doi = {10.1093/DATABASE/BAY047}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ChoeKLLNPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/LeeJCKCK18, author = {Byung Ho Lee and Soojin Jo and Moonki Cho and Min Hyeok Kim and Jae Boong Choi and Moon Ki Kim}, title = {Normal mode analysis of Zika virus}, journal = {Comput. Biol. Chem.}, volume = {72}, pages = {53--61}, year = {2018}, url = {https://doi.org/10.1016/j.compbiolchem.2018.01.004}, doi = {10.1016/J.COMPBIOLCHEM.2018.01.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/LeeJCKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/MoonLPKC18, author = {Jewook Moon and Chanwoo Lee and Sangho Park and Yang{-}Hoon Kim and Hangbae Chang}, title = {Mathematical model-based security management framework for future {ICT} outsourcing project}, journal = {Discret. Appl. Math.}, volume = {241}, pages = {67--77}, year = {2018}, url = {https://doi.org/10.1016/j.dam.2016.03.013}, doi = {10.1016/J.DAM.2016.03.013}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/MoonLPKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimLJML18, author = {Hanjin Kim and Hoon Lee and Seokju Jang and Jihwan Moon and Inkyu Lee}, title = {Maximization of Minimum Rate for Wireless Powered Communication Networks in Interference Channel}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {8}, pages = {1648--1651}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2018.2836420}, doi = {10.1109/LCOMM.2018.2836420}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KimLJML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimPL18, author = {Moonseong Kim and Sooyeon Park and Woochan Lee}, title = {A Robust Energy Saving Data Dissemination Protocol for IoT-WSNs}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {12}, pages = {5744--5764}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.12.008}, doi = {10.3837/TIIS.2018.12.008}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/LeeLKMJ18, author = {Jaeho Lee and Yongwoo Lee and Youdan Kim and Gwanyoung Moon and Byung{-}Eul Jun}, title = {Design of an adaptive missile autopilot considering the boost phase using the {SDRE} method and neural networks}, journal = {J. Frankl. Inst.}, volume = {355}, number = {18}, pages = {9085--9107}, year = {2018}, url = {https://doi.org/10.1016/j.jfranklin.2016.12.004}, doi = {10.1016/J.JFRANKLIN.2016.12.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/LeeLKMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/KimPMLY18, author = {Ji{-}Ho Kim and Jong{-}Won Park and Ji{-}Bum Moon and Yulim Lee and Andy Kyung{-}yong Yoon}, title = {Primary Study for dialogue based on Ordering Chatbot}, journal = {J. Multim. Inf. Syst.}, volume = {5}, number = {3}, pages = {209--214}, year = {2018}, url = {https://doi.org/10.9717/JMIS.2018.5.3.209}, doi = {10.9717/JMIS.2018.5.3.209}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/KimPMLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/KimMLNJ18, author = {Wonjun Kim and Sung{-}Won Moon and Ji Won Lee and Do{-}Won Nam and Chanho Jung}, title = {Multiple player tracking in soccer videos: an adaptive multiscale sampling approach}, journal = {Multim. Syst.}, volume = {24}, number = {6}, pages = {611--623}, year = {2018}, url = {https://doi.org/10.1007/s00530-018-0586-9}, doi = {10.1007/S00530-018-0586-9}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/KimMLNJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mssp/YuMKKCLP18, author = {Soohwan Yu and Byeongho Moon and Donggyun Kim and Sehoon Kim and Wonhee Choe and Sangkeun Lee and Joonki Paik}, title = {Continuous digital zooming of asymmetric dual camera images using registration and variational image restoration}, journal = {Multidimens. Syst. Signal Process.}, volume = {29}, number = {4}, pages = {1959--1987}, year = {2018}, url = {https://doi.org/10.1007/s11045-017-0534-4}, doi = {10.1007/S11045-017-0534-4}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mssp/YuMKKCLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeKCM18, author = {Sanghun Lee and Hajin Kim and Mi{-}Jung Choi and Yang{-}Sae Moon}, title = {A time-series matching approach for symmetric-invariant boundary image matching}, journal = {Multim. Tools Appl.}, volume = {77}, number = {16}, pages = {20979--21001}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-5323-4}, doi = {10.1007/S11042-017-5323-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeKCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ObusezLOWBRHLEM18, author = {Emmanuel C. Obusez and Mark J. Lowe and Se{-}Hong Oh and Irene Wang and Jennifer Bullen and Paul Ruggieri and Virginia B. Hill and Daniel Lockwood and Todd Emch and Doksu Moon and Gareth Loy and Jonathan Lee and Matthew Kiczek and Manoj Massand and Volodymyr Statsevych and Todd Stultz and Stephen E. Jones}, title = {7T {MR} of intracranial pathology: Preliminary observations and comparisons to 3T and 1.5T}, journal = {NeuroImage}, volume = {168}, pages = {459--476}, year = {2018}, url = {https://doi.org/10.1016/j.neuroimage.2016.11.030}, doi = {10.1016/J.NEUROIMAGE.2016.11.030}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ObusezLOWBRHLEM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKRJYCPYL18, author = {Daewon Kim and Hyeongwoo Kang and Jea{-}Yong Ryu and Seong{-}Chun Jun and Seong{-}Taek Yun and SungChul Choi and SunHo Park and MoonSang Yoon and Hanlim Lee}, title = {Development of Raman Lidar for Remote Sensing of CO\({}_{\mbox{2}}\) Leakage at an Artificial Carbon Capture and Storage Site}, journal = {Remote. Sens.}, volume = {10}, number = {9}, pages = {1439}, year = {2018}, url = {https://doi.org/10.3390/rs10091439}, doi = {10.3390/RS10091439}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKRJYCPYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPL18, author = {Tae{-}Sung Kim and Kyung{-}Ae Park and Moonjin Lee}, title = {Modulation of Tidal Channel Signatures on {SAR} Images Over Gyeonggi Bay in Relation to Environmental Factors}, journal = {Remote. Sens.}, volume = {10}, number = {4}, pages = {566}, year = {2018}, url = {https://doi.org/10.3390/rs10040566}, doi = {10.3390/RS10040566}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AnKKMLJ18, author = {Sangmin An and Bongsu Kim and Soyoung Kwon and Geol Moon and Manhee Lee and Wonho Jhe}, title = {Buckling-Based Non-Linear Mechanical Sensor}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2637}, year = {2018}, url = {https://doi.org/10.3390/s18082637}, doi = {10.3390/S18082637}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AnKKMLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLMSPPS18, author = {Kyunghee Kim and Jeongeun Lee and Bo Mi Moon and Ye Been Seo and Chanhum Park and Min Park and Gun Yong Sung}, title = {Fabrication of a Urea Biosensor for Real-Time Dynamic Fluid Measurement}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2607}, year = {2018}, url = {https://doi.org/10.3390/s18082607}, doi = {10.3390/S18082607}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLMSPPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongLBOPKL18, author = {Han Pill Song and Yongil Lee and Vu Khac Hoang Bui and You{-}Kwon Oh and Hyun Gyu Park and Moon Il Kim and Young{-}Chul Lee}, title = {Effective Peroxidase-Like Activity of Co-Aminoclay [CoAC] and Its Application for Glucose Detection}, journal = {Sensors}, volume = {18}, number = {2}, pages = {457}, year = {2018}, url = {https://doi.org/10.3390/s18020457}, doi = {10.3390/S18020457}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongLBOPKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VununuMLK18, author = {Caleb Vununu and Kwang{-}Seok Moon and Suk{-}Hwan Lee and Ki{-}Ryong Kwon}, title = {A Deep Feature Learning Method for Drill Bits Monitoring Using the Spectral Analysis of the Acoustic Signals}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2634}, year = {2018}, url = {https://doi.org/10.3390/s18082634}, doi = {10.3390/S18082634}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/VununuMLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimKJK18, author = {Yun Bae Kim and Byung Moon Kim and Lee{-}Chae Jang and Jongkyum Kwon}, title = {A Note on Modified Degenerate Gamma and Laplace Transformation}, journal = {Symmetry}, volume = {10}, number = {10}, pages = {471}, year = {2018}, url = {https://doi.org/10.3390/sym10100471}, doi = {10.3390/SYM10100471}, timestamp = {Sat, 17 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimKJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KobayashiKL18, author = {Takao Kobayashi and Jung{-}Ho Kim and Seung Ryeol Lee}, title = {{HF} {(5} MHz) Imaging of the Moon by Kaguya Lunar Radar Sounder Off Nadir Echo Data}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {56}, number = {7}, pages = {3709--3714}, year = {2018}, url = {https://doi.org/10.1109/TGRS.2018.2806910}, doi = {10.1109/TGRS.2018.2806910}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KobayashiKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/BaeSLLLKCKM18, author = {Jang Won Bae and Kyohong Shin and Hyun{-}Rok Lee and Hyun Jin Lee and Taesik Lee and Chu Hyun Kim and Won Chul Cha and Gi Woon Kim and Il{-}Chul Moon}, title = {Evaluation of Disaster Response System Using Agent-Based Model With Geospatial and Medical Details}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {48}, number = {9}, pages = {1454--1469}, year = {2018}, url = {https://doi.org/10.1109/TSMC.2017.2671340}, doi = {10.1109/TSMC.2017.2671340}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/BaeSLLLKCKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/LeeHNPKKLCM18, author = {Juchang Lee and Wook{-}Shin Han and Hyoung Jun Na and Chang Gyoo Park and Kyu Hwan Kim and Deok Hoe Kim and Joo{-}Yeon Lee and Sang Kyun Cha and SeungHyun Moon}, title = {Parallel replication across formats for scaling out mixed {OLTP/OLAP} workloads in main-memory databases}, journal = {{VLDB} J.}, volume = {27}, number = {3}, pages = {421--444}, year = {2018}, url = {https://doi.org/10.1007/s00778-018-0503-z}, doi = {10.1007/S00778-018-0503-Z}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/LeeHNPKKLCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimLMH18, author = {Jihyung Kim and Junhwan Lee and Sangmi Moon and Intae Hwang}, title = {A Position-based Resource Allocation Scheme for {V2V} Communication}, journal = {Wirel. Pers. Commun.}, volume = {98}, number = {1}, pages = {1569--1586}, year = {2018}, url = {https://doi.org/10.1007/s11277-017-4935-3}, doi = {10.1007/S11277-017-4935-3}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimLMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {3D Localization for Launch Vehicle Using Virtual {TOA} and {AOA} of Ground Stations}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {507--526}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5855-6}, doi = {10.1007/S11277-018-5855-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18a, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {Performance Analysis of 3D Localization for a Launch Vehicle Using TOA, AOA, and {TDOA}}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {2}, pages = {1443--1464}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5862-7}, doi = {10.1007/S11277-018-5862-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Adaptive Channel Estimation Scheme Based on {LTE} Uplink in {V2V} Environments}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {383--398}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5847-6}, doi = {10.1007/S11277-018-5847-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18a, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Novel Interference Cancellation of Channel Estimation Scheme Based on {LTE} in {V2V} Communications}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2109--2124}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5899-7}, doi = {10.1007/S11277-018-5899-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, year = {2018}, crossref = {DBLP:conf/asscc/2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LeeBML18, author = {Jong Taek Lee and Jang{-}Woon Baek and Kiyoung Moon and Kil{-}Taek Lim}, title = {Integrating Multiple Inferences for Vehicle Detection by Focusing on Challenging Test Sets}, booktitle = {15th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2018, Auckland, New Zealand, November 27-30, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/avss/2018}, url = {https://doi.org/10.1109/AVSS.2018.8639125}, doi = {10.1109/AVSS.2018.8639125}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/LeeBML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LyuCDLWCCSMDCBG18, author = {Siwei Lyu and Ming{-}Ching Chang and Dawei Du and Wenbo Li and Yi Wei and Marco Del Coco and Pierluigi Carcagn{\`{\i}} and Arne Schumann and Bharti Munjal and Dinh{-}Quoc{-}Trung Dang and Doo{-}Hyun Choi and Erik Bochinski and Fabio Galasso and Filiz Bunyak and Guna Seetharaman and Jang{-}Woon Baek and Jong Taek Lee and Kannappan Palaniappan and Kil{-}Taek Lim and Kiyoung Moon and Kwang{-}Ju Kim and Lars Sommer and Meltem Brandlmaier and Minsung Kang and Moongu Jeon and Noor M. Al{-}Shakarji and Oliver Acatay and Pyong{-}Kun Kim and Sikandar Amin and Thomas Sikora and Tien Ba Dinh and Tobias Senst and Vu{-}Gia{-}Hy Che and Young{-}Chul Lim and Young{-}min Song and Yun{-}Su Chung}, title = {{UA-DETRAC} 2018: Report of {AVSS2018} {\&} {IWT4S} Challenge on Advanced Traffic Monitoring}, booktitle = {15th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2018, Auckland, New Zealand, November 27-30, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/avss/2018}, url = {https://doi.org/10.1109/AVSS.2018.8639089}, doi = {10.1109/AVSS.2018.8639089}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/LyuCDLWCCSMDCBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata2/EomLLM18, author = {Jin Ki Eom and Kwang Sub Lee and Jun Lee and Dae{-}Seop Moon}, title = {Activity-Based Traveler Analyzer Using Mobile and Socioeconomic Bigdata: Case Study of Seoul in Korea}, booktitle = {Big Data - BigData 2018 - 7th International Congress, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, pages = {312--318}, year = {2018}, crossref = {DBLP:conf/bigdata2/2018}, url = {https://doi.org/10.1007/978-3-319-94301-5\_24}, doi = {10.1007/978-3-319-94301-5\_24}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/bigdata2/EomLLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata2/LeeELM18, author = {Kwang Sub Lee and Jin Ki Eom and Jun Lee and Dae{-}Seop Moon}, title = {Analysis of Activity Population and Mobility Impacts of a New Shopping Mall Using Mobile Phone Bigdata}, booktitle = {Big Data - BigData 2018 - 7th International Congress, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, pages = {307--311}, year = {2018}, crossref = {DBLP:conf/bigdata2/2018}, url = {https://doi.org/10.1007/978-3-319-94301-5\_23}, doi = {10.1007/978-3-319-94301-5\_23}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdata2/LeeELM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/KimKCMLCK18, author = {Kyoung Min Kim and Yunmin Kim and Youngil Cho and Kwanyoung Moon and Tae{-}Jin Lee and Seunghyun Choi and Jae Woo Kim}, title = {Performance Evaluation of Maritime {VDES} Networks with {OPNET} Simulator}, booktitle = {11th International Symposium on Communication Systems, Networks {\&} Digital Signal Processing, {CSNDSP} 2018, Budapest, Hungary, July 18-20, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/csndsp/2018}, url = {https://doi.org/10.1109/CSNDSP.2018.8471848}, doi = {10.1109/CSNDSP.2018.8471848}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csndsp/KimKCMLCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuanGSMCLMKHGYC18, author = {Shanxin Yuan and Guillermo Garcia{-}Hernando and Bj{\"{o}}rn Stenger and Gyeongsik Moon and Ju Yong Chang and Kyoung Mu Lee and Pavlo Molchanov and Jan Kautz and Sina Honari and Liuhao Ge and Junsong Yuan and Xinghao Chen and Guijin Wang and Fan Yang and Kai Akiyama and Yang Wu and Qingfu Wan and Meysam Madadi and Sergio Escalera and Shile Li and Dongheui Lee and Iason Oikonomidis and Antonis A. Argyros and Tae{-}Kyun Kim}, title = {Depth-Based 3D Hand Pose Estimation: From Current Achievements to Future Goals}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {2636--2645}, year = {2018}, crossref = {DBLP:conf/cvpr/2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Yuan\_Depth-Based\_3D\_Hand\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00279}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuanGSMCLMKHGYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimHSMKPYRYLCLL18, author = {Dae{-}Ub Kim and Kyeong{-}Eun Han and Jongtae Song and Sang{-}Rok Moon and Bup{-}Joong Kim and Chansung Park and Sanghwa Yoo and Yongwook Ra and JiWook Youn and Young{-}Wuk Lee and Junseok Choi and Jongmin Lim and Daehun Lim and Changhyun Kang and Kwangjoon Kim}, title = {Photonic Frame Based Packet Switching Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, year = {2018}, crossref = {DBLP:conf/ecoc/2018}, url = {https://doi.org/10.1109/ECOC.2018.8535297}, doi = {10.1109/ECOC.2018.8535297}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimHSMKPYRYLCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/KimLM18, author = {Jae{-}Yun Kim and Hyeon{-}Jae Lee and Soo{-}Mook Moon}, title = {Fast snapshot migration using static code instrumentation: work-in-progress}, booktitle = {Proceedings of the International Conference on Embedded Software, {EMSOFT} 2018, Torino, Italy, September 30 - October 5, 2018}, pages = {5}, year = {2018}, crossref = {DBLP:conf/emsoft/2018}, url = {https://doi.org/10.1109/EMSOFT.2018.8537207}, doi = {10.1109/EMSOFT.2018.8537207}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/KimLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KangKLM18a, author = {Mi{-}Seon Kang and Hyun{-}Woo Kang and Cheolhyo Lee and Kiyoung Moon}, title = {The gesture recognition technology based on {IMU} sensor for personal active spinning}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {546--552}, year = {2018}, crossref = {DBLP:conf/icact/2018}, url = {https://doi.org/10.23919/ICACT.2018.8323826}, doi = {10.23919/ICACT.2018.8323826}, timestamp = {Tue, 22 Mar 2022 11:28:01 +0100}, biburl = {https://dblp.org/rec/conf/icact/KangKLM18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeKJKNLMY18a, author = {Ji Won Lee and Yoonhyung Kim and Minki Jeong and Changick Kim and Do{-}Won Nam and Jungsoo Lee and Sung{-}Won Moon and Wonyoung Yoo}, title = {3D convolutional neural networks for soccer object motion recognition}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {354--358}, year = {2018}, crossref = {DBLP:conf/icact/2018}, url = {https://doi.org/10.23919/ICACT.2018.8323754}, doi = {10.23919/ICACT.2018.8323754}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/LeeKJKNLMY18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/MoonLNY018a, author = {Sung{-}Won Moon and Ji Won Lee and Do{-}Won Nam and Wonyoung Yoo and Wonjun Kim}, title = {A comparative study on preprocessing methods for object tracking in sports events}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {460--462}, year = {2018}, crossref = {DBLP:conf/icact/2018}, url = {https://doi.org/10.23919/ICACT.2018.8323794}, doi = {10.23919/ICACT.2018.8323794}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/MoonLNY018a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeSKM18, author = {Dong{-}Hyun Lee and Young{-}Bo Shim and Myoung{-}Jin Kim and Yeon{-}Kug Moon}, title = {Hybrid positioning system for improving location recognition performance in offshore plant environment}, booktitle = {2018 International Conference on Information Networking, {ICOIN} 2018, Chiang Mai, Thailand, January 10-12, 2018}, pages = {891--893}, year = {2018}, crossref = {DBLP:conf/icoin/2018}, url = {https://doi.org/10.1109/ICOIN.2018.8343250}, doi = {10.1109/ICOIN.2018.8343250}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeSKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeSKM18a, author = {Dong{-}Hyun Lee and Young{-}Bo Shim and Myoung{-}Jin Kim and Yeon{-}Kug Moon}, title = {The UWB-based positioning algorithm and {H/W} development for safety of offshore plant workers}, booktitle = {2018 International Conference on Information Networking, {ICOIN} 2018, Chiang Mai, Thailand, January 10-12, 2018}, pages = {894--896}, year = {2018}, crossref = {DBLP:conf/icoin/2018}, url = {https://doi.org/10.1109/ICOIN.2018.8343251}, doi = {10.1109/ICOIN.2018.8343251}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeSKM18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChenJLK18, author = {Xi Lei Chen and Moon Kyu Jo and Jung Seop Lee and Kwang Soon Kim}, title = {Interference Analysis of Joint-Spatial-Division and Reuse method for Next-Generation {WLAN} System}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {369--372}, year = {2018}, crossref = {DBLP:conf/ictc/2018}, url = {https://doi.org/10.1109/ICTC.2018.8539547}, doi = {10.1109/ICTC.2018.8539547}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChenJLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiBL18, author = {Hyung{-}Tak Choi and Moon{-}Ki Back and Kyu{-}Chul Lee}, title = {Driver Drowsiness Detection based on Multimodal using Fusion of Visual-feature and Bio-signal}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1249--1251}, year = {2018}, crossref = {DBLP:conf/ictc/2018}, url = {https://doi.org/10.1109/ICTC.2018.8539478}, doi = {10.1109/ICTC.2018.8539478}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonLK18, author = {Young{-}Sun Moon and Kook Jin Lee and Gyu{-}Tae Kim}, title = {Photocurrent generation mechanism in GeS nanosheet phototransistor}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {733--735}, year = {2018}, crossref = {DBLP:conf/ictc/2018}, url = {https://doi.org/10.1109/ICTC.2018.8539678}, doi = {10.1109/ICTC.2018.8539678}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/MoonLKK18, author = {Chang{-}Bae Moon and Jong Yeol Lee and Dong{-}Seong Kim and Byeong Man Kim}, title = {Non Keyword-Based Music Retrieval Using Social Tags}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {203--206}, year = {2018}, crossref = {DBLP:conf/icufn/2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436833}, doi = {10.1109/ICUFN.2018.8436833}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/MoonLKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ParkJKLL18, author = {Geunwoo Park and Sohee Jin and Haeng{-}In Kim and Chan{-}Ju Lee and Moon{-}Kil Lee}, title = {Research on Estimation of Equipment Sizing for Network Deployment}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {732--734}, year = {2018}, crossref = {DBLP:conf/icufn/2018}, url = {https://doi.org/10.1109/ICUFN.2018.8437037}, doi = {10.1109/ICUFN.2018.8437037}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ParkJKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/PhamPKSLMKCK18, author = {Giao N. Pham and Jin{-}Hyeok Park and Oh{-}Heum Kwon and Ha{-}Joo Song and Suk{-}Hwan Lee and Kwang{-}Seok Moon and Seok{-}Tae Kim and Yeong{-}Rak Cho and Ki{-}Ryong Kwon}, title = {Selective Encryption for 3D Printing Model in {DCT} Domain}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {398--400}, year = {2018}, crossref = {DBLP:conf/icufn/2018}, url = {https://doi.org/10.1109/ICUFN.2018.8437038}, doi = {10.1109/ICUFN.2018.8437038}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/PhamPKSLMKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCKLLMCYKKLPC18, author = {Jhoon Kim and Myungje Choi and Mijin Kim and Hyungwang Lim and Seovouna Lee and Kyung Jung Moon and Won Joon Choi and Jong Min Yoon and Sang{-}Kyoon Kim and Dai Hn Ko and Seung Hoon Lee and Youngje Park and Chu{-}Yong Chung}, title = {Monitoring Atmospheric Composition by Geo-Kompsat-2: Goci-2, Ami and Gems}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7750--7752}, year = {2018}, crossref = {DBLP:conf/igarss/2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518713}, doi = {10.1109/IGARSS.2018.8518713}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCKLLMCYKKLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JeongKKKLCBKJSP18, author = {Seongwon Jeong and Jinseok Kim and Ayoung Kim and Byungwook Kim and Moonsoo Lee and Jaewon Chang and In Hak Baick and Hanbyul Kang and Younggeun Ji and Sangchul Shin and Sangwoo Pae}, title = {Optimal design of dummy ball array in wafer level package to improve board level thermal cycle reliability {(BLR)}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, year = {2018}, crossref = {DBLP:conf/irps/2018}, url = {https://doi.org/10.1109/IRPS.2018.8353653}, doi = {10.1109/IRPS.2018.8353653}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/JeongKKKLCBKJSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yong{-}Gyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Dae{-}Sik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCPJKOOPKLJKY18, author = {Yitae Kim and Wonchul Choi and Donghyuk Park and Heegeun Jeoung and Bumsuk Kim and Youngsun Oh and Sunghoon Oh and Byungjun Park and Euiyeol Kim and Yunki Lee and Taesub Jung and Yongwoon Kim and Sukki Yoon and Seokyong Hong and Jesuk Lee and Sangil Jung and Changrok Moon and Yongin Park and Duckhyung Lee and Duckhyun Chang}, title = {A 1/2.8-inch 24Mpixel {CMOS} image sensor with 0.9{\(\mu\)}m unit pixels separated by full-depth deep-trench isolation}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {84--86}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310195}, doi = {10.1109/ISSCC.2018.8310195}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCPJKOOPKLJKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/KimCLGJ18, author = {Seungmin Kim and Moonwon Choi and Wooyeob Lee and Donguk Gye and Inwhee Joe}, title = {A Secure Group Management Scheme for Join/Leave Procedures of {UAV} Squadrons}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2018, Salerno, Italy, 23-25 April 2018}, pages = {177--183}, year = {2018}, crossref = {DBLP:conf/mue/2018}, url = {https://doi.org/10.1007/978-981-13-1328-8\_22}, doi = {10.1007/978-981-13-1328-8\_22}, timestamp = {Tue, 21 Jul 2020 17:21:23 +0200}, biburl = {https://dblp.org/rec/conf/mue/KimCLGJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensornets/JangPMKL18, author = {Seong Ho Jang and Si Bog Park and Sang{-}bog Moon and Jae Min Kim and Shi{-}uk Lee}, title = {Comparison of Camera based and Inertial Measurement Unit based Motion Analysis}, booktitle = {Proceedings of the 7th International Conference on Sensor Networks, {SENSORNETS} 2018, Funchal, Madeira - Portugal, January 22-24, 2018}, pages = {161--167}, year = {2018}, crossref = {DBLP:conf/sensornets/2018}, timestamp = {Wed, 11 Apr 2018 15:41:08 +0200}, biburl = {https://dblp.org/rec/conf/sensornets/JangPMKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/ParkMLK18, author = {Jong Jin Park and Jong Ho Moon and Kang{-}Yoon Lee and Dong In Kim}, title = {Adaptive Mode Switching Algorithm for Dual Mode {SWIPT} with Duty Cycle Operation}, booktitle = {19th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2018, Kalamata, Greece, June 25-28, 2018}, pages = {1--5}, year = {2018}, crossref = {DBLP:conf/spawc/2018}, url = {https://doi.org/10.1109/SPAWC.2018.8445916}, doi = {10.1109/SPAWC.2018.8445916}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/ParkMLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/LeeKHL18, author = {Yoon{-}Seok Lee and Jae{-}Hyuk Kim and Byung{-}Moon Han and Jun{-}Young Lee}, title = {Auxiliary Resonant Commutated Pole Inverter with Clamping Diodes for Voltage Stress Reduction across Auxiliary Switches}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {394--398}, year = {2018}, crossref = {DBLP:conf/tencon/2018}, url = {https://doi.org/10.1109/TENCON.2018.8650408}, doi = {10.1109/TENCON.2018.8650408}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tencon/LeeKHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, year = {2018}, crossref = {DBLP:conf/vlsic/2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKMJKPB18, author = {Inhee Lee and Gyouho Kim and Eunseong Moon and Seokhyeon Jeong and Dongkwun Kim and Jamie Phillips and David T. Blaauw}, title = {A 179-Lux Energy-Autonomous Fully-Encapsulated 17-mm\({}^{\mbox{3}}\) Sensor Node with Initial Charge Delay Circuit for Battery Protection}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {251--252}, year = {2018}, crossref = {DBLP:conf/vlsic/2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502287}, doi = {10.1109/VLSIC.2018.8502287}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKMJKPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkMLK18, author = {Jong Jin Park and Jong Ho Moon and Kang{-}Yoon Lee and Dong In Kim}, title = {Dual Mode {SWIPT:} Waveform Design and Transceiver Architecture with Adaptive Mode Switching Policy}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--5}, year = {2018}, crossref = {DBLP:conf/vtc/2018s}, url = {https://doi.org/10.1109/VTCSpring.2018.8417661}, doi = {10.1109/VTCSPRING.2018.8417661}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkMLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip5-7/2018apms1, editor = {Ilkyeong Moon and Gyu M. Lee and Jinwoo Park and Dimitris Kiritsis and Gregor von Cieminski}, title = {Advances in Production Management Systems. Production Management for Data-Driven, Intelligent, Collaborative, and Sustainable Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2018, Seoul, Korea, August 26-30, 2018, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {535}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99704-9}, doi = {10.1007/978-3-319-99704-9}, isbn = {978-3-319-99703-2}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/2018apms1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip5-7/2018apms2, editor = {Ilkyeong Moon and Gyu M. Lee and Jinwoo Park and Dimitris Kiritsis and Gregor von Cieminski}, title = {Advances in Production Management Systems. Smart Manufacturing for Industry 4.0 - {IFIP} {WG} 5.7 International Conference, {APMS} 2018, Seoul, Korea, August 26-30, 2018, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {536}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99707-0}, doi = {10.1007/978-3-319-99707-0}, isbn = {978-3-319-99706-3}, timestamp = {Mon, 27 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/2018apms2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-06927, author = {Jaehong Kim and Youngduck Choi and Moonsu Cha and Jung Kwon Lee and Sangyeul Lee and Sungwan Kim and Yongseok Choi and Jiwon Kim}, title = {Auto-Meta: Automated Gradient Based Meta Learner Search}, journal = {CoRR}, volume = {abs/1806.06927}, year = {2018}, url = {http://arxiv.org/abs/1806.06927}, eprinttype = {arXiv}, eprint = {1806.06927}, timestamp = {Fri, 16 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-06927.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-09390, author = {Jaydip Sen and Moonkun Lee and Sunghyeon Lee and Yeongbok Choe and Menachem Domb and Arpan Pal and Hemant Kumar Rath and Samar Shailendra and Abhijan Bhattacharyya and Albena Mihovska and Mahasweta Sarkar and Hyun Jung Lee and Myungho Kim and Alexandru Averian}, title = {Internet of Things: Technology, Applications and Standardardization}, journal = {CoRR}, volume = {abs/1808.09390}, year = {2018}, url = {http://arxiv.org/abs/1808.09390}, eprinttype = {arXiv}, eprint = {1808.09390}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-09390.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-07276, author = {Soyeon Park and Sangho Lee and Wen Xu and Hyungon Moon and Taesoo Kim}, title = {libmpk: Software Abstraction for Intel Memory Protection Keys}, journal = {CoRR}, volume = {abs/1811.07276}, year = {2018}, url = {http://arxiv.org/abs/1811.07276}, eprinttype = {arXiv}, eprint = {1811.07276}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-07276.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-08997, author = {Kanghoon Lee and Jihye Choi and Moonsu Cha and Jung Kwon Lee and Taeyoon Kim}, title = {Stochastic Doubly Robust Gradient}, journal = {CoRR}, volume = {abs/1812.08997}, year = {2018}, url = {http://arxiv.org/abs/1812.08997}, eprinttype = {arXiv}, eprint = {1812.08997}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-08997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonJKL17, author = {Byunghee Moon and Hai Young Jung and Sung{-}Hwan Kim and Seok{-}Hyun Lee}, title = {A Modified Topology of Two-Switch Buck-Boost Converter}, journal = {{IEEE} Access}, volume = {5}, pages = {17772--17780}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2749418}, doi = {10.1109/ACCESS.2017.2749418}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MoonJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/MoonLJLSK17, author = {Ji Hwan Moon and Sangsoo Lim and Kyuri Jo and Sangseon Lee and Seokjun Seo and Sun Kim}, title = {PINTnet: construction of condition-specific pathway interaction network by computing shortest paths on weighted {PPI}}, journal = {{BMC} Syst. Biol.}, volume = {11}, number = {{S-2}}, pages = {15:1--15:13}, year = {2017}, url = {https://doi.org/10.1186/s12918-017-0387-3}, doi = {10.1186/S12918-017-0387-3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/MoonLJLSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/LeeK17, author = {Jae Moon Lee and Seongdong Kim}, title = {A simulation of multiple grouping movements for pedestrians}, journal = {Int. J. Comput. Vis. Robotics}, volume = {7}, number = {3}, pages = {276--284}, year = {2017}, url = {https://doi.org/10.1504/IJCVR.2017.10004036}, doi = {10.1504/IJCVR.2017.10004036}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/LeeK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/ChenCLKMB17, author = {Li Chen and Wangrim Choi and Jeong{-}Gu Lee and Yi{-}Gon Kim and Hong{-}Sik Moon and Youngchul Bae}, title = {Oil-Tank Weld Detection Using {EMAT}}, journal = {Int. J. Humanoid Robotics}, volume = {14}, number = {2}, pages = {1750008:1--1750008:19}, year = {2017}, url = {https://doi.org/10.1142/S0219843617500086}, doi = {10.1142/S0219843617500086}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhr/ChenCLKMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/YimSJBKL17, author = {Hyung{-}Jun Yim and Dongmin Seo and Hanmin Jung and Moon{-}Ki Back and InA Kim and Kyu{-}Chul Lee}, title = {Description and classification for facilitating interoperability of heterogeneous data/events/services in the Internet of Things}, journal = {Neurocomputing}, volume = {256}, pages = {13--22}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.03.115}, doi = {10.1016/J.NEUCOM.2016.03.115}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/YimSJBKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/LeeRSLKJMN17, author = {Moon{-}Hwan Lee and Yea{-}Kyung Row and Oosung Son and Uichin Lee and Jaejeung Kim and Jungi Jeong and Seungryoul Maeng and Tek{-}Jin Nam}, title = {Flower-Pop: Facilitating Casual Group Conversations With Multiple Mobile Devices}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {1}, number = {4}, pages = {150:1--150:24}, year = {2017}, url = {https://doi.org/10.1145/3161170}, doi = {10.1145/3161170}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/LeeRSLKJMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/HongKLJKKK17, author = {Shin Hong and Taehoon Kwak and Byeongcheol Lee and Yiru Jeon and Bongseok Ko and Yunho Kim and Moonzoo Kim}, title = {{MUSEUM:} Debugging real-world multilingual programs using mutation analysis}, journal = {Inf. Softw. Technol.}, volume = {82}, pages = {80--95}, year = {2017}, url = {https://doi.org/10.1016/j.infsof.2016.10.002}, doi = {10.1016/J.INFSOF.2016.10.002}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/HongKLJKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ChoiKMLC17, author = {Yun{-}Seok Choi and Ho Moon Kim and Hyeong Min Mun and Yoon Geon Lee and Hyouk Ryeol Choi}, title = {Recognition of pipeline geometry by using monocular camera and {PSD} sensors}, journal = {Intell. Serv. Robotics}, volume = {10}, number = {3}, pages = {213--227}, year = {2017}, url = {https://doi.org/10.1007/s11370-017-0221-1}, doi = {10.1007/S11370-017-0221-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/ChoiKMLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/KimLASCLL17, author = {Yong Hwi Kim and Yong Yi Lee and Bilal Ahmed and Moon Gu Son and Junho Choi and Jong Hun Lee and Kwan H. Lee}, title = {MudGet: Reproduction of the desired lighting environment using a smart-LED}, journal = {J. Comput. Des. Eng.}, volume = {4}, number = {3}, pages = {231--237}, year = {2017}, url = {https://doi.org/10.1016/j.jcde.2017.02.006}, doi = {10.1016/J.JCDE.2017.02.006}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcde/KimLASCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/KimLKM17, author = {Rinah Kim and Sang Bin Lee and Jae Wook Kim and Joo Hyun Moon}, title = {Development and Comparison of Fiber-Optic Beta Radiation Sensors with Different Diameters of Their Sensing Probes}, journal = {J. Sensors}, volume = {2017}, pages = {1452765:1--1452765:5}, year = {2017}, url = {https://doi.org/10.1155/2017/1452765}, doi = {10.1155/2017/1452765}, timestamp = {Thu, 16 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/KimLKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/HanMKCL17, author = {Dong{-}Jun Han and Jaekyun Moon and Dongjae Kim and Sae{-}Young Chung and Yong H. Lee}, title = {Combined Subband-Subcarrier Spectral Shaping in Multi-Carrier Modulation Under the Excess Frame Length Constraint}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {35}, number = {6}, pages = {1339--1352}, year = {2017}, url = {https://doi.org/10.1109/JSAC.2017.2687378}, doi = {10.1109/JSAC.2017.2687378}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/HanMKCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBYHCLKJ17, author = {Gyu{-}Ri Kim and Ji Cheol Bae and Byoung{-}Kee Yi and Kyu Yeon Hur and Dong Kyung Chang and Moon{-}Kyu Lee and Jae Hyeon Kim and Sang{-}Man Jin}, title = {An information and communication technology-based centralized clinical trial to determine the efficacy and safety of insulin dose adjustment education based on a smartphone personal health record application: a randomized controlled trial}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {17}, number = {1}, pages = {109:1--109:11}, year = {2017}, url = {https://doi.org/10.1186/s12911-017-0507-4}, doi = {10.1186/S12911-017-0507-4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBYHCLKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBYHCLKJ17a, author = {Gyu{-}Ri Kim and Ji Cheol Bae and Byoung{-}Kee Yi and Kyu Yeon Hur and Dong Kyung Chang and Moon{-}Kyu Lee and Jae Hyeon Kim and Sang{-}Man Jin}, title = {Erratum to: An information and communication technology-based centralized clinical trial to determine the efficacy and safety of insulin dose adjustment education based on a smartphone personal health record application: a randomized controlled trial}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {17}, number = {1}, pages = {169:1--169:3}, year = {2017}, url = {https://doi.org/10.1186/s12911-017-0534-1}, doi = {10.1186/S12911-017-0534-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBYHCLKJ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimLM17, author = {Young{-}Kyu Kim and Yong{-}Hwan Lee and Byungin Moon}, title = {A study of partitioned {DIMM} tree management for multimedia server systems}, journal = {Multim. Tools Appl.}, volume = {76}, number = {17}, pages = {17937--17954}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3382-6}, doi = {10.1007/S11042-016-3382-6}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimML17, author = {Bum{-}Soo Kim and Yang{-}Sae Moon and Jae{-}Gil Lee}, title = {Boundary image matching supporting partial denoising using time-series matching techniques}, journal = {Multim. Tools Appl.}, volume = {76}, number = {6}, pages = {8471--8496}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3479-y}, doi = {10.1007/S11042-016-3479-Y}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/HildebrandCTCQM17, author = {David Grant Colburn Hildebrand and Marcelo Cicconet and Russel Miguel Torres and Woohyuk Choi and Tran Minh Quan and Jungmin Moon and Arthur W. Wetzel and Andrew Scott Champion and Brett J. Graham and Owen Randlett and George S. Plummer and Ruben Portugues and Isaac Henry Bianco and Stephan Saalfeld and Alexander D. Baden and Kunal Lillaney and Randal C. Burns and Joshua T. Vogelstein and Alexander Schier and Wei{-}Chung Allen Lee and Won{-}Ki Jeong and Jeff William Lichtman and Florian Engert}, title = {Whole-brain serial-section electron microscopy in larval zebrafish}, journal = {Nat.}, volume = {545}, number = {7654}, pages = {345--349}, year = {2017}, url = {https://doi.org/10.1038/nature22356}, doi = {10.1038/NATURE22356}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/HildebrandCTCQM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeMKKCHPNL17, author = {Juchang Lee and SeungHyun Moon and Kyu Hwan Kim and Deok Hoe Kim and Sang Kyun Cha and Wook{-}Shin Han and Chang Gyoo Park and Hyoung Jun Na and Joo{-}Yeon Lee}, title = {Parallel Replication across Formats in {SAP} {HANA} for Scaling Out Mixed {OLTP/OLAP} Workloads}, journal = {Proc. {VLDB} Endow.}, volume = {10}, number = {12}, pages = {1598--1609}, year = {2017}, url = {http://www.vldb.org/pvldb/vol10/p1598-han.pdf}, doi = {10.14778/3137765.3137767}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeMKKCHPNL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/MoonLKW17, author = {Jongho Moon and Youngsook Lee and Jiye Kim and Dongho Won}, title = {Improving an Anonymous and Provably Secure Authentication Protocol for a Mobile User}, journal = {Secur. Commun. Networks}, volume = {2017}, pages = {1378128:1--1378128:13}, year = {2017}, url = {https://doi.org/10.1155/2017/1378128}, doi = {10.1155/2017/1378128}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/MoonLKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJLL17, author = {Hae{-}Rin Lee and Moon Ki Jung and Ki{-}Kwang Lee and Sang Hun Lee}, title = {A 3D Human-Machine Integrated Design and Analysis Framework for Squat Exercises with a Smith Machine}, journal = {Sensors}, volume = {17}, number = {2}, pages = {299}, year = {2017}, url = {https://doi.org/10.3390/s17020299}, doi = {10.3390/S17020299}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKQPSOC17, author = {Hoonsoo Lee and Moon S. Kim and Jianwei Qin and Eunsoo Park and Yu{-}Rim Song and Chang{-}Sik Oh and Byoung{-}Kwan Cho}, title = {Raman Hyperspectral Imaging for Detection of Watermelon Seeds Infected with \emph{Acidovorax citrulli}}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2188}, year = {2017}, url = {https://doi.org/10.3390/s17102188}, doi = {10.3390/S17102188}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKQPSOC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMLGKCL17, author = {Jaekwon Lee and Seunghwan Moon and Juhun Lim and Min{-}Joo Gwak and Jae Gwan Kim and Euiheon Chung and Jong{-}Hyun Lee}, title = {Imaging of the Finger Vein and Blood Flow for Anti-Spoofing Authentication Using a Laser and a {MEMS} Scanner}, journal = {Sensors}, volume = {17}, number = {4}, pages = {925}, year = {2017}, url = {https://doi.org/10.3390/s17040925}, doi = {10.3390/S17040925}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeMLGKCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/KimP0MCL17, author = {Tae{-}Sung Kim and Kyung{-}Ae Park and Xiaofeng Li and Alexis Mouche and Bertrand Chapron and Moonjin Lee}, title = {Observation of Wind Direction Change on the Sea Surface Temperature Front Using High-Resolution Full Polarimetric {SAR} Data}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {10}, number = {6}, pages = {2599--2607}, year = {2017}, url = {https://doi.org/10.1109/JSTARS.2017.2660858}, doi = {10.1109/JSTARS.2017.2660858}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/KimP0MCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/LeeSDCK17, author = {Dong{-}Hun Lee and Jong{-}Woo Shin and Dae{-}Won Do and Sang{-}Moon Choi and Hyoung{-}Nam Kim}, title = {Robust {LFM} Target Detection in Wideband Sonar Systems}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {53}, number = {5}, pages = {2399--2412}, year = {2017}, url = {https://doi.org/10.1109/TAES.2017.2696318}, doi = {10.1109/TAES.2017.2696318}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/LeeSDCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinJCSKMK17, author = {Wongyu Shin and Jaemin Jang and Jungwhan Choi and Jinwoong Suh and Yongkee Kwon and Youngsuk Moon and Lee{-}Sup Kim}, title = {Rank-Level Parallelism in {DRAM}}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {7}, pages = {1274--1280}, year = {2017}, url = {https://doi.org/10.1109/TC.2017.2654339}, doi = {10.1109/TC.2017.2654339}, timestamp = {Tue, 20 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShinJCSKMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/MoonLHKPK17, author = {Hyungon Moon and Hojoon Lee and Ingoo Heo and Kihwan Kim and Yunheung Paek and Brent ByungHoon Kang}, title = {Detecting and Preventing Kernel Rootkit Attacks with Bus Snooping}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {14}, number = {2}, pages = {145--157}, year = {2017}, url = {https://doi.org/10.1109/TDSC.2015.2443803}, doi = {10.1109/TDSC.2015.2443803}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/MoonLHKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YoonKL17, author = {Moonseok Yoon and Dongwoo Kim and Jiyun Lee}, title = {Validation of Ionospheric Spatial Decorrelation Observed During Equatorial Plasma Bubble Events}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {55}, number = {1}, pages = {261--271}, year = {2017}, url = {https://doi.org/10.1109/TGRS.2016.2604861}, doi = {10.1109/TGRS.2016.2604861}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/YoonKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLM17, author = {Jun{-}Ho Kim and Il{-}Oun Lee and Gun{-}Woo Moon}, title = {Analysis and Design of a Hybrid-Type Converter for Optimal Conversion Efficiency in Electric Vehicle Chargers}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {4}, pages = {2789--2800}, year = {2017}, url = {https://doi.org/10.1109/TIE.2016.2623261}, doi = {10.1109/TIE.2016.2623261}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MoonJLK17, author = {Seokbae Moon and Hyeyun Jeong and Hojin Lee and Sang Woo Kim}, title = {Detection and Classification of Demagnetization and Interturn Short Faults of IPMSMs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {12}, pages = {9433--9441}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2703919}, doi = {10.1109/TIE.2017.2703919}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/MoonJLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SonKLMPL17, author = {Hyo{-}Soo Son and Jae{-}Kuk Kim and Jae{-}Bum Lee and Sang{-}Su Moon and Ji{-}Hoon Park and Seok{-}Hyun Lee}, title = {A New Buck-Boost Converter With Low-Voltage Stress and Reduced Conducting Components}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {9}, pages = {7030--7038}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2686300}, doi = {10.1109/TIE.2017.2686300}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/SonKLMPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LeeKCJPKS17, author = {Chun{-}Kwon Lee and Gu{-}Young Kwon and Seung{-}Jin Chang and Moon Kang Jung and Jin Bae Park and Han Sol Kim and Yong{-}June Shin}, title = {Real-Time Condition Monitoring of {LOCA} via Time-Frequency Domain Reflectometry}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {7}, pages = {1864--1873}, year = {2017}, url = {https://doi.org/10.1109/TIM.2017.2664578}, doi = {10.1109/TIM.2017.2664578}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LeeKCJPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/JangBMKLL17, author = {Changwon Jang and Kiseung Bang and Seokil Moon and Jonghyun Kim and Seungjae Lee and Byoungho Lee}, title = {Retinal 3D: augmented reality near-eye display via pupil-tracked light field projection on retina}, journal = {{ACM} Trans. Graph.}, volume = {36}, number = {6}, pages = {190:1--190:13}, year = {2017}, url = {https://doi.org/10.1145/3130800.3130889}, doi = {10.1145/3130800.3130889}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/JangBMKLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/KimSLKH17, author = {Hyun{-}Jun Kim and Eun{-}Suk Shin and Yoon{-}Seok Lee and Jae{-}Hyuk Kim and Byung{-}Moon Han}, title = {Smooth Operation Transition Scheme for Stand-Alone Power System With {EG} and {BESS-PV} Panels}, journal = {{IEEE} Trans. Smart Grid}, volume = {8}, number = {4}, pages = {2042--2044}, year = {2017}, url = {https://doi.org/10.1109/TSG.2017.2656024}, doi = {10.1109/TSG.2017.2656024}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/KimSLKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeJMK17, author = {Sungwon Lee and Yonghwan Jeong and Eunbae Moon and Dongkyun Kim}, title = {An Efficient {MOP} Decision Method Using Hop Interval for RPL-Based Underwater Sensor Networks}, journal = {Wirel. Pers. Commun.}, volume = {93}, number = {4}, pages = {1027--1041}, year = {2017}, url = {https://doi.org/10.1007/s11277-017-3964-2}, doi = {10.1007/S11277-017-3964-2}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LeeJMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acit2/MoonKLW17, author = {Jongho Moon and Jiye Kim and Donghoon Lee and Dongho Won}, title = {Security Enhancement of Robust Anonymous Two-Factor Authenticated Key Exchange Scheme for Mobile Client-Server Environment}, booktitle = {4th Intl Conf on Applied Computing and Information Technology/3rd Intl Conf on Computational Science/Intelligence and Applied Informatics/1st Intl Conf on Big Data, Cloud Computing, Data Science {\&} Engineering, {ACIT-CSII-BCD} 2016, Las Vegas, NV, USA, December 12-14, 2016}, pages = {14--19}, year = {2017}, crossref = {DBLP:conf/csii/2016}, url = {https://doi.org/10.1109/ACIT-CSII-BCD.2016.016}, doi = {10.1109/ACIT-CSII-BCD.2016.016}, timestamp = {Tue, 14 Nov 2023 16:37:32 +0100}, biburl = {https://dblp.org/rec/conf/acit2/MoonKLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, year = {2017}, crossref = {DBLP:conf/asscc/2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeMPK17, author = {Sangseon Lee and Ji Hwan Moon and Youngjune Park and Sun Kim}, title = {Flow maximization analysis of cell cycle pathway activation status in breast cancer subtypes}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {91--95}, year = {2017}, crossref = {DBLP:conf/bigcomp/2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881721}, doi = {10.1109/BIGCOMP.2017.7881721}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeMPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata/MoonMNPLK17, author = {Seongjoo Moon and Moran Min and Jangwoo Nam and Jaeyou Park and Doheon Lee and Daeyoung Kim}, title = {Drowsy Driving Warning System Based on {GS1} Standards with Machine Learning}, booktitle = {2017 {IEEE} International Congress on Big Data, BigData Congress 2017, Honolulu, HI, USA, June 25-30, 2017}, pages = {289--296}, year = {2017}, crossref = {DBLP:conf/bigdata/2017}, url = {https://doi.org/10.1109/BigDataCongress.2017.44}, doi = {10.1109/BIGDATACONGRESS.2017.44}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/bigdata/MoonMNPLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/Kim0KM17, author = {Sangchul Kim and Junhee Lee and Taehoon Kim and Bongki Moon}, title = {Scalable parallel data loading in SciDB}, booktitle = {2017 {IEEE} International Conference on Big Data {(IEEE} BigData 2017), Boston, MA, USA, December 11-14, 2017}, pages = {3443--3446}, year = {2017}, crossref = {DBLP:conf/bigdataconf/2017}, url = {https://doi.org/10.1109/BigData.2017.8258331}, doi = {10.1109/BIGDATA.2017.8258331}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/Kim0KM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdatasec/HongKLM17, author = {Sun{-}Kyung Hong and Hajin Kim and Sanghun Lee and Yang{-}Sae Moon}, title = {Secure Multiparty Computation of Chi-Square Test Statistics and Contingency Coefficients}, booktitle = {2017 {IEEE} 3rd International Conference on Big Data Security on Cloud (BigDataSecurity), {IEEE} International Conference on High Performance and Smart Computing, {(HPSC)} and {IEEE} International Conference on Intelligent Data and Security (IDS), Beijing, China, May 26-28, 2017}, pages = {53--56}, year = {2017}, crossref = {DBLP:conf/bigdatasec/2017}, url = {https://doi.org/10.1109/BigDataSecurity.2017.24}, doi = {10.1109/BIGDATASECURITY.2017.24}, timestamp = {Thu, 27 Jun 2024 11:38:53 +0200}, biburl = {https://dblp.org/rec/conf/bigdatasec/HongKLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MoonKKL17, author = {Seong{-}Eun Moon and Jun{-}Hyuk Kim and Sun{-}Wook Kim and Jong{-}Seok Lee}, title = {Assessing Product Design Using Photos and Real Products}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {1100--1107}, year = {2017}, crossref = {DBLP:conf/chi/2017a}, url = {https://doi.org/10.1145/3027063.3053336}, doi = {10.1145/3027063.3053336}, timestamp = {Tue, 06 Nov 2018 16:58:46 +0100}, biburl = {https://dblp.org/rec/conf/chi/MoonKKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/OhBL17, author = {Gil{-}Tak Oh and Moon{-}Ki Back and Kyu{-}Chul Lee}, title = {A Design and Implementation of the CoAP Adaptor for Communication Between DDS-Based Adaptors and External Devices}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, pages = {901--909}, year = {2017}, crossref = {DBLP:conf/csa2/2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_143}, doi = {10.1007/978-981-10-7605-3\_143}, timestamp = {Thu, 08 Aug 2019 13:42:55 +0200}, biburl = {https://dblp.org/rec/conf/csa2/OhBL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LimLMSKLKLLSSPL17, author = {Kyoohyun Lim and Sang{-}Hoon Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Yongha Lee and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Cheolhoon Sung and Geumyoung Park and Garam Lee and Minjung Kim and Seokyoung Park and Hyosun Jung and Jong{-}Ryul Lee}, title = {A 65nm {CMOS} 2{\texttimes}2 {MIMO} multi-band {LTE} {RF} transceiver for small cell base stations}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {332--335}, year = {2017}, crossref = {DBLP:conf/esscirc/2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094593}, doi = {10.1109/ESSCIRC.2017.8094593}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LimLMSKLKLLSSPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ShimKLM17, author = {Junhyun Shim and Joongi Kim and Keunhong Lee and Sue B. Moon}, title = {Knapp: {A} Packet Processing Framework for Manycore Accelerators}, booktitle = {3rd {IEEE} International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, HiPINEB@HPCA 2017, Austin, TX, USA, February 5, 2017}, pages = {57--64}, year = {2017}, crossref = {DBLP:conf/hpca/2017hipineb}, url = {https://doi.org/10.1109/HiPINEB.2017.8}, doi = {10.1109/HIPINEB.2017.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ShimKLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeLAKMJH17, author = {Sangjae Lee and Namkyoung Lee and Jeonghee Ahn and Jaewon Kim and Byoungchul Moon and Suk Hoon Jung and Dongsoo Han}, title = {Construction of an indoor positioning system for home IoT applications}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--7}, year = {2017}, crossref = {DBLP:conf/icc/2017}, url = {https://doi.org/10.1109/ICC.2017.7997159}, doi = {10.1109/ICC.2017.7997159}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeLAKMJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimCKLK17, author = {Taeksoo Kim and Moonsu Cha and Hyunsoo Kim and Jung Kwon Lee and Jiwon Kim}, title = {Learning to Discover Cross-Domain Relations with Generative Adversarial Networks}, booktitle = {Proceedings of the 34th International Conference on Machine Learning, {ICML} 2017, Sydney, NSW, Australia, 6-11 August 2017}, pages = {1857--1865}, year = {2017}, crossref = {DBLP:conf/icml/2017}, url = {http://proceedings.mlr.press/v70/kim17a.html}, timestamp = {Wed, 29 May 2019 08:41:45 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimCKLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/VununuKLML17, author = {Caleb Vununu and Ki{-}Ryong Kwon and Eung{-}Joo Lee and Kwang{-}Seok Moon and Suk{-}Hwan Lee}, title = {Automatic Fault Diagnosis of Drills Using Artificial Neural Networks}, booktitle = {16th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2017, Cancun, Mexico, December 18-21, 2017}, pages = {992--995}, year = {2017}, crossref = {DBLP:conf/icmla/2017}, url = {https://doi.org/10.1109/ICMLA.2017.00-23}, doi = {10.1109/ICMLA.2017.00-23}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/VununuKLML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmre/YoonLSBK17, author = {MoonYoung Yoon and Jungsuk Lee and Changjun Seo and Kwangsuk Boo and Heungseob Kim}, title = {Helical Gear Geometry Modification for Reduction of Transmission Error by Tooth Deflection}, booktitle = {Proceedings of the 3rd International Conference on Mechatronics and Robotics Engineering, Paris, France, February 8-12, 2017}, pages = {106--112}, year = {2017}, crossref = {DBLP:conf/icmre/2017}, url = {https://doi.org/10.1145/3068796.3068807}, doi = {10.1145/3068796.3068807}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmre/YoonLSBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChouKKYCLMCBSMM17, author = {Namsun Chou and YooNa Kang and Ho{-}Seong Kang and Jeong{-}Dae Yun and Wonju Chun and Kyeong Jae Lee and HyunMin Moon and Ik{-}Kyu Choi and Donghak Byun and Inho Song and Dong{-}Jun Moon and Jin{-}Hee Moon and Byeong Han Lee and Jungsuk Kim and Sung{-}Keun You and Sohee Kim}, title = {Development of an intrafascicular neural interface for peripheral nerve implantation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {847--850}, year = {2017}, crossref = {DBLP:conf/icorr/2017}, url = {https://doi.org/10.1109/ICORR.2017.8009354}, doi = {10.1109/ICORR.2017.8009354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChouKKYCLMCBSMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/DohLLMK17, author = {Yoonmee Doh and Eunju Lee and Chungho Lee and Youngbag Moon and Junghoon Kim}, title = {Preventive {ENERGY} {SAFETY} {USING} {ICT} cooperative prediction models}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {823--826}, year = {2017}, crossref = {DBLP:conf/icufn/2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993914}, doi = {10.1109/ICUFN.2017.7993914}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/DohLLMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeKLM17, author = {Youngjae Lee and Jinhong Kim and Haemin Lee and Kiyoung Moon}, title = {IoT-based data transmitting system using a {UWB} and {RFID} system in smart warehouse}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {545--547}, year = {2017}, crossref = {DBLP:conf/icufn/2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993846}, doi = {10.1109/ICUFN.2017.7993846}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/LeeKLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeMML17, author = {Heamin Lee and Aekyung Moon and Kiyeong Moon and Youngjae Lee}, title = {Disease and pest prediction IoT system in orchard: {A} preliminary study}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {525--527}, year = {2017}, crossref = {DBLP:conf/icufn/2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993840}, doi = {10.1109/ICUFN.2017.7993840}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/LeeMML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/MoonLYK17, author = {Eunbae Moon and Sungwon Lee and Muhammad Azfar Yaqub and Dongkyun Kim}, title = {p-BORE: Prioritized beacon repetition and contention window selection based {MAC} protocol in underwater wireless sensor networks}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {269--271}, year = {2017}, crossref = {DBLP:conf/icufn/2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993790}, doi = {10.1109/ICUFN.2017.7993790}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/MoonLYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuwb/LeeKMC17, author = {Youngjae Lee and Dongyeop Kang and Kiyoung Moon and Seongyun Cho}, title = {Enhancement of the real-time indoor ranging and positioning algorithm using an {UWB} system}, booktitle = {17th {IEEE} International Conference on Ubiquitous Wireless Broadband, {ICUWB} 2017, Salamanca, Spain, September 12-15, 2017}, pages = {1--4}, year = {2017}, crossref = {DBLP:conf/icuwb/2017}, url = {https://doi.org/10.1109/ICUWB.2017.8250979}, doi = {10.1109/ICUWB.2017.8250979}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icuwb/LeeKMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeOKM17, author = {Myoung{-}Hoon Lee and Kyu Taek Oh and Katherine A. Kim and Jun Moon}, title = {Decentralized optimal control for large populations of two-wheeled vehicles}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {3009--3014}, year = {2017}, crossref = {DBLP:conf/iecon/2017}, url = {https://doi.org/10.1109/IECON.2017.8216508}, doi = {10.1109/IECON.2017.8216508}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LeeOKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimPLM17, author = {Sungwoo Kim and Young Soo Park and Kihyun Lee and Ilkyeong Moon}, title = {Repair Crew Scheduling Considering Variable Disaster Aspects}, booktitle = {Advances in Production Management Systems. The Path to Intelligent, Collaborative and Sustainable Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2017, Hamburg, Germany, September 3-7, 2017, Proceedings, Part {I}}, pages = {57--63}, year = {2017}, crossref = {DBLP:conf/ifip5-7/2017apms1}, url = {https://doi.org/10.1007/978-3-319-66923-6\_7}, doi = {10.1007/978-3-319-66923-6\_7}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimPLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/KimPMKPL17, author = {Dong{-}Eon Kim and Dongju Park and Jeong{-}Hwan Moon and Ki{-}Seo Kim and Jin{-}Hyun Park and Jang{-}Myung Lee}, title = {Development of robot manipulation technology in {ROS} environment}, booktitle = {2017 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2017, Daegu, Korea (South), November 16-18, 2017}, pages = {464--470}, year = {2017}, crossref = {DBLP:conf/mfi/2017}, url = {https://doi.org/10.1109/MFI.2017.8170364}, doi = {10.1109/MFI.2017.8170364}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/KimPMKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ParkLLMKPPLH17, author = {Kyung Hyun Park and Eui Su Lee and Ll{-}Min Lee and Kiwon Moon and Hyun{-}Soo Kim and Jeong{-}Woo Park and Dong{-}Woo Park and Dong Hun Lee and Sang{-}Pil Han}, title = {Semiconductor-based terahertz photonics for industrial applications}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, year = {2017}, crossref = {DBLP:conf/ofc/2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7937462}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ParkLLMKPPLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ParkLMAK17, author = {Hyeongcheol Park and Sungwon Lee and Eunbae Moon and Syed Hassan Ahmed and Dongkyun Kim}, title = {Performance Analysis of Bicycle-to-Pedestrian Safety Application using Bluetooth Low Energy}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2017, Krakow, Poland, September 20-23, 2017}, pages = {160--165}, year = {2017}, crossref = {DBLP:conf/racs/2017}, url = {https://doi.org/10.1145/3129676.3129727}, doi = {10.1145/3129676.3129727}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/ParkLMAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChoiLKALSKL17, author = {Junho Choi and Yong Yi Lee and Yong Hwi Kim and Bilal Ahmed and Jong Hun Lee and Moon Gu Son and Junbum Kim and Kwan H. Lee}, title = {Curvature-aware adaptive capture of 3D geometry and appearance}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} 2017, Los Angeles, CA, USA, July 30 - August 3, 2017, Posters Proceedings}, pages = {40:1--40:2}, year = {2017}, crossref = {DBLP:conf/siggraph/2017posters}, url = {https://doi.org/10.1145/3102163.3102201}, doi = {10.1145/3102163.3102201}, timestamp = {Tue, 06 Apr 2021 12:32:49 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/ChoiLKALSKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/BaeNKLM17, author = {Jang Won Bae and Bowon Nam and Kee{-}Eung Kim and Junseok Lee and Il{-}Chul Moon}, title = {Hybrid modeling and simulation of tactical maneuvers in computer generated force}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {942--947}, year = {2017}, crossref = {DBLP:conf/smc/2017}, url = {https://doi.org/10.1109/SMC.2017.8122731}, doi = {10.1109/SMC.2017.8122731}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/BaeNKLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/MoonSLK17, author = {Ra{-}Jeong Moon and Kyu{-}Min Shim and Hae Young Lee and Hyung{-}Jong Kim}, title = {Log generation for coding behavior analysis: For focusing on how kids are coding not what they are coding}, booktitle = {{IEEE} 24th International Conference on Software Analysis, Evolution and Reengineering, {SANER} 2017, Klagenfurt, Austria, February 20-24, 2017}, pages = {575--576}, year = {2017}, crossref = {DBLP:conf/wcre/2017}, url = {https://doi.org/10.1109/SANER.2017.7884684}, doi = {10.1109/SANER.2017.7884684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/MoonSLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisec/EunMJLPK17, author = {C. Eun and J. Moon and Im Young Jung and D. Lee and J. Park and E. Kim}, title = {Secure infotainment infrastructure of connected car: poster}, booktitle = {Proceedings of the 10th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2017, Boston, MA, USA, July 18-20, 2017}, pages = {267--268}, year = {2017}, crossref = {DBLP:conf/wisec/2017}, url = {https://doi.org/10.1145/3098243.3106017}, doi = {10.1145/3098243.3106017}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisec/EunMJLPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pakdd/2017-1, editor = {Jinho Kim and Kyuseok Shim and Longbing Cao and Jae{-}Gil Lee and Xuemin Lin and Yang{-}Sae Moon}, title = {Advances in Knowledge Discovery and Data Mining - 21st Pacific-Asia Conference, {PAKDD} 2017, Jeju, South Korea, May 23-26, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10234}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57454-7}, doi = {10.1007/978-3-319-57454-7}, isbn = {978-3-319-57453-0}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/2017-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pakdd/2017-2, editor = {Jinho Kim and Kyuseok Shim and Longbing Cao and Jae{-}Gil Lee and Xuemin Lin and Yang{-}Sae Moon}, title = {Advances in Knowledge Discovery and Data Mining - 21st Pacific-Asia Conference, {PAKDD} 2017, Jeju, South Korea, May 23-26, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10235}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57529-2}, doi = {10.1007/978-3-319-57529-2}, isbn = {978-3-319-57528-5}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/2017-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimCKLK17, author = {Taeksoo Kim and Moonsu Cha and Hyunsoo Kim and Jung Kwon Lee and Jiwon Kim}, title = {Learning to Discover Cross-Domain Relations with Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1703.05192}, year = {2017}, url = {http://arxiv.org/abs/1703.05192}, eprinttype = {arXiv}, eprint = {1703.05192}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimCKLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-03917, author = {Shanxin Yuan and Guillermo Garcia{-}Hernando and Bj{\"{o}}rn Stenger and Gyeongsik Moon and Ju Yong Chang and Kyoung Mu Lee and Pavlo Molchanov and Jan Kautz and Sina Honari and Liuhao Ge and Junsong Yuan and Xinghao Chen and Guijin Wang and Fan Yang and Kai Akiyama and Yang Wu and Qingfu Wan and Meysam Madadi and Sergio Escalera and Shile Li and Dongheui Lee and Iason Oikonomidis and Antonis A. Argyros and Tae{-}Kyun Kim}, title = {3D Hand Pose Estimation: From Current Achievements to Future Goals}, journal = {CoRR}, volume = {abs/1712.03917}, year = {2017}, url = {http://arxiv.org/abs/1712.03917}, eprinttype = {arXiv}, eprint = {1712.03917}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-03917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeMKY16, author = {Taehoon Lee and Taesup Moon and Seung Jean Kim and Sungroh Yoon}, title = {Regularization and Kernelization of the Maximin Correlation Approach}, journal = {{IEEE} Access}, volume = {4}, pages = {1385--1392}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2551727}, doi = {10.1109/ACCESS.2016.2551727}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeMKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShinYKHLYKMHK16, author = {Young Gyung Shin and Jaeheung Yoo and Hyeong Ju Kwon and Jung Hwa Hong and Hye Sun Lee and Jung Hyun Yoon and Eun{-}Kyung Kim and Hee Jung Moon and Kyunghwa Han and Ji{-}Young Kwak}, title = {Histogram and gray level co-occurrence matrix on gray-scale ultrasound images for diagnosing lymphocytic thyroiditis}, journal = {Comput. Biol. Medicine}, volume = {75}, pages = {257--266}, year = {2016}, url = {https://doi.org/10.1016/j.compbiomed.2016.06.014}, doi = {10.1016/J.COMPBIOMED.2016.06.014}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ShinYKHLYKMHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KimKKL16, author = {Sunwoong Kim and Moonsoo Kim and Jin{-}Sung Kim and Hyuk{-}Jae Lee}, title = {Fixed-Ratio Compression of an {RGBW} Image and Its Hardware Implementation}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {6}, number = {4}, pages = {484--496}, year = {2016}, url = {https://doi.org/10.1109/JETCAS.2016.2608961}, doi = {10.1109/JETCAS.2016.2608961}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/KimKKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iee/ParkKSKL16, author = {Moon Chan Park and Woo Kwon Koo and Dong Geun Suh and In{-}Seok Kim and Dong Hoon Lee}, title = {Two-stage tamper response in tamper-resistant software}, journal = {{IET} Softw.}, volume = {10}, number = {3}, pages = {81--88}, year = {2016}, url = {https://doi.org/10.1049/iet-sen.2014.0231}, doi = {10.1049/IET-SEN.2014.0231}, timestamp = {Wed, 09 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iee/ParkKSKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/ChoiKKMHL16, author = {Jeongseok Choi and Taeyoung Kim and Jaekwon Kim and Sunghwan Moon and Youngshin Han and Jongsik Lee}, title = {Data collection model in hybrid network for participatory sensing}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {7}, number = {4}, pages = {1643002:1--1643002:7}, year = {2016}, url = {https://doi.org/10.1142/S1793962316430029}, doi = {10.1142/S1793962316430029}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/ChoiKKMHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/ChaKHLCS16, author = {Moon Soo Cha and So Yeon Kim and Jae Hee Ha and Min{-}June Lee and Young{-}June Choi and Kyung{-}Ah Sohn}, title = {Topic Model based Approach for Improved Indexing in Content based Document Retrieval}, journal = {Int. J. Networked Distributed Comput.}, volume = {4}, number = {1}, pages = {55--64}, year = {2016}, url = {https://doi.org/10.2991/ijndc.2016.4.1.6}, doi = {10.2991/IJNDC.2016.4.1.6}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/ChaKHLCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/PakAL0LS16, author = {Jung{-}Min Pak and Choon Ki Ahn and Chang Joo Lee and Peng Shi and Myo{-}Taeg Lim and Moon Kyou Song}, title = {Fuzzy horizon group shift {FIR} filtering for nonlinear systems with Takagi-Sugeno model}, journal = {Neurocomputing}, volume = {174}, pages = {1013--1020}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.10.029}, doi = {10.1016/J.NEUCOM.2015.10.029}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/PakAL0LS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtm/LeeKPK16, author = {Sora Lee and Moon{-}soo Kim and Yongtae Park and Chulhyun Kim}, title = {Identification of a technological chance in product-service system using KeyGraph and text mining on business method patents}, journal = {Int. J. Technol. Manag.}, volume = {70}, number = {4}, pages = {239--256}, year = {2016}, url = {https://doi.org/10.1504/IJTM.2016.075884}, doi = {10.1504/IJTM.2016.075884}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtm/LeeKPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/KimLSM16, author = {Sangchul Kim and Junhee Lee and Srinivasa Rao Satti and Bongki Moon}, title = {{SBH:} Super byte-aligned hybrid bitmap compression}, journal = {Inf. Syst.}, volume = {62}, pages = {155--168}, year = {2016}, url = {https://doi.org/10.1016/j.is.2016.07.004}, doi = {10.1016/J.IS.2016.07.004}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/KimLSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimOPCLM16, author = {Sungchan Kim and Hyunok Oh and Chanik Park and Sangyeun Cho and Sang{-}Won Lee and Bongki Moon}, title = {In-storage processing of database scans and joins}, journal = {Inf. Sci.}, volume = {327}, pages = {183--200}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2015.07.056}, doi = {10.1016/J.INS.2015.07.056}, timestamp = {Tue, 19 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/KimOPCLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeNKW16, author = {Hanwook Lee and Junghyun Nam and Moonseong Kim and Dongho Won}, title = {Forward Anonymity-Preserving Secure Remote Authentication Scheme}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {10}, number = {3}, pages = {1289--1310}, year = {2016}, url = {https://doi.org/10.3837/tiis.2016.03.019}, doi = {10.3837/TIIS.2016.03.019}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeNKW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/JeongCMHLKKCALL16, author = {Kwan Seong Jeong and Byung Seon Choi and Jei Kwon Moon and Dongjun Hyun and Jonghwan Lee and Ikjune Kim and Shin Young Kang and Jongwon Choi and Sang Myeon Ahn and Jung{-}Jun Lee and Byung Sik Lee}, title = {The safety assessment system based on virtual networked environment for evaluation on the hazards from human errors during decommissioning of nuclear facilities}, journal = {Reliab. Eng. Syst. Saf.}, volume = {156}, pages = {34--39}, year = {2016}, url = {https://doi.org/10.1016/j.ress.2016.07.023}, doi = {10.1016/J.RESS.2016.07.023}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/JeongCMHLKKCALL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/KimPALMK16, author = {Jong{-}Ho Kim and Jun{-}Jae Park and Sang{-}Ho Ahn and Deok{-}Gyu Lee and Daesung Moon and Sang{-}Kyoon Kim}, title = {Small target detection using morphology and modified Gaussian distance function}, journal = {Secur. Commun. Networks}, volume = {9}, number = {6}, pages = {555--560}, year = {2016}, url = {https://doi.org/10.1002/sec.1069}, doi = {10.1002/SEC.1069}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/KimPALMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/WonKLCM16, author = {Hee{-}Sun Won and Sang{-}Pil Kim and Sanghun Lee and Mi{-}Jung Choi and Yang{-}Sae Moon}, title = {Secure principal component analysis in multiple distributed nodes}, journal = {Secur. Commun. Networks}, volume = {9}, number = {14}, pages = {2348--2358}, year = {2016}, url = {https://doi.org/10.1002/sec.1501}, doi = {10.1002/SEC.1501}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/WonKLCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLCKCSMLKK16, author = {Gyeongrak Choi and Jong Won Lee and Ju Young Cha and Young{-}Ju Kim and Yeon{-}Sun Choi and Mark J. Schulz and Chang Kwon Moon and Kwon Tack Lim and Sung Yong Kim and Inpil Kang}, title = {A Spray-On Carbon Nanotube Artificial Neuron Strain Sensor for Composite Structural Health Monitoring}, journal = {Sensors}, volume = {16}, number = {8}, pages = {1171}, year = {2016}, url = {https://doi.org/10.3390/s16081171}, doi = {10.3390/S16081171}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLCKCSMLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKHKHLLKKHC16, author = {Dong Seok Kim and Yong Tae Kim and Seok Bok Hong and Jinwoon Kim and Nam Su Heo and Moon{-}Keun Lee and Seok Jae Lee and Byeong{-}Il Kim and In Soo Kim and Yun Suk Huh and Bong Gill Choi}, title = {Development of Lateral Flow Assay Based on Size-Controlled Gold Nanoparticles for Detection of Hepatitis {B} Surface Antigen}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2154}, year = {2016}, url = {https://doi.org/10.3390/s16122154}, doi = {10.3390/S16122154}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKHKHLLKKHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLLKMC16, author = {Kyungsoo Kim and Sung Ho Lim and Jaeseok Lee and Won{-}Seok Kang and Cheil Moon and Ji{-}Woong Choi}, title = {Joint Maximum Likelihood Time Delay Estimation of Unknown Event-Related Potential Signals for {EEG} Sensor Signal Quality Enhancement}, journal = {Sensors}, volume = {16}, number = {6}, pages = {891}, year = {2016}, url = {https://doi.org/10.3390/s16060891}, doi = {10.3390/S16060891}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLLKMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/LeeMK16, author = {Seong{-}Won Lee and Soo{-}Mook Moon and Seong{-}Moo Kim}, title = {Flow-sensitive runtime estimation: an enhanced hot spot detection heuristics for embedded Java just-in-time compilers}, journal = {Softw. Pract. Exp.}, volume = {46}, number = {6}, pages = {841--864}, year = {2016}, url = {https://doi.org/10.1002/spe.2315}, doi = {10.1002/SPE.2315}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/LeeMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinCJSKMKK16, author = {Wongyu Shin and Jungwhan Choi and Jaemin Jang and Jinwoong Suh and Yongkee Kwon and Youngsuk Moon and Hongsik Kim and Lee{-}Sup Kim}, title = {{Q-DRAM:} Quick-Access {DRAM} with Decoupled Restoring from Row-Activation}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {7}, pages = {2213--2227}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2479587}, doi = {10.1109/TC.2015.2479587}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShinCJSKMKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinCJSMKK16, author = {Wongyu Shin and Jungwhan Choi and Jaemin Jang and Jinwoong Suh and Youngsuk Moon and Yongkee Kwon and Lee{-}Sup Kim}, title = {DRAM-Latency Optimization Inspired by Relationship between Row-Access Time and Refresh Timing}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {10}, pages = {3027--3040}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2512863}, doi = {10.1109/TC.2015.2512863}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShinCJSMKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeKBKM16, author = {Jae{-}Bum Lee and Jae{-}Kuk Kim and Jae{-}Il Baek and Jae{-}Hyun Kim and Gun{-}Woo Moon}, title = {Resonant Capacitor On/Off Control of Half-Bridge {LLC} Converter for High-Efficiency Server Power Supply}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {9}, pages = {5410--5415}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2558481}, doi = {10.1109/TIE.2016.2558481}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeKBKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MoonLJK16, author = {Seokbae Moon and Jewon Lee and Hyeyun Jeong and Sang Woo Kim}, title = {Demagnetization Fault Diagnosis of a {PMSM} Based on Structure Analysis of Motor Inductance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {6}, pages = {3795--3803}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2530046}, doi = {10.1109/TIE.2016.2530046}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/MoonLJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/KangLM16, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon}, title = {Flash as cache extension for online transactional workloads}, journal = {{VLDB} J.}, volume = {25}, number = {5}, pages = {673--694}, year = {2016}, url = {https://doi.org/10.1007/s00778-015-0414-1}, doi = {10.1007/S00778-015-0414-1}, timestamp = {Tue, 19 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vldb/KangLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/KangKYLOMC16, author = {Gitae Kang and Yong Bum Kim and Won Suk You and Young Hun Lee and Hyun Seok Oh and Hyungpil Moon and Hyouk Ryeol Choi}, title = {Sampling-based path planning with goal oriented sampling}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2016, Banff, AB, Canada, July 12-15, 2016}, pages = {1285--1290}, year = {2016}, crossref = {DBLP:conf/aimech/2016}, url = {https://doi.org/10.1109/AIM.2016.7576947}, doi = {10.1109/AIM.2016.7576947}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/KangKYLOMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/MoonPL16, author = {Jae{-}Hee Moon and Ki{-}Hee Park and Seong{-}Whan Lee}, title = {Neurodrawing: neurofeedback for enhancing attention by drawing}, booktitle = {4th International Winter Conference on Brain-Computer Interface, {BCI} 2016, Gangwon Province, South Korea, February 22-24, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/bci3/2016}, url = {https://doi.org/10.1109/IWW-BCI.2016.7457464}, doi = {10.1109/IWW-BCI.2016.7457464}, timestamp = {Thu, 24 Mar 2022 08:35:09 +0100}, biburl = {https://dblp.org/rec/conf/bci3/MoonPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/OhBOL16, author = {Jung{-}Hoon Oh and Moon{-}Ki Back and Gil{-}Tak Oh and Kyu{-}Chul Lee}, title = {A Study on DDS-Based {BLE} Profile Adaptor for Solving {BLE} Data Heterogeneity in Internet of Things}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, pages = {619--624}, year = {2016}, crossref = {DBLP:conf/csa2/2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_95}, doi = {10.1007/978-981-10-3023-9\_95}, timestamp = {Tue, 08 Oct 2019 14:37:27 +0200}, biburl = {https://dblp.org/rec/conf/csa2/OhBOL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/KimLCW16, author = {Sung{-}Soo Kim and Taewhi Lee and Moonyoung Chung and Jongho Won}, title = {Sweet {KIWI:} Statistics-Driven {OLAP} Acceleration using Query Column Sets}, booktitle = {Proceedings of the 19th International Conference on Extending Database Technology, {EDBT} 2016, Bordeaux, France, March 15-16, 2016, Bordeaux, France, March 15-16, 2016}, pages = {680--681}, year = {2016}, crossref = {DBLP:conf/edbt/2016}, url = {https://doi.org/10.5441/002/edbt.2016.84}, doi = {10.5441/002/EDBT.2016.84}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edbt/KimLCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/LeeLKP16, author = {Doris Jung Lin Lee and Joanne Lo and Moonhyok Kim and Eric Paulos}, title = {Crowdclass: Designing Classification-Based Citizen Science Learning Modules}, booktitle = {Proceedings of the Fourth {AAAI} Conference on Human Computation and Crowdsourcing, {HCOMP} 2016, 30 October - 3 November, 2016, Austin, Texas, {USA}}, pages = {109--118}, year = {2016}, crossref = {DBLP:conf/hcomp/2016}, url = {https://doi.org/10.1609/hcomp.v4i1.13273}, doi = {10.1609/HCOMP.V4I1.13273}, timestamp = {Tue, 05 Sep 2023 11:10:11 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/LeeLKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HanCLK16, author = {Jinsoo Han and Moonok Choi and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {Photovoltaic energy sharing system in a multifamily residential house to reduce total energy costs}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, pages = {111--112}, year = {2016}, crossref = {DBLP:conf/iccel/2016}, url = {https://doi.org/10.1109/ICCE.2016.7430541}, doi = {10.1109/ICCE.2016.7430541}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/HanCLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/JeongMLK16, author = {Hyeyun Jeong and Seokbae Moon and Jewon Lee and Sang Woo Kim}, title = {Inter-turn short fault diagnosis of permanent magnet synchronous machines using negative sequence components}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {170--174}, year = {2016}, crossref = {DBLP:conf/icit2/2016}, url = {https://doi.org/10.1109/ICIT.2016.7474745}, doi = {10.1109/ICIT.2016.7474745}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/JeongMLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/LeeCKSW16, author = {Taewhi Lee and Moonyoung Chung and Sung{-}Soo Kim and Hyewon Song and Jongho Won}, title = {Partial Materialization for Data Integration in SQL-on-Hadoop Engines}, booktitle = {6th International Conference on {IT} Convergence and Security, {ICITCS} 2016, Prague, Czech Republic, September 26, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/icitcs/2016}, url = {https://doi.org/10.1109/ICITCS.2016.7740361}, doi = {10.1109/ICITCS.2016.7740361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/LeeCKSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimHLO16, author = {Jung Hoon Kim and Sung{-}moon Hur and Jongwoo Lee and Yonghwan Oh}, title = {A study on the {L1} optimal {PD} controller with application to joint motion control of a robot manipulator}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {5696--5701}, year = {2016}, crossref = {DBLP:conf/icra/2016}, url = {https://doi.org/10.1109/ICRA.2016.7487792}, doi = {10.1109/ICRA.2016.7487792}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimHLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangMLJL16, author = {Hun Sik Kang and Sang{-}Rok Moon and Ju{-}Hyun Lee and In{-}San Jeon and Joon{-}Ki Lee}, title = {Design and performance evaluation of 100 Gb/s LDPC-coded polarization division multiplexing {CO-OFDM} physical layer}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {720--723}, year = {2016}, crossref = {DBLP:conf/ictc/2016}, url = {https://doi.org/10.1109/ICTC.2016.7763278}, doi = {10.1109/ICTC.2016.7763278}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KangMLJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MinKLL16, author = {Gihyeon Min and Nac{-}Woo Kim and Moon Sub Lee and Byung{-}Tak Lee}, title = {Object calibration in the active schlieren optical imaging}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1108--1110}, year = {2016}, crossref = {DBLP:conf/ictc/2016}, url = {https://doi.org/10.1109/ICTC.2016.7763380}, doi = {10.1109/ICTC.2016.7763380}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/MinKLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JeongLMPYK16, author = {Yonghwan Jeong and Sungwon Lee and Eunbae Moon and Hyeongcheol Park and Hongseok Yoo and Dongkyun Kim}, title = {Hop-interval based decision of operational mode in {RPL} with multi-instance}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {591--593}, year = {2016}, crossref = {DBLP:conf/icufn/2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537101}, doi = {10.1109/ICUFN.2016.7537101}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JeongLMPYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimMBL16, author = {Jun Woo Kim and Jang{-}won Moon and Young{-}Jo Bang and Hoon Lee}, title = {A practical method of designing DFT-based channel estimator}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {710--714}, year = {2016}, crossref = {DBLP:conf/icufn/2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537129}, doi = {10.1109/ICUFN.2016.7537129}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimMBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LeeLKM16, author = {Wonsung Lee and Youngmin Lee and Heeyoung Kim and Il{-}Chul Moon}, title = {Bayesian Nonparametric Collaborative Topic Poisson Factorization for Electronic Health Records-Based Phenotyping}, booktitle = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, pages = {2544--2552}, year = {2016}, crossref = {DBLP:conf/ijcai/2016}, url = {http://www.ijcai.org/Abstract/16/362}, timestamp = {Tue, 20 Aug 2019 16:19:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/LeeLKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKKL16, author = {Moonsoo Kim and Sunwoong Kim and Jin{-}Sung Kim and Hyuk{-}Jae Lee}, title = {Fixed-length Golomb-Rice coding by quantization level estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2683--2686}, year = {2016}, crossref = {DBLP:conf/iscas/2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539146}, doi = {10.1109/ISCAS.2016.7539146}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKPHK16, author = {Jae{-}Whan Lee and Mino Kim and Jihwan Park and Gi{-}Moon Hong and Suhwan Kim}, title = {Phase shift keying demodulator with decision feedback phase-locked loop}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1550--1553}, year = {2016}, crossref = {DBLP:conf/iscas/2016}, url = {https://doi.org/10.1109/ISCAS.2016.7538858}, doi = {10.1109/ISCAS.2016.7538858}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKPHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/WiMLL16, author = {Sarang Wi and Kiwoon Moon and Boo{-}Hyung Lee and Jong{-}Hyouk Lee}, title = {Group Key Based Session Key Establishment Protocol for a Vehicle Diagnostic}, booktitle = {Quality, Reliability, Security and Robustness in Heterogeneous Networks - 12th International Conference, QShine 2016, Seoul, Korea, July 7-8, 2016, Proceedings}, pages = {62--71}, year = {2016}, crossref = {DBLP:conf/qshine/2016}, url = {https://doi.org/10.1007/978-3-319-60717-7\_7}, doi = {10.1007/978-3-319-60717-7\_7}, timestamp = {Tue, 17 Jul 2018 17:41:11 +0200}, biburl = {https://dblp.org/rec/conf/qshine/WiMLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LeeMK16, author = {Sungwon Lee and Eunbae Moon and Dongkyun Kim}, title = {Consistency of Path based Upward Path Recovery Method to Reduce Path Recovery Delay for {RPL}}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, pages = {117--120}, year = {2016}, crossref = {DBLP:conf/racs/2016}, url = {https://doi.org/10.1145/2987386.2987430}, doi = {10.1145/2987386.2987430}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/LeeMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/AhmedLLCKSJL16, author = {Bilal Ahmed and Jong Hun Lee and Yong Yi Lee and Junho Choi and Yong Hwi Kim and Moon Gu Son and Min Ho Joo and Kwan H. Lee}, title = {A method for realistic 3D projection mapping using multiple projectors}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '16, Anaheim, CA, USA, July 24-28, 2016, Posters Proceedings}, pages = {76:1--76:2}, year = {2016}, crossref = {DBLP:conf/siggraph/2016posters}, url = {https://doi.org/10.1145/2945078.2945154}, doi = {10.1145/2945078.2945154}, timestamp = {Tue, 06 Nov 2018 16:59:15 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/AhmedLLCKSJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChoiLLKASJL16, author = {Junho Choi and Jong Hun Lee and Yong Yi Lee and Yong Hwi Kim and Bilal Ahmed and Moon Gu Son and Min Ho Joo and Kwan H. Lee}, title = {Realistic 3D projection mapping using polynomial texture maps}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '16, Anaheim, CA, USA, July 24-28, 2016, Posters Proceedings}, pages = {64:1--64:2}, year = {2016}, crossref = {DBLP:conf/siggraph/2016posters}, url = {https://doi.org/10.1145/2945078.2945142}, doi = {10.1145/2945078.2945142}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/ChoiLLKASJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/SongMAYLKLP16, author = {Chengyu Song and Hyungon Moon and Monjur Alam and Insu Yun and Byoungyoung Lee and Taesoo Kim and Wenke Lee and Yunheung Paek}, title = {{HDFI:} Hardware-Assisted Data-Flow Isolation}, booktitle = {{IEEE} Symposium on Security and Privacy, {SP} 2016, San Jose, CA, USA, May 22-26, 2016}, pages = {1--17}, year = {2016}, crossref = {DBLP:conf/sp/2016}, url = {https://doi.org/10.1109/SP.2016.9}, doi = {10.1109/SP.2016.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sp/SongMAYLKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spline/KimKLMJK16, author = {Yong Guk Kim and YoungShin Kim and Sang Hyuck Lee and Sang{-}Taeck Moon and Moongu Jeon and Hong Kook Kim}, title = {Underwater acoustic sensor fault detection for passive sonar systems}, booktitle = {First International Workshop on Sensing, Processing and Learning for Intelligent Machines, {SPLINE} 2016, Aalborg, Denmark, July 6-8, 2016}, pages = {1--4}, year = {2016}, crossref = {DBLP:conf/spline/2016}, url = {https://doi.org/10.1109/SPLIM.2016.7528395}, doi = {10.1109/SPLIM.2016.7528395}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/spline/KimKLMJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimBPLPBP16, author = {Peter Ki Kim and Ji{-}Hun Bae and Hyeonjun Park and Dong{-}Huk Lee and Jae{-}Han Park and Moon{-}Hong Baeg and Jaeheung Park}, title = {Dual-arm robot box taping with kinesthetic teaching}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {555--557}, year = {2016}, crossref = {DBLP:conf/urai/2016}, url = {https://doi.org/10.1109/URAI.2016.7625777}, doi = {10.1109/URAI.2016.7625777}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimBPLPBP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKCJC16, author = {Yoon Geon Lee and Ho Moon Kim and Yun{-}Seok Choi and Heesik Jang and Hyouk Ryeol Choi}, title = {Control strategy of in-pipe robot passing through elbow}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {442--443}, year = {2016}, crossref = {DBLP:conf/urai/2016}, url = {https://doi.org/10.1109/URAI.2016.7734077}, doi = {10.1109/URAI.2016.7734077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKCJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKPPBB16, author = {Dong{-}Hyuk Lee and Peter Ki Kim and Hyeonjun Park and Jae{-}Han Park and Moon{-}Hong Baeg and Ji{-}Hun Bae}, title = {Optimal arrangement of base frame of a hydro-actuated dual-arm robot}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {867--868}, year = {2016}, crossref = {DBLP:conf/urai/2016}, url = {https://doi.org/10.1109/URAI.2016.7733997}, doi = {10.1109/URAI.2016.7733997}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKPPBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSSWCKKKKJKH16, author = {Sangheon Lee and Jeonghwan Song and Changhyuk Seong and Jiyong Woo and Jong{-}Moon Choi and Soon{-}Chan Kwon and Ho{-}Joon Kim and Hyun{-}Suk Kang and Soo Gil Kim and Hoe Gwon Jung and Kee{-}Won Kwon and Hyunsang Hwang}, title = {Full chip integration of 3-d cross-point ReRAM with leakage-compensating write driver and disturbance-aware sense amplifier}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/vlsic/2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573503}, doi = {10.1109/VLSIC.2016.7573503}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSSWCKKKKJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/GiaoLMK16, author = {Pham Ngoc Giao and Suk{-}Hwan Lee and Kwang{-}Seok Moon and Ki{-}Ryong Kwon}, title = {{GIS} Vector Map Perceptual Encryption Scheme Using Geometric Objects}, booktitle = {Information Security Applications - 17th International Workshop, {WISA} 2016, Jeju Island, Korea, August 25-27, 2016, Revised Selected Papers}, pages = {210--220}, year = {2016}, crossref = {DBLP:conf/wisa/2016}, url = {https://doi.org/10.1007/978-3-319-56549-1\_18}, doi = {10.1007/978-3-319-56549-1\_18}, timestamp = {Tue, 14 May 2019 10:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wisa/GiaoLMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wts/LeeLK16, author = {Jaemoon Lee and Jaesung Lim and Eunki Kim}, title = {Comparison between multimode-monopulse and step-tracking techniques for a {UAV} satellite terminal}, booktitle = {2016 Wireless Telecommunications Symposium, {WTS} 2016, London, United Kingdom, April 18-20, 2016}, pages = {1--5}, year = {2016}, crossref = {DBLP:conf/wts/2016}, url = {https://doi.org/10.1109/WTS.2016.7482031}, doi = {10.1109/WTS.2016.7482031}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wts/LeeLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/convergence/LeeK16, author = {Jo{-}Won Lee and Moonkyung Mark Kim}, title = {Nanotechnology-Neuroscience Convergence}, booktitle = {Handbook of Science and Technology Convergence}, pages = {293--306}, year = {2016}, crossref = {DBLP:reference/convergence/2016}, url = {https://doi.org/10.1007/978-3-319-07052-0\_19}, doi = {10.1007/978-3-319-07052-0\_19}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/convergence/LeeK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/LeeMKY15, author = {Dongwon Lee and Junghoon Moon and YongJin Kim and Mun Yong Yi}, title = {Antecedents and consequences of mobile phone usability: Linking simplicity and interactivity to satisfaction, trust, and brand loyalty}, journal = {Inf. Manag.}, volume = {52}, number = {3}, pages = {295--304}, year = {2015}, url = {https://doi.org/10.1016/j.im.2014.12.001}, doi = {10.1016/J.IM.2014.12.001}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/LeeMKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/ChoiLKKPMRJ15, author = {YoungJin Choi and SangHak Lee and JinHwan Kim and YongJu Kim and HyeonGyu Pak and GyuYoung Moon and JongHei Ra and Yong{-}Gyu Jung}, title = {The method to secure scalability and high density in cloud data-center}, journal = {Inf. Syst.}, volume = {48}, pages = {274--278}, year = {2015}, url = {https://doi.org/10.1016/j.is.2014.05.013}, doi = {10.1016/J.IS.2014.05.013}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/is/ChoiLKKPMRJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/CheonKLY15, author = {Jung Hee Cheon and Jinsu Kim and Moon Sung Lee and Aaram Yun}, title = {CRT-based fully homomorphic encryption over the integers}, journal = {Inf. Sci.}, volume = {310}, pages = {149--162}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2015.03.019}, doi = {10.1016/J.INS.2015.03.019}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/CheonKLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimKYKYLLMKC15, author = {Yong Bum Kim and Gitae Kang and Gun Kyu Yee and Anna Kim and Won Suk You and Young Hun Lee and Fengyi Liu and Hyungpil Moon and Jachoon Koo and Hyoukryeol Choi}, title = {Exploration and reconstruction of unknown object by active touch of robot hand}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {3}, pages = {141--149}, year = {2015}, url = {https://doi.org/10.1007/s11370-015-0174-1}, doi = {10.1007/S11370-015-0174-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimKYKYLLMKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/LiuKYYKKLMKC15, author = {Fengyi Liu and Yong Bum Kim and Gun Kyu Yee and Won Suk You and Gitae Kang and Anna Kim and Young Hun Lee and Hyungpil Moon and Jachoon Koo and Hyoukryeol Choi}, title = {Computation of minimum contact forces of multifingered robot hand with soft fingertips}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {4}, pages = {225--232}, year = {2015}, url = {https://doi.org/10.1007/s11370-015-0178-x}, doi = {10.1007/S11370-015-0178-X}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/LiuKYYKKLMKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeMKJP15, author = {Hansung Lee and Daesung Moon and Ikkyun Kim and Hoseok Jung and Daihee Park}, title = {Anomaly Intrusion Detection Based on Hyper-ellipsoid in the Kernel Feature Space}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {9}, number = {3}, pages = {1173--1192}, year = {2015}, url = {https://doi.org/10.3837/tiis.2015.03.019}, doi = {10.3837/TIIS.2015.03.019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/LeeMKJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/KimLPCH15, author = {Hyunsoo Kim and Hyunsoo Lee and Moonseo Park and Booyoung Chung and Sungjoo Hwang}, title = {Information Retrieval Framework for Hazard Identification in Construction}, journal = {J. Comput. Civ. Eng.}, volume = {29}, number = {3}, year = {2015}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000340}, doi = {10.1061/(ASCE)CP.1943-5487.0000340}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/KimLPCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/MoonKKK15, author = {Hyoun{-}Seok Moon and Hyeon{-}Seung Kim and Vineet R. Kamat and Leen{-}Seok Kang}, title = {BIM-Based Construction Scheduling Method Using Optimization Theory for Reducing Activity Overlaps}, journal = {J. Comput. Civ. Eng.}, volume = {29}, number = {3}, year = {2015}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000342}, doi = {10.1061/(ASCE)CP.1943-5487.0000342}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/MoonKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/OhKLM15, author = {Gihwan Oh and Sangchul Kim and Sang{-}Won Lee and Bongki Moon}, title = {SQLite Optimization with Phase Change Memory for Mobile Applications}, journal = {Proc. {VLDB} Endow.}, volume = {8}, number = {12}, pages = {1454--1465}, year = {2015}, url = {http://www.vldb.org/pvldb/vol8/p1454-oh.pdf}, doi = {10.14778/2824032.2824044}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/OhKLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMJK15, author = {Jewon Lee and Seokbae Moon and Hyeyun Jeong and Sang Woo Kim}, title = {Robust Diagnosis Method Based on Parameter Estimation for an Interturn Short-Circuit Fault in Multipole {PMSM} under High-Speed Operation}, journal = {Sensors}, volume = {15}, number = {11}, pages = {29452--29466}, year = {2015}, url = {https://doi.org/10.3390/s151129452}, doi = {10.3390/S151129452}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeMJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMLAAAASK15, author = {Jonghwan Lee and Sung Ung Moon and Yong Seung Lee and Bahy A. Ali and Abdulaziz A. Al{-}Khedhairy and Daoud Ali and Javed Ahmed and Abdullah M. Al Salem and Soonhag Kim}, title = {Quantum Dot-Based Molecular Beacon to Monitor Intracellular MicroRNAs}, journal = {Sensors}, volume = {15}, number = {6}, pages = {12872--12883}, year = {2015}, url = {https://doi.org/10.3390/s150612872}, doi = {10.3390/S150612872}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeMLAAAASK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimCKLPM15, author = {Kyungrog Kim and Yoo{-}Joo Choi and Mihui Kim and Jung{-}Won Lee and Doo{-}Soon Park and Nammee Moon}, title = {Teaching-Learning Activity Modeling Based on Data Analysis}, journal = {Symmetry}, volume = {7}, number = {1}, pages = {206--219}, year = {2015}, url = {https://doi.org/10.3390/sym7010206}, doi = {10.3390/SYM7010206}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KimCKLPM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/BaeKSMYL15, author = {Jae{-}Nam Bae and Yong{-}Eun Kim and Young{-}Wook Son and Heeseok Moon and Chang{-}Hee Yoo and Ju Lee}, title = {Self-Excited Induction Generator as an Auxiliary Brake for Heavy Vehicles and Its Analog Controller}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {5}, pages = {3091--3100}, year = {2015}, url = {https://doi.org/10.1109/TIE.2014.2379218}, doi = {10.1109/TIE.2014.2379218}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/BaeKSMYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/BaekKLYM15, author = {Jae{-}Il Baek and Jae{-}Kuk Kim and Jae{-}Bum Lee and Han{-}Shin Youn and Gun{-}Woo Moon}, title = {Integrated Asymmetrical Half-Bridge Zeta {(AHBZ)} Converter for {DC/DC} Stage of {LED} Driver With Wide Output Voltage Range and Low Output Current}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {12}, pages = {7489--7498}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2454486}, doi = {10.1109/TIE.2015.2454486}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/BaekKLYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChuKPHJLL15, author = {Myonglae Chu and Byoungho Kim and Sangsu Park and Hyunsang Hwang and Moongu Jeon and Byoung Hun Lee and Byung{-}Geun Lee}, title = {Neuromorphic Hardware System for Visual Pattern Recognition With Memristor Array and {CMOS} Neuron}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {4}, pages = {2410--2419}, year = {2015}, url = {https://doi.org/10.1109/TIE.2014.2356439}, doi = {10.1109/TIE.2014.2356439}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChuKPHJLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tlsdkcs/LeeKML15, author = {Suan Lee and Jinho Kim and Yang{-}Sae Moon and Wookey Lee}, title = {Efficient Level-Based Top-Down Data Cube Computation Using MapReduce}, journal = {Trans. Large Scale Data Knowl. Centered Syst.}, volume = {21}, pages = {1--19}, year = {2015}, crossref = {DBLP:journals/tlsdkcs/2015-21}, url = {https://doi.org/10.1007/978-3-662-47804-2\_1}, doi = {10.1007/978-3-662-47804-2\_1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tlsdkcs/LeeKML15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/MoonYLO15, author = {Ki{-}Ryang Moon and Do{-}Sik Yoo and Wonjun Lee and Seong{-}Jun Oh}, title = {Receiver Cooperation in Topology Control for Wireless Ad-Hoc Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {14}, number = {4}, pages = {1858--1870}, year = {2015}, url = {https://doi.org/10.1109/TWC.2014.2374617}, doi = {10.1109/TWC.2014.2374617}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/MoonYLO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MoonKMYLKKLH15, author = {Sangmi Moon and Bora Kim and Saransh Malik and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Jihyung Kim and Moonsik Lee and Intae Hwang}, title = {Novel Hybrid Receiver for Interference Cancellation and Suppression in Sidehaul System}, journal = {Wirel. Pers. Commun.}, volume = {84}, number = {1}, pages = {377--393}, year = {2015}, url = {https://doi.org/10.1007/s11277-015-2613-x}, doi = {10.1007/S11277-015-2613-X}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/MoonKMYLKKLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/ChaKHLCS15, author = {Moon Soo Cha and So Yeon Kim and Jae Hee Ha and Min{-}June Lee and Young{-}June Choi and Kyung{-}Ah Sohn}, title = {{CBDIR:} Fast and effective content based document Information Retrieval system}, booktitle = {14th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2015, Las Vegas, NV, USA, June 28 - July 1, 2015}, pages = {203--208}, year = {2015}, crossref = {DBLP:conf/ACISicis/2015}, url = {https://doi.org/10.1109/ICIS.2015.7166594}, doi = {10.1109/ICIS.2015.7166594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/ChaKHLCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/MoonGYL15, author = {Young{-}Geun Moon and Seok{-}Jo Go and Ki{-}Ho Yu and Min{-}Cheol Lee}, title = {Development of 3D laser range finder system for object recognition}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, pages = {1402--1405}, year = {2015}, crossref = {DBLP:conf/aimech/2015}, url = {https://doi.org/10.1109/AIM.2015.7222736}, doi = {10.1109/AIM.2015.7222736}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/MoonGYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LeeKLL15, author = {Moon{-}Sang Lee and Woo{-}Tae Kim and Jae{-}Bong Lee and Young{-}Woo Lee}, title = {Architectural perspective on collaborative multipath {TCP} in mobile environment}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {475--478}, year = {2015}, crossref = {DBLP:conf/apnoms/2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275370}, doi = {10.1109/APNOMS.2015.7275370}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/LeeKLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/KimLCW15, author = {Sung{-}Soo Kim and Taewhi Lee and Moonyoung Chung and Jongho Won}, title = {Flying {KIWI:} Design of Approximate Query Processing Engine for Interactive Data Analytics at Scale}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {206--207}, year = {2015}, crossref = {DBLP:conf/bigdas/2015}, url = {https://doi.org/10.1145/2837060.2837096}, doi = {10.1145/2837060.2837096}, timestamp = {Thu, 20 Jan 2022 09:49:18 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/KimLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/KimMLSK15, author = {Jinoh Kim and Ilhwan Moon and Kyung{-}Il Lee and Sang C. Suh and Ikkyun Kim}, title = {Scalable Security Event Aggregation for Situation Analysis}, booktitle = {First {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2015, Redwood City, CA, USA, March 30 - April 2, 2015}, pages = {14--23}, year = {2015}, crossref = {DBLP:conf/bigdataservice/2015}, url = {https://doi.org/10.1109/BigDataService.2015.28}, doi = {10.1109/BIGDATASERVICE.2015.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataservice/KimMLSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/KimCLJ15, author = {Mansik Kim and Wonkyu Choi and Ayoung Lee and Moon{-}Seog Jun}, title = {PUF-Based Privacy Protection Method in {VANET} Environment}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, pages = {263--268}, year = {2015}, crossref = {DBLP:conf/csa2/2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_38}, doi = {10.1007/978-981-10-0281-6\_38}, timestamp = {Fri, 08 Mar 2019 11:06:31 +0100}, biburl = {https://dblp.org/rec/conf/csa2/KimCLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/MoonLKM15, author = {Seongwoo Moon and Sanghun Lee and Bum{-}Soo Kim and Yang{-}Sae Moon}, title = {Visualization Tool for Boundary Image Matching Based on Time-Series Data}, booktitle = {Database Systems for Advanced Applications - {DASFAA} 2015 International Workshops, SeCoP, BDMS, and Posters, Hanoi, Vietnam, April 20-23, 2015, Revised Selected Papers}, pages = {286--292}, year = {2015}, crossref = {DBLP:conf/dasfaa/2015w}, url = {https://doi.org/10.1007/978-3-319-22324-7\_29}, doi = {10.1007/978-3-319-22324-7\_29}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/MoonLKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dh/LeeCAKLSYL15, author = {Yong Yi Lee and Junho Choi and Bilal Ahmed and Yong Hwi Kim and Jong Hun Lee and Moon Gu Son and Jae Doug Yoo and Kwan H. Lee}, title = {A SAR-based interactive digital exhibition of Korean cultural artifacts}, booktitle = {2nd Digital Heritage International Congress, DigitalHERITAGE 2015, Granada, Spain, September 28 - October 2, 2015, Volume {II}}, pages = {655--658}, year = {2015}, crossref = {DBLP:conf/dh/2015}, url = {https://doi.org/10.1109/DigitalHeritage.2015.7419591}, doi = {10.1109/DIGITALHERITAGE.2015.7419591}, timestamp = {Tue, 22 Jun 2021 17:48:37 +0200}, biburl = {https://dblp.org/rec/conf/dh/LeeCAKLSYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsdis/ChoiPL15, author = {Moon{-}Ok Choi and Wan{-}Ki Park and Il{-}Woo Lee}, title = {Smart Office Energy-Saving Service Using Bluetooth Low Energy Beacons and Smart Plugs}, booktitle = {{IEEE} International Conference on Data Science and Data Intensive Systems, {DSDIS} 2015, Sydney, Australia, December 11-13, 2015}, pages = {247--251}, year = {2015}, crossref = {DBLP:conf/dsdis/2015}, url = {https://doi.org/10.1109/DSDIS.2015.69}, doi = {10.1109/DSDIS.2015.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsdis/ChoiPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/LeeLYMLKT15, author = {Byung{-}Yoon Lee and Hae{-}In Lee and Dong{-}Wan Yoo and Gun{-}Hee Moon and Dong{-}Yeon Lee and Yun{-}Young Kim and Min{-}Jea Tahk}, title = {Study on payload stabilization method with the slung-load transportation system using a quad-rotor}, booktitle = {14th European Control Conference, {ECC} 2015, Linz, Austria, July 15-17, 2015}, pages = {2097--2102}, year = {2015}, crossref = {DBLP:conf/eucc/2015}, url = {https://doi.org/10.1109/ECC.2015.7330849}, doi = {10.1109/ECC.2015.7330849}, timestamp = {Tue, 01 Jun 2021 15:22:59 +0200}, biburl = {https://dblp.org/rec/conf/eucc/LeeLYMLKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/MoonLK15, author = {Seokbae Moon and Jewon Lee and Sang Woo Kim}, title = {Demagnetization fault diagnosis of {PMSM} based on analytic inductance calculation}, booktitle = {14th European Control Conference, {ECC} 2015, Linz, Austria, July 15-17, 2015}, pages = {3186--3190}, year = {2015}, crossref = {DBLP:conf/eucc/2015}, url = {https://doi.org/10.1109/ECC.2015.7331024}, doi = {10.1109/ECC.2015.7331024}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eucc/MoonLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/KimJLMSM15, author = {Joongi Kim and Keon Jang and Keunhong Lee and Sangwook Ma and Junhyun Shim and Sue B. Moon}, title = {{NBA} (network balancing act): a high-performance packet processing framework for heterogeneous processors}, booktitle = {Proceedings of the Tenth European Conference on Computer Systems, EuroSys 2015, Bordeaux, France, April 21-24, 2015}, pages = {22:1--22:14}, year = {2015}, crossref = {DBLP:conf/eurosys/2015}, url = {https://doi.org/10.1145/2741948.2741969}, doi = {10.1145/2741948.2741969}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/KimJLMSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/KimLKKMR15, author = {Mina Kim and Won{-}Hee Lee and Se Yun Kim and Hee Joon Kim and Hoisik Moon and Jong Beom Ra}, title = {Gamma curve determination for each individual pixel on high-resolution flat panel display}, booktitle = {23rd European Signal Processing Conference, {EUSIPCO} 2015, Nice, France, August 31 - September 4, 2015}, pages = {1795--1799}, year = {2015}, crossref = {DBLP:conf/eusipco/2015}, url = {https://doi.org/10.1109/EUSIPCO.2015.7362693}, doi = {10.1109/EUSIPCO.2015.7362693}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/KimLKKMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/VincentKLM15, author = {Ivan Vincent and Ki{-}Ryong Kwon and Suk{-}Hwan Lee and Kwang{-}Seok Moon}, title = {Acute lymphoid leukemia classification using two-step neural network classifier}, booktitle = {21st Korea-Japan Joint Workshop on Frontiers of Computer Vision, {FCV} 2015, Mokpo, South Korea, January 28-30, 2015}, pages = {1--4}, year = {2015}, crossref = {DBLP:conf/fcv/2015}, url = {https://doi.org/10.1109/FCV.2015.7103739}, doi = {10.1109/FCV.2015.7103739}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/fcv/VincentKLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LeeKKM15, author = {Sangyeop Lee and Jinhyun Kim and Jae Woo Kim and Byung{-}Ro Moon}, title = {Finding an Optimal LEGO{\textregistered} Brick Layout of Voxelized 3D Object Using a Genetic Algorithm}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2015, Madrid, Spain, July 11-15, 2015}, pages = {1215--1222}, year = {2015}, crossref = {DBLP:conf/gecco/2015}, url = {https://doi.org/10.1145/2739480.2754667}, doi = {10.1145/2739480.2754667}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/LeeKKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiPL15, author = {Moon{-}Ok Choi and Wan{-}Ki Park and Il{-}Woo Lee}, title = {Smart office energy management system using bluetooth low energy based beacons and a mobile app}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {501--502}, year = {2015}, crossref = {DBLP:conf/iccel/2015}, url = {https://doi.org/10.1109/ICCE.2015.7066499}, doi = {10.1109/ICCE.2015.7066499}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/MoonLKL15, author = {Hangil Moon and Namsuk Lee and Hyunwook Kim and Sanghoon Lee}, title = {Low latency audio coder design for bluetooth and bluetooth low energy}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {138--141}, year = {2015}, crossref = {DBLP:conf/iccel/2015}, url = {https://doi.org/10.1109/ICCE.2015.7066354}, doi = {10.1109/ICCE.2015.7066354}, timestamp = {Tue, 02 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/MoonLKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/JiangLKHS15, author = {Meilong Jiang and Moon{-}il Lee and Ananth V. Kini and Mohsen Hosseinian and Janet Stern{-}Berkowitz}, title = {Enhancing LTE-Advanced network performance using active antenna systems}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2015, Garden Grove, CA, USA, February 16-19, 2015}, pages = {37--41}, year = {2015}, crossref = {DBLP:conf/iccnc/2015}, url = {https://doi.org/10.1109/ICCNC.2015.7069291}, doi = {10.1109/ICCNC.2015.7069291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/JiangLKHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichsa/KimCNCLCLYSJ15, author = {Joong Hoon Kim and Younghwan Choi and Thi Thuy Ngo and Jiho Choi and Ho Min Lee and Yeon Moon Choo and Eui Hoon Lee and Do Guen Yoo and Ali Sadollah and Donghwi Jung}, title = {{KU} Battle of Metaheuristic Optimization Algorithms 1: Development of Six New/Improved Algorithms}, booktitle = {Harmony Search Algorithm - Proceedings of the 2nd International Conference on Harmony Search Algorithm, {ICHSA} 2015, Seoul, South Korea, 19-21 August 2015}, pages = {197--205}, year = {2015}, crossref = {DBLP:conf/ichsa/2015}, url = {https://doi.org/10.1007/978-3-662-47926-1\_19}, doi = {10.1007/978-3-662-47926-1\_19}, timestamp = {Sat, 19 Oct 2019 19:59:18 +0200}, biburl = {https://dblp.org/rec/conf/ichsa/KimCNCLCLYSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichsa/KimCNCLCLYSJ15a, author = {Joong Hoon Kim and Younghwan Choi and Thi Thuy Ngo and Jiho Choi and Ho Min Lee and Yeon Moon Choo and Eui Hoon Lee and Do Guen Yoo and Ali Sadollah and Donghwi Jung}, title = {{KU} Battle of Metaheuristic Optimization Algorithms 2: Performance Test}, booktitle = {Harmony Search Algorithm - Proceedings of the 2nd International Conference on Harmony Search Algorithm, {ICHSA} 2015, Seoul, South Korea, 19-21 August 2015}, pages = {207--213}, year = {2015}, crossref = {DBLP:conf/ichsa/2015}, url = {https://doi.org/10.1007/978-3-662-47926-1\_20}, doi = {10.1007/978-3-662-47926-1\_20}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichsa/KimCNCLCLYSJ15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KangPMLKKKH15, author = {HyungChul Kang and Myungseo Park and Dukjae Moon and Changhoon Lee and Jongsung Kim and Kimoon Kim and Juhyuk Kim and Seokhie Hong}, title = {New Efficient Padding Methods Secure Against Padding Oracle Attacks}, booktitle = {Information Security and Cryptology - {ICISC} 2015 - 18th International Conference, Seoul, South Korea, November 25-27, 2015, Revised Selected Papers}, pages = {329--342}, year = {2015}, crossref = {DBLP:conf/icisc/2015}, url = {https://doi.org/10.1007/978-3-319-30840-1\_21}, doi = {10.1007/978-3-319-30840-1\_21}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisc/KangPMLKKKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkGSKMKL15, author = {Jin{-}Hyeok Park and Pham Ngoc Giao and Teak{-}Young Seung and Ki{-}Ryong Kwon and Kwang{-}Seok Moon and Gi{-}Chang Kwon and Suk{-}Hwan Lee}, title = {Selective-encrypted {GIS} vector map with low complexity}, booktitle = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, pages = {98--103}, year = {2015}, crossref = {DBLP:conf/icoin/2015}, url = {https://doi.org/10.1109/ICOIN.2015.7057864}, doi = {10.1109/ICOIN.2015.7057864}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkGSKMKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MoonJKKLKK15, author = {Hyowon Moon and Jinwoo Jeong and Ockchul Kim and Keri Kim and Woosub Lee and Sungchul Kang and Jinseok Kim}, title = {FBG-based polymer-molded shape sensor integrated with minimally invasive surgical robots}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, pages = {1770--1775}, year = {2015}, crossref = {DBLP:conf/icra/2015}, url = {https://doi.org/10.1109/ICRA.2015.7139427}, doi = {10.1109/ICRA.2015.7139427}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/MoonJKKLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ParkHKLC15, author = {Yongbae Park and Shin Hong and Moonzoo Kim and Dongju Lee and Junhee Cho}, title = {Systematic Testing of Reactive Software with Non-Deterministic Events: {A} Case Study on {LG} Electric Oven}, booktitle = {37th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2015, Florence, Italy, May 16-24, 2015, Volume 2}, pages = {29--38}, year = {2015}, crossref = {DBLP:conf/icse/2015-2}, url = {https://doi.org/10.1109/ICSE.2015.132}, doi = {10.1109/ICSE.2015.132}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/ParkHKLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/LeeKJPYHK15, author = {Jae Sun Lee and Dae Seop Kim and Hyeon{-}Jin Jeon and Byeong Sun Park and Hee Jin Yang and Moon Sik Hyun and Mia Kim}, title = {Microbial fuel cell as a biosensor to monitor various toxic metal substances in water}, booktitle = {9th International Conference on Sensing Technology, {ICST} 2015, Auckland, New Zealand, December 8-10, 2015}, pages = {416--419}, year = {2015}, crossref = {DBLP:conf/icsenst/2015}, url = {https://doi.org/10.1109/ICSensT.2015.7438433}, doi = {10.1109/ICSENST.2015.7438433}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/LeeKJPYHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/YangLKPKLOL15, author = {Byong{-}Moon Yang and Min{-}Woo Lee and Jun{-}Woo Kim and Goo{-}Man Park and Seong{-}Kweon Kim and Jung{-}Hoon Lee and Jai{-}Pil Oh and Seon{-}Hee Lee}, title = {Wall switch based {LED} light dimming system}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {375--377}, year = {2015}, crossref = {DBLP:conf/icufn/2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182568}, doi = {10.1109/ICUFN.2015.7182568}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/YangLKPKLOL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChoiSJSKMK15, author = {Jungwhan Choi and Wongyu Shin and Jaemin Jang and Jinwoong Suh and Yongkee Kwon and Youngsuk Moon and Lee{-}Sup Kim}, title = {Multiple clone row {DRAM:} a low latency and area optimized {DRAM}}, booktitle = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, pages = {223--234}, year = {2015}, crossref = {DBLP:conf/isca/2015}, url = {https://doi.org/10.1145/2749469.2750402}, doi = {10.1145/2749469.2750402}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/ChoiSJSKMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JinKJLMKK15, author = {Hadong Jin and Dongsu Kim and Sangsu Jin and Hankyu Lee and Kyunghoon Moon and Huijung Kim and Bumman Kim}, title = {9.5 efficient digital quadrature transmitter based on {IQ} cell sharing}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, year = {2015}, crossref = {DBLP:conf/isscc/2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062979}, doi = {10.1109/ISSCC.2015.7062979}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JinKJLMKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/HongLKJKKK15, author = {Shin Hong and Byeongcheol Lee and Taehoon Kwak and Yiru Jeon and Bongsuk Ko and Yunho Kim and Moonzoo Kim}, title = {Mutation-Based Fault Localization for Real-World Multilingual Programs {(T)}}, booktitle = {30th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2015, Lincoln, NE, USA, November 9-13, 2015}, pages = {464--475}, year = {2015}, crossref = {DBLP:conf/kbse/2015}, url = {https://doi.org/10.1109/ASE.2015.14}, doi = {10.1109/ASE.2015.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kbse/HongLKJKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/med/LeeTM15, author = {Shian Lee and Tegoeh Tjahjowidodo and Seung Ki Moon}, title = {Flexible membrane wing warping using tendon-sheath mechanism}, booktitle = {23rd Mediterranean Conference on Control and Automation, {MED} 2015, Torremolinos, Malaga, Spain, June 16-19, 2015}, pages = {624--629}, year = {2015}, crossref = {DBLP:conf/med/2015}, url = {https://doi.org/10.1109/MED.2015.7158816}, doi = {10.1109/MED.2015.7158816}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/med/LeeTM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/LeeKM15, author = {Keunhong Lee and Joongi Kim and Sue B. Moon}, title = {An Educational Networking Framework for Full Layer Implementation and Testing}, booktitle = {Proceedings of the 46th {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2015, Kansas City, MO, USA, March 4-7, 2015}, pages = {663--668}, year = {2015}, crossref = {DBLP:conf/sigcse/2015}, url = {https://doi.org/10.1145/2676723.2677304}, doi = {10.1145/2676723.2677304}, timestamp = {Mon, 13 Dec 2021 09:32:31 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/LeeKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/LeeCKLSAL15, author = {Yong Yi Lee and Junho Choi and Yong Hwi Kim and Jong Hun Lee and Moon Gu Son and Bilal Ahmed and Kwan H. Lee}, title = {RiSE: reflectance transformation imaging in spatial augmented reality for exhibition of cultural heritage}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '15, Los Angeles, CA, USA, August 9-13, 2015, Posters Proceedings}, pages = {28:1}, year = {2015}, crossref = {DBLP:conf/siggraph/2015posters}, url = {https://doi.org/10.1145/2787626.2792626}, doi = {10.1145/2787626.2792626}, timestamp = {Fri, 12 Mar 2021 10:46:10 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/LeeCKLSAL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/ChaHLKS15, author = {Moon Soo Cha and Woo{-}Jin Han and Garam Lee and Minsung Kim and Kyung{-}Ah Sohn}, title = {{LAMDA} at {TREC} {CDS} track 2015 - Clinical Decision Support Track}, booktitle = {Proceedings of The Twenty-Fourth Text REtrieval Conference, {TREC} 2015, Gaithersburg, Maryland, USA, November 17-20, 2015}, year = {2015}, crossref = {DBLP:conf/trec/2015}, url = {http://trec.nist.gov/pubs/trec24/papers/LAMDA-CL.pdf}, timestamp = {Wed, 03 Feb 2021 08:31:23 +0100}, biburl = {https://dblp.org/rec/conf/trec/ChaHLKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeAMKELKYCKPBB15, author = {Chang{-}Kyo Lee and Min{-}Su Ahn and Daesik Moon and Kiho Kim and Yoon{-}Joo Eom and Won{-}Young Lee and Jongmin Kim and Sanghyuk Yoon and Baekkyu Choi and Seokhong Kwon and Joon{-}Young Park and Seung{-}Jun Bae and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 6.4Gb/s/pin at sub-1V supply voltage TX-interleaving technique for mobile {DRAM} interface}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {182}, year = {2015}, crossref = {DBLP:conf/vlsic/2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231254}, doi = {10.1109/VLSIC.2015.7231254}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeAMKELKYCKPBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KiniHLS15, author = {Ananth V. Kini and Mohsen Hosseinian and Moon{-}il Lee and Janet Stern{-}Berkowitz}, title = {Reevaluating cell wraparound techniques for 3D channel model based system-level simulations}, booktitle = {2015 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2015, New Orleans, LA, USA, March 9-12, 2015}, pages = {171--176}, year = {2015}, crossref = {DBLP:conf/wcnc/2015}, url = {https://doi.org/10.1109/WCNC.2015.7127464}, doi = {10.1109/WCNC.2015.7127464}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KiniHLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/KwakKSKRCL15, author = {Byung{-}Jae Kwak and Junhyuk Kim and Nah{-}Oak Song and Kyounghye Kim and June{-}Koo Kevin Rhee and Kapseok Chang and Moon{-}Sik Lee}, title = {Highly scalable fair contention resolution scheme based on idle time}, booktitle = {16th {IEEE} International Symposium on {A} World of Wireless, Mobile and Multimedia Networks, WoWMoM 2015, Boston, MA, USA, June 14-17, 2015}, pages = {1--6}, year = {2015}, crossref = {DBLP:conf/wowmom/2015}, url = {https://doi.org/10.1109/WoWMoM.2015.7158208}, doi = {10.1109/WOWMOM.2015.7158208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/KwakKSKRCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/KangKLBM15, author = {Ahram Kang and Doyun Kim and Junseok Lee and Jang Won Bae and Il{-}Chul Moon}, title = {Comparative study of command and control structure between rok and us field artillery battalion}, booktitle = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, pages = {2400--2411}, year = {2015}, crossref = {DBLP:conf/wsc/2015}, url = {https://doi.org/10.1109/WSC.2015.7408351}, doi = {10.1109/WSC.2015.7408351}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/KangKLBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/MoonBLKLLCKK15, author = {Il{-}Chul Moon and Jang Won Bae and Junseok Lee and Doyun Kim and Hyun{-}Rok Lee and Taesik Lee and Won Chul Cha and Ju{-}Hyun Kim and Gi Woon Kim}, title = {{EMSSIM:} emergency medical service simulator with geographic and medical details}, booktitle = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, pages = {1272--1284}, year = {2015}, crossref = {DBLP:conf/wsc/2015}, url = {https://doi.org/10.1109/WSC.2015.7408252}, doi = {10.1109/WSC.2015.7408252}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/MoonBLKLLCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wuwnet/ParkLMK15, author = {Junhwan Park and Sungwon Lee and Eunbae Moon and Dongkyun Kim}, title = {Weighted Average Based Link Quality Calculation Method for Directional Flooding Based Routing}, booktitle = {Proceedings of the 10th International Conference on Underwater Networks {\&} Systems, {WUWNET} 2015, Arlington, VA, USA, October 22-24, 2015}, pages = {19:1--19:2}, year = {2015}, crossref = {DBLP:conf/wuwnet/2015}, url = {https://doi.org/10.1145/2831296.2831327}, doi = {10.1145/2831296.2831327}, timestamp = {Tue, 06 Nov 2018 11:06:43 +0100}, biburl = {https://dblp.org/rec/conf/wuwnet/ParkLMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ieaaie/2015, editor = {Moonis Ali and Young Sig Kwon and Chang{-}Hwan Lee and Juntae Kim and Yongdai Kim}, title = {Current Approaches in Applied Artificial Intelligence - 28th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2015, Seoul, South Korea, June 10-12, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9101}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19066-2}, doi = {10.1007/978-3-319-19066-2}, isbn = {978-3-319-19065-5}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeeMKY15, author = {Taehoon Lee and Taesup Moon and Seung Jean Kim and Sungroh Yoon}, title = {Regularization and Kernelization of the Maximin Correlation Approach}, journal = {CoRR}, volume = {abs/1502.06105}, year = {2015}, url = {http://arxiv.org/abs/1502.06105}, eprinttype = {arXiv}, eprint = {1502.06105}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeeMKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/MoonHLK14, author = {Hyungwon Moon and Hyeonkoo Han and Susan S. Lee and Hyuncheol Kim}, title = {A novel center-of-mass method to measure fluid velocity with {MRI}}, journal = {Biomed. Signal Process. Control.}, volume = {9}, pages = {32--36}, year = {2014}, url = {https://doi.org/10.1016/j.bspc.2013.09.003}, doi = {10.1016/J.BSPC.2013.09.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/MoonHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cais/ChoiKMKLK14, author = {Joohee Choi and Sunjae Kim and Jae Yun Moon and Jungmin Kang and Inseong Lee and Jinwoo Kim}, title = {Seek or Provide: Comparative Effects of Online Information Sharing on Seniors' Quality of Life}, journal = {Commun. Assoc. Inf. Syst.}, volume = {34}, pages = {27}, year = {2014}, url = {https://doi.org/10.17705/1cais.03427}, doi = {10.17705/1CAIS.03427}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cais/ChoiKMKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/MoonSPDKSKLK14, author = {Young Lae Moon and Kazuomi Sugamoto and Alberto Paoluzzi and Antonio DiCarlo and Jaekeun Kwak and Dong Sun Shin and Dae Ok Kim and Dae Hyun Lee and Jooyoung Kim}, title = {Standardizing 3D Medical Imaging}, journal = {Computer}, volume = {47}, number = {4}, pages = {76--79}, year = {2014}, url = {https://doi.org/10.1109/MC.2014.103}, doi = {10.1109/MC.2014.103}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/MoonSPDKSKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/KangLMOM14, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon and Gi{-}Hwan Oh and Changwoo Min}, title = {Supporting Transactional Atomicity in Flash Storage Devices}, journal = {{IEEE} Data Eng. Bull.}, volume = {37}, number = {2}, pages = {27--34}, year = {2014}, url = {http://sites.computer.org/debull/A14june/p27.pdf}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/KangLMOM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/KangLSK14, author = {Hee Kang and Suk Ho Lee and Ki{-}Sun Song and Moon Gi Kang}, title = {Bayer patterned high dynamic range image reconstruction using adaptive weighting function}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2014}, pages = {76}, year = {2014}, url = {https://doi.org/10.1186/1687-6180-2014-76}, doi = {10.1186/1687-6180-2014-76}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/KangLSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/KimLLLMJ14, author = {Dohyun Kim and Bangrae Lee and Hyuck Jai Lee and Sang Pil Lee and Yeongho Moon and Myong Kee Jeong}, title = {A Graph Kernel Approach for Detecting Core Patents and Patent Groups}, journal = {{IEEE} Intell. Syst.}, volume = {29}, number = {4}, pages = {44--51}, year = {2014}, url = {https://doi.org/10.1109/MIS.2012.85}, doi = {10.1109/MIS.2012.85}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/KimLLLMJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimML14, author = {Hyunpil Kim and Sangook Moon and Yong{-}Surk Lee}, title = {Radix-16 Booth multiplier using novel weighted 2-stage Booth algorithm}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140407}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140407}, doi = {10.1587/ELEX.11.20140407}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinSLKSKPCAHR14, author = {Jea{-}Shik Shin and Insang Song and Moon{-}Chul Lee and Chul{-}Soo Kim and Sang Uk Son and Duck{-}Hwan Kim and Ho{-}Soo Park and Jing Cui and Yujie Ai and Sungwoo Hwang and Jae{-}Sung Rieh}, title = {Bulk acoustic wave resonator with suppressed energy loss using improved lateral structure}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {6}, pages = {20130938}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130938}, doi = {10.1587/ELEX.11.20130938}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinSLKSKPCAHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/LeeKK14, author = {Ho Lee and Moon Sun Kim and Kyung Kyu Kim}, title = {Interorganizational information systems visibility and supply chain performance}, journal = {Int. J. Inf. Manag.}, volume = {34}, number = {2}, pages = {285--295}, year = {2014}, url = {https://doi.org/10.1016/j.ijinfomgt.2013.10.003}, doi = {10.1016/J.IJINFOMGT.2013.10.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/LeeKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YooKLJYPMH14, author = {Sooyoung Yoo and Seok Kim and Kee{-}Hyuck Lee and Chang Wook Jeong and Sang Woong Youn and Kyoung Un Park and So Young Moon and Hee Hwang}, title = {Electronically implemented clinical indicators based on a data warehouse in a tertiary hospital: Its clinical benefit and effectiveness}, journal = {Int. J. Medical Informatics}, volume = {83}, number = {7}, pages = {507--516}, year = {2014}, url = {https://doi.org/10.1016/j.ijmedinf.2014.04.001}, doi = {10.1016/J.IJMEDINF.2014.04.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/YooKLJYPMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ChungKL14, author = {Jong{-}Moon Chung and Chang Hyun Kim and Daeyoung Lee}, title = {A Minimum Energy Consuming Mobile Device Relay Scheme for Reliable QoS Support}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {8}, number = {2}, pages = {618--633}, year = {2014}, url = {https://doi.org/10.3837/tiis.2014.02.017}, doi = {10.3837/TIIS.2014.02.017}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ChungKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimOCLSKC14, author = {Minseok Kim and Wui Hwan Oh and Jong{-}Moon Chung and Bong Gyou Lee and Myunghwan Seo and Jungsik Kim and Hyung{-}Weon Cho}, title = {Pareto Optimized {EDCA} Parameter Control for Wireless Local Area Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {8}, number = {10}, pages = {3458--3474}, year = {2014}, url = {https://doi.org/10.3837/tiis.2014.10.010}, doi = {10.3837/TIIS.2014.10.010}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimOCLSKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/MoonLKL14, author = {Sung Hyun Moon and Sang{-}Rim Lee and Jin{-}Sung Kim and Inkyu Lee}, title = {Channel quantization for block diagonalization with limited feedback in multiuser {MIMO} downlink channels}, journal = {J. Commun. Networks}, volume = {16}, number = {1}, pages = {1--9}, year = {2014}, url = {https://doi.org/10.1109/JCN.2014.000003}, doi = {10.1109/JCN.2014.000003}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/MoonLKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ParkLSPK14, author = {Noyeol Park and Jehee Lee and Ki Hyuk Sung and Moon Seok Park and Seungbum Koo}, title = {Design and Validation of Automated Femoral Bone Morphology Measurements in Cerebral Palsy}, journal = {J. Digit. Imaging}, volume = {27}, number = {2}, pages = {262--269}, year = {2014}, url = {https://doi.org/10.1007/s10278-013-9643-2}, doi = {10.1007/S10278-013-9643-2}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ParkLSPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/MoonAKSL14, author = {Ilhwan Moon and Umar Albalawi and Jinoh Kim and Sang C. Suh and Wang{-}Hwan Lee}, title = {A Hybrid Classifier with a Binning Method for Network Application Identification}, journal = {J. Integr. Des. Process. Sci.}, volume = {18}, number = {2}, pages = {3--22}, year = {2014}, url = {https://doi.org/10.3233/jid-2014-0011}, doi = {10.3233/JID-2014-0011}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/MoonAKSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SuhMEKL14, author = {Young{-}Kyoon Suh and Bongki Moon and Alon Efrat and Jin{-}Soo Kim and Sang{-}Won Lee}, title = {Memory efficient and scalable address mapping for flash storage devices}, journal = {J. Syst. Archit.}, volume = {60}, number = {4}, pages = {357--371}, year = {2014}, url = {https://doi.org/10.1016/j.sysarc.2014.01.002}, doi = {10.1016/J.SYSARC.2014.01.002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/SuhMEKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/KimLKCK14, author = {Dohun Kim and Inchul Lee and Jaye Koo and Hongbeen Chang and Moonjung Kang}, title = {Vortex generation using fin-slot structures and a submerged nozzle at a wall-injected cylinder}, journal = {J. Vis.}, volume = {17}, number = {1}, pages = {41--47}, year = {2014}, url = {https://doi.org/10.1007/s12650-013-0187-9}, doi = {10.1007/S12650-013-0187-9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/KimLKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekKLLC14, author = {In{-}Suck Baek and Moon S. Kim and Hoosoo Lee and Wang Hee Lee and Byoung{-}Kwan Cho}, title = {Optimal Fluorescence Waveband Determination for Detecting Defective Cherry Tomatoes Using a Fluorescence Excitation-Emission Matrix}, journal = {Sensors}, volume = {14}, number = {11}, pages = {21483--21496}, year = {2014}, url = {https://doi.org/10.3390/s141121483}, doi = {10.3390/S141121483}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BaekKLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKJDCC14, author = {Hoonsoo Lee and Moon S. Kim and Danhee Jeong and Stephen R. Delwiche and Kuanglin Chao and Byoung{-}Kwan Cho}, title = {Detection of Cracks on Tomatoes Using a Hyperspectral Near-Infrared Reflectance Imaging System}, journal = {Sensors}, volume = {14}, number = {10}, pages = {18837--18850}, year = {2014}, url = {https://doi.org/10.3390/s141018837}, doi = {10.3390/S141018837}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKJDCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePNLK14, author = {Hoyoung Lee and Soo{-}Hyun Park and Sang Ha Noh and Jongguk Lim and Moon S. Kim}, title = {Development of a Portable 3CCD Camera System for Multispectral Imaging of Biological Samples}, journal = {Sensors}, volume = {14}, number = {11}, pages = {20262--20273}, year = {2014}, url = {https://doi.org/10.3390/s141120262}, doi = {10.3390/S141120262}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePNLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoKLKCLK14, author = {Changyeun Mo and Giyoung Kim and Kang{-}Jin Lee and Moon S. Kim and Byoung{-}Kwan Cho and Jongguk Lim and Sukwon Kang}, title = {Non-Destructive Quality Evaluation of Pepper (\emph{Capsicum annuum L.}) Seeds Using LED-Induced Hyperspectral Reflectance Imaging}, journal = {Sensors}, volume = {14}, number = {4}, pages = {7489--7504}, year = {2014}, url = {https://doi.org/10.3390/s140407489}, doi = {10.3390/S140407489}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoKLKCLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamKPLW14, author = {Junghyun Nam and Moonseong Kim and Juryon Paik and Youngsook Lee and Dongho Won}, title = {A Provably-Secure ECC-Based Authentication Scheme for Wireless Sensor Networks}, journal = {Sensors}, volume = {14}, number = {11}, pages = {21023--21044}, year = {2014}, url = {https://doi.org/10.3390/s141121023}, doi = {10.3390/S141121023}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/NamKPLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/LeeKKP14, author = {Moon Ho Lee and Md. Hashem Ali Khan and Kyeong Jin Kim and Daechul Park}, title = {A fast hybrid Jacket-Hadamard matrix based diagonal block-wise transform}, journal = {Signal Process. Image Commun.}, volume = {29}, number = {1}, pages = {49--65}, year = {2014}, url = {https://doi.org/10.1016/j.image.2013.11.002}, doi = {10.1016/J.IMAGE.2013.11.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/LeeKKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HwangMLJK14, author = {Hyeonseok Hwang and Junil Moon and Bumsoo Lee and Chan{-}Hui Jeong and Soo{-}Won Kim}, title = {An analysis of magnetic resonance coupling effects on wireless power transfer by coil inductance and placement}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {60}, number = {2}, pages = {203--209}, year = {2014}, url = {https://doi.org/10.1109/TCE.2014.6851995}, doi = {10.1109/TCE.2014.6851995}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HwangMLJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKLM14, author = {Jae{-}Hyun Kim and Jae{-}Kuk Kim and Jae{-}Bum Lee and Gun{-}Woo Moon}, title = {Load Adaptive Gate Driving Method for High Efficiency Under Light-Load Conditions}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {9}, pages = {4674--4679}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2290777}, doi = {10.1109/TIE.2013.2290777}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLM14, author = {Jae{-}Kuk Kim and Jae{-}Bum Lee and Gun{-}Woo Moon}, title = {Isolated Switch-Mode Current Regulator With Integrated Two Boost {LED} Drivers}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {9}, pages = {4649--4653}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2293700}, doi = {10.1109/TIE.2013.2293700}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WonLHLLKSL14, author = {Dae Hee Won and Eunsung Lee and Moonbeom Heo and Seung{-}Woo Lee and Jiyun Lee and Jeongrae Kim and Sangkyung Sung and Young Jae Lee}, title = {Selective Integration of GNSS, Vision Sensor, and {INS} Using Weighted {DOP} Under GNSS-Challenged Environments}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {63}, number = {9}, pages = {2288--2298}, year = {2014}, url = {https://doi.org/10.1109/TIM.2014.2304365}, doi = {10.1109/TIM.2014.2304365}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/WonLHLLKSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/NaSMKKL14, author = {Gi{-}Hyun Na and Kyu{-}Sun Shim and Ki{-}Woong Moon and Seong G. Kong and Eun{-}Soo Kim and Joong Lee}, title = {Frame-Based Recovery of Corrupted Video Files Using Video Codec Specifications}, journal = {{IEEE} Trans. Image Process.}, volume = {23}, number = {2}, pages = {517--526}, year = {2014}, url = {https://doi.org/10.1109/TIP.2013.2285625}, doi = {10.1109/TIP.2013.2285625}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/NaSMKKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/LeeAMRKMH14, author = {Kanghee Lee and Visvakumar Aravinthan and Sung{-}Hoon Moon and Jongbum Ryou and Sungo Kim and Changki Moon and Inha Hyun}, title = {Low-complexity two-way {AF} {MIMO} relay strategy for wireless relay networks}, booktitle = {48th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2014, Pacific Grove, CA, USA, November 2-5, 2014}, pages = {235--239}, year = {2014}, crossref = {DBLP:conf/acssc/2014}, url = {https://doi.org/10.1109/ACSSC.2014.7094435}, doi = {10.1109/ACSSC.2014.7094435}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/LeeAMRKMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/EomLMPY14, author = {Jin Ki Eom and Kwang Sub Lee and Dae{-}Seop Moon and Duckshin Park and Keun Yul Yang}, title = {Investigating Activity Patterns and Time Spent for Exposure Assessment of College Buildings in Korea}, booktitle = {Proceedings of the 5th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014), Hasselt, Belgium, June 2-5, 2014}, pages = {756--761}, year = {2014}, crossref = {DBLP:conf/ant/2014}, url = {https://doi.org/10.1016/j.procs.2014.05.487}, doi = {10.1016/J.PROCS.2014.05.487}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/ant/EomLMPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/LeeEM14, author = {Kwang Sub Lee and Jin Ki Eom and Dae{-}Seop Moon}, title = {Applications of {TRANSIMS} in Transportation: {A} Literature Review}, booktitle = {Proceedings of the 5th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014), Hasselt, Belgium, June 2-5, 2014}, pages = {769--773}, year = {2014}, crossref = {DBLP:conf/ant/2014}, url = {https://doi.org/10.1016/j.procs.2014.05.489}, doi = {10.1016/J.PROCS.2014.05.489}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/LeeEM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/LeeEML14, author = {Jun Lee and Jin Ki Eom and Dae{-}Seop Moon and Kwang Sub Lee}, title = {A Smart Synthetic Analysis System for Efficient Investment on Transportation Systems in Korea}, booktitle = {Proceedings of the 5th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014), Hasselt, Belgium, June 2-5, 2014}, pages = {655--660}, year = {2014}, crossref = {DBLP:conf/ant/2014}, url = {https://doi.org/10.1016/j.procs.2014.05.473}, doi = {10.1016/J.PROCS.2014.05.473}, timestamp = {Sun, 24 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/LeeEML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimHKPMLC14, author = {Yong{-}hwan Kim and Youn{-}Hee Han and Min Kim and Yong Seok Park and Sang Jun Moon and Jin Ho Lee and Dae{-}Kyu Choi}, title = {Distributed {PDN} gateway support for scalable {LTE/EPC} networks}, booktitle = {11th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {139--144}, year = {2014}, crossref = {DBLP:conf/ccnc/2014}, url = {https://doi.org/10.1109/CCNC.2014.6866561}, doi = {10.1109/CCNC.2014.6866561}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/KimHKPMLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ShinJLPM14, author = {Do{-}Kyung Shin and Woo Jin Jeong and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Car accident detection in parking lots}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, year = {2014}, crossref = {DBLP:conf/elinfocom/2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914378}, doi = {10.1109/ELINFOCOM.2014.6914378}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ShinJLPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficloud/MoonJLK14, author = {Seonghoon Moon and Chanhyuk Jung and Jong{-}Seok Lee and Songkuk Kim}, title = {On the Impact of Layer-Splitting for Cloud-Based {SVC} Streaming}, booktitle = {2014 International Conference on Future Internet of Things and Cloud, FiCloud 2014, Barcelona, Spain, August 27-29, 2014}, pages = {210--215}, year = {2014}, crossref = {DBLP:conf/ficloud/2014}, url = {https://doi.org/10.1109/FiCloud.2014.41}, doi = {10.1109/FICLOUD.2014.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ficloud/MoonJLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeSMKSCR14, author = {Minseok Lee and Seokwoo Song and Joosik Moon and John Kim and Woong Seo and Yeon{-}Gon Cho and Soojung Ryu}, title = {Improving {GPGPU} resource utilization through alternative thread block scheduling}, booktitle = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {260--271}, year = {2014}, crossref = {DBLP:conf/hpca/2014}, url = {https://doi.org/10.1109/HPCA.2014.6835937}, doi = {10.1109/HPCA.2014.6835937}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/LeeSMKSCR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KimLHRLMS14, author = {Yuhwan Kim and Seungchul Lee and Inseok Hwang and Hyunho Ro and Youngki Lee and Miri Moon and Junehwa Song}, title = {High5: promoting interpersonal hand-to-hand touch for vibrant workplace with electrodermal sensor watches}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14, Seattle, WA, USA, September 13-17, 2014}, pages = {15--19}, year = {2014}, crossref = {DBLP:conf/huc/2014}, url = {https://doi.org/10.1145/2632048.2632072}, doi = {10.1145/2632048.2632072}, timestamp = {Tue, 26 Mar 2024 11:01:21 +0100}, biburl = {https://dblp.org/rec/conf/huc/KimLHRLMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HwangLMPJK14, author = {Hyeonseok Hwang and Bumsoo Lee and Junil Moon and Se{-}Chun Park and Chan{-}Hui Jeong and Soo{-}Won Kim}, title = {Investigation of wireless power transfer in multi-coil environment}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {311--312}, year = {2014}, crossref = {DBLP:conf/iccel/2014}, url = {https://doi.org/10.1109/ICCE.2014.6776019}, doi = {10.1109/ICCE.2014.6776019}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/HwangLMPJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeMCTKL14, author = {Jonghun Lee and Young{-}Su Moon and Junguk Cho and Yong{-}Min Tai and Do Hyung Kim and Shi{-}Hwa Lee}, title = {A fast spatiotemporal denoising scheme for multi-shot images}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {538--539}, year = {2014}, crossref = {DBLP:conf/iccel/2014}, url = {https://doi.org/10.1109/ICCE.2014.6776122}, doi = {10.1109/ICCE.2014.6776122}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeMCTKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/MoonLTCKL14, author = {Young{-}Su Moon and Jonghun Lee and Yong{-}Min Tai and Junguk Cho and Do Hyung Kim and Shi{-}Hwa Lee}, title = {A ghost-free pseudo-multiframe {HDR}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {260--261}, year = {2014}, crossref = {DBLP:conf/iccel/2014}, url = {https://doi.org/10.1109/ICCE.2014.6775995}, doi = {10.1109/ICCE.2014.6775995}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/MoonLTCKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/KimLMPR14, author = {Hyungil Kim and Seung{-}Ho Lee and Jung Ik Moon and Hyun{-}Sang Park and Yong Man Ro}, title = {Face detection for low power event detection in intelligent surveillance system}, booktitle = {19th International Conference on Digital Signal Processing, {DSP} 2014, Hong Kong, China, August 20-23, 2014}, pages = {562--567}, year = {2014}, crossref = {DBLP:conf/icdsp/2014}, url = {https://doi.org/10.1109/ICDSP.2014.6900728}, doi = {10.1109/ICDSP.2014.6900728}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/KimLMPR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/NaKMLS14, author = {Jeehyeon Na and Dae{-}Ik Kim and Jungmo Moon and Sangho Lee and Yeonseong Shin}, title = {A novel single-cell transmission scheme for multicast services in LTE-advanced}, booktitle = {The International Conference on Information Networking 2014, {ICOIN} 2014, Phuket, Thailand, February 10-12, 2014}, pages = {186--191}, year = {2014}, crossref = {DBLP:conf/icoin/2014}, url = {https://doi.org/10.1109/ICOIN.2014.6799689}, doi = {10.1109/ICOIN.2014.6799689}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/NaKMLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJLP14, author = {Jaesin Kim and Jinwoo Jung and Jae{-}Moon Lee and Ui{-}Young Pak}, title = {A mathematical perspective of single-channel pseudo-monopulse tracking receiver design}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {874--875}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983318}, doi = {10.1109/ICTC.2014.6983318}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeCPLL14, author = {Hyunjeong Lee and Moon{-}Ok Choi and Wan{-}Ki Park and Il{-}Woo Lee and Sang Ho Lee}, title = {Design and implementation of energy application services for energy management technology}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {768--769}, year = {2014}, crossref = {DBLP:conf/ictc/2014}, url = {https://doi.org/10.1109/ICTC.2014.6983283}, doi = {10.1109/ICTC.2014.6983283}, timestamp = {Tue, 30 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeCPLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimSJKKL14, author = {Jong{-}Hoon Kim and Ki{-}Young Sung and Young{-}Ho Jung and Taesoo Kwon and Jihyung Kim and Moon{-}Sik Lee}, title = {Design of synchronization preambles for LTE-Advanced {D2D} communications}, booktitle = {Sixth International Conference on Ubiquitous and Future Networks, {ICUFN} 2014, Shanghai, China, July 8-11, 2014}, pages = {138--139}, year = {2014}, crossref = {DBLP:conf/icufn/2014}, url = {https://doi.org/10.1109/ICUFN.2014.6876767}, doi = {10.1109/ICUFN.2014.6876767}, timestamp = {Thu, 28 Jul 2022 14:35:38 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimSJKKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimLMKC14, author = {Ui Kyum Kim and Dong{-}Hyuk Lee and Hyungpil Moon and Jachoon Koo and Hyoukryeol Choi}, title = {Design and realization of grasper-integrated force sensor for minimally invasive robotic surgery}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {4321--4326}, year = {2014}, crossref = {DBLP:conf/iros/2014}, url = {https://doi.org/10.1109/IROS.2014.6943173}, doi = {10.1109/IROS.2014.6943173}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimLMKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {430--431}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757500}, doi = {10.1109/ISSCC.2014.6757500}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCCRLLLKJHKMBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/LeeSKKCJ14, author = {Jong Moon Lee and Sung Ho Son and Hyuk Je Kim and Bo Ra Kim and Heyng Do Choi and Soon{-}Ik Jeon}, title = {Animal Testing using 3D Microwave Tomography System for Breast Cancer Detection}, booktitle = {e-Health - For Continuity of Care - Proceedings of MIE2014, the 25th European Medical Informatics Conference, Istanbul, Turkey, August 31 - September 3, 2014}, pages = {491--495}, year = {2014}, crossref = {DBLP:conf/mie/2014}, url = {https://doi.org/10.3233/978-1-61499-432-9-491}, doi = {10.3233/978-1-61499-432-9-491}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mie/LeeSKKCJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LeeKPKSCW14, author = {Myungeun Lee and Jong Hyo Kim and Moon Ho Park and Ye{-}Hoon Kim and Yeong Kyeong Seong and Baek Hwan Cho and Kyoung{-}Gu Woo}, title = {Computer-aided classification of liver tumors in 3D ultrasound images with combined deformable model segmentation and support vector machine}, booktitle = {Medical Imaging 2014: Image Processing, San Diego, California, USA, February 16-18, 2014}, pages = {90341N}, year = {2014}, crossref = {DBLP:conf/miip/2014}, url = {https://doi.org/10.1117/12.2043427}, doi = {10.1117/12.2043427}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LeeKPKSCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/LeeKML14, author = {Hyeungill Lee and Dongho Kim and Byungho Moon and Jungwoo Lee}, title = {Blind Modulation Classification for Rician Aeronautical Channels}, booktitle = {2014 {IEEE} Military Communications Conference, {MILCOM} 2014, Baltimore, MD, USA, October 6-8, 2014}, pages = {743--747}, year = {2014}, crossref = {DBLP:conf/milcom/2014}, url = {https://doi.org/10.1109/MILCOM.2014.129}, doi = {10.1109/MILCOM.2014.129}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/milcom/LeeKML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/YouMLJCSKPH14, author = {Jin You and Hyowon Moon and Boo Yong Lee and Ju Young Jin and Zi Eun Chang and Jun{-}Kyo Francis Suh and Jinseok Kim and Jungyul Park and Yu{-}Shik Hwang}, title = {Cardiomyocyte contractile force changes in response to chemical environments}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {225--228}, year = {2014}, crossref = {DBLP:conf/nems/2014}, url = {https://doi.org/10.1109/NEMS.2014.6908796}, doi = {10.1109/NEMS.2014.6908796}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/YouMLJCSKPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/LeeKM14, author = {Keunhong Lee and Joongi Kim and Sue B. Moon}, title = {An educational networking framework for full layer implementation and testing}, booktitle = {{ACM} {SIGCOMM} 2014 Conference, SIGCOMM'14, Chicago, IL, USA, August 17-22, 2014}, pages = {589--590}, year = {2014}, crossref = {DBLP:conf/sigcomm/2014}, url = {https://doi.org/10.1145/2619239.2631467}, doi = {10.1145/2619239.2631467}, timestamp = {Wed, 10 Mar 2021 13:04:38 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/LeeKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KangLMKO14, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon and Yang{-}Suk Kee and Moonwook Oh}, title = {Durable write cache in flash memory {SSD} for relational and NoSQL databases}, booktitle = {International Conference on Management of Data, {SIGMOD} 2014, Snowbird, UT, USA, June 22-27, 2014}, pages = {529--540}, year = {2014}, crossref = {DBLP:conf/sigmod/2014}, url = {https://doi.org/10.1145/2588555.2595632}, doi = {10.1145/2588555.2595632}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KangLMKO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeMKM14, author = {Min{-}Ki Lee and Seung{-}Hyun Moon and Yong{-}Hyuk Kim and Byung Ro Moon}, title = {Correcting abnormalities in meteorological data by machine learning}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {888--893}, year = {2014}, crossref = {DBLP:conf/smc/2014}, url = {https://doi.org/10.1109/SMC.2014.6974024}, doi = {10.1109/SMC.2014.6974024}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeMKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeYJKM14, author = {Wonsung Lee and Gene Yi and Dain Jung and Minki Kim and Il{-}Chul Moon}, title = {Network analysis approach to study hospitals' prescription patterns focused on the impact of new healthcare policy}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {2643--2650}, year = {2014}, crossref = {DBLP:conf/smc/2014}, url = {https://doi.org/10.1109/SMC.2014.6974326}, doi = {10.1109/SMC.2014.6974326}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeYJKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ParkCLJKM14, author = {Sungrae Park and Doosup Choi and Wonsung Lee and Dain Jung and Minki Kim and Il{-}Chul Moon}, title = {Disease-medicine topic model for prescription record mining}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {86--93}, year = {2014}, crossref = {DBLP:conf/smc/2014}, url = {https://doi.org/10.1109/SMC.2014.6973889}, doi = {10.1109/SMC.2014.6973889}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ParkCLJKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/NguyenPNLKLMKNC14, author = {Canh Toan Nguyen and Hoa Phung and Tien Dat Nguyen and Choonghan Lee and Ui Kyum Kim and Donghyouk Lee and Hyungpil Moon and Jachoon Koo and Jaedo Nam and Hyoukryeol Choi}, title = {Biomimetic printable hexapod robot driven by soft actuator}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {189--190}, year = {2014}, crossref = {DBLP:conf/urai/2014}, url = {https://doi.org/10.1109/URAI.2014.7057528}, doi = {10.1109/URAI.2014.7057528}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/NguyenPNLKLMKNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/KimL14, author = {Jihyung Kim and Moonsik Lee}, title = {Performance analysis for time synchronization of {D2D} communication in heterogeneous networks}, booktitle = {2014 International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2014, Sydney, Australia, September 7-10, 2014}, pages = {300--305}, year = {2014}, crossref = {DBLP:conf/wpmc/2014}, url = {https://doi.org/10.1109/WPMC.2014.7014834}, doi = {10.1109/WPMC.2014.7014834}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wpmc/KimL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/KimL14a, author = {Jihyung Kim and Moonsik Lee}, title = {Performance analysis for channel estimation in partial co-channel environments}, booktitle = {2014 International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2014, Sydney, Australia, September 7-10, 2014}, pages = {306--309}, year = {2014}, crossref = {DBLP:conf/wpmc/2014}, url = {https://doi.org/10.1109/WPMC.2014.7014835}, doi = {10.1109/WPMC.2014.7014835}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wpmc/KimL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MoonJKHHLPK13, author = {Sanghoon Moon and Kwang Su Jung and Young Jin Kim and Mi Yeong Hwang and Kyungsook Han and Jong{-}Young Lee and Kiejung Park and Bong{-}Jo Kim}, title = {{KGVDB:} a population-based genomic map of CNVs tagged by SNPs in Koreans}, journal = {Bioinform.}, volume = {29}, number = {11}, pages = {1481--1483}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt173}, doi = {10.1093/BIOINFORMATICS/BTT173}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MoonJKHHLPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/MoonJLKHY13, author = {Bochang Moon and Jong Yun Jun and JongHyeob Lee and Kunho Kim and Toshiya Hachisuka and Sung{-}Eui Yoon}, title = {Robust Image Denoising Using a Virtual Flash Image for Monte Carlo Ray Tracing}, journal = {Comput. Graph. Forum}, volume = {32}, number = {1}, pages = {139--151}, year = {2013}, url = {https://doi.org/10.1111/cgf.12004}, doi = {10.1111/CGF.12004}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/MoonJLKHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/LeeKK13, author = {Moon Ho Lee and Md. Hashem Ali Khan and Kyeong Jin Kim}, title = {Arikan and Alamouti matrices based on fast block-wise inverse jacket transform}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2013}, pages = {37}, year = {2013}, url = {https://doi.org/10.1186/1687-6180-2013-37}, doi = {10.1186/1687-6180-2013-37}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/LeeKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/SonCMGKL13, author = {Do Son and Eun Byeol Cho and Inkyu Moon and Zabih Ghassemlooy and Soeun Kim and Chung Ghiu Lee}, title = {Simultaneous transmission of audio and video signals using visible light communications}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2013}, pages = {250}, year = {2013}, url = {https://doi.org/10.1186/1687-1499-2013-250}, doi = {10.1186/1687-1499-2013-250}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/SonCMGKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKCM13, author = {Jeonggon Lee and Bum{-}Soo Kim and Mi{-}Jung Choi and Yang{-}Sae Moon}, title = {Evaluation of Space Filling Curves for Lower-Dimensional Transformation of Image Histogram Sequences}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {10}, pages = {2277--2281}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.2277}, doi = {10.1587/TRANSINF.E96.D.2277}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/KangPMKK13, author = {Leen{-}Seok Kang and Jaeho Pyeon and Hyoun{-}Seok Moon and Chang{-}Hak Kim and Minsu Kang}, title = {Development of Improved 4D {CAD} System for Horizontal Works in Civil Engineering Projects}, journal = {J. Comput. Civ. Eng.}, volume = {27}, number = {3}, pages = {212--230}, year = {2013}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000216}, doi = {10.1061/(ASCE)CP.1943-5487.0000216}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/KangPMKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/KimL13, author = {Moon Hee Kim and Gue Myung Lee}, title = {On efficient applications of G-Karush-Kuhn-Tucker necessary optimality theorems to multiobjective programming problems}, journal = {J. Glob. Optim.}, volume = {55}, number = {1}, pages = {5--11}, year = {2013}, url = {https://doi.org/10.1007/s10898-012-9949-5}, doi = {10.1007/S10898-012-9949-5}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/KimL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/ParkKLHHC13, author = {Moonseo Park and Youngjoo Kim and Hyunsoo Lee and Sangwon Han and Sungjoo Hwang and Min Ji Choi}, title = {Modeling the dynamics of urban development project: Focusing on self-sufficient city development}, journal = {Math. Comput. Model.}, volume = {57}, number = {9-10}, pages = {2082--2093}, year = {2013}, url = {https://doi.org/10.1016/j.mcm.2011.05.058}, doi = {10.1016/J.MCM.2011.05.058}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mcm/ParkKLHHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/SungCLLAPCCYLP13, author = {Ki Sung and Chin Chung and Kyoung Lee and Seung Lee and Soyeon Ahn and Somin Park and In Choi and Tae{-}Joon Cho and Won Yoo and Jung Lee and Moon Park}, title = {Application of clinical pathway using electronic medical record system in pediatric patients with supracondylar fracture of the humerus: a before and after comparative study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {13}, pages = {87}, year = {2013}, url = {https://doi.org/10.1186/1472-6947-13-87}, doi = {10.1186/1472-6947-13-87}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/SungCLLAPCCYLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KimHCLJM13, author = {Duk{-}jin Kim and Byong Jun Hwang and Kyung Ho Chung and Sang Hoon Lee and Hyung{-}Sup Jung and Wooil M. Moon}, title = {Melt Pond Mapping With High-Resolution {SAR:} The First View}, journal = {Proc. {IEEE}}, volume = {101}, number = {3}, pages = {748--758}, year = {2013}, url = {https://doi.org/10.1109/JPROC.2012.2226411}, doi = {10.1109/JPROC.2012.2226411}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KimHCLJM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLKP13, author = {Se Woon Choi and Jihoon Lee and Jong Moon Kim and Hyo Seon Park}, title = {Design and Application of a Field Sensing System for Ground Anchors in Slopes}, journal = {Sensors}, volume = {13}, number = {3}, pages = {3739--3752}, year = {2013}, url = {https://doi.org/10.3390/s130303739}, doi = {10.3390/S130303739}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KandpalLKMC13, author = {Lalit Mohan Kandpal and Hoonsoo Lee and Moon S. Kim and Changyeun Mo and Byoung{-}Kwan Cho}, title = {Hyperspectral Reflectance Imaging Technique for Visualization of Moisture Distribution in Cooked Chicken Breast}, journal = {Sensors}, volume = {13}, number = {10}, pages = {13289--13300}, year = {2013}, url = {https://doi.org/10.3390/s131013289}, doi = {10.3390/S131013289}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KandpalLKMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKM13, author = {Sang Dae Lee and Giyoung Kim and Jihea Moon}, title = {Performance Improvement of the One-Dot Lateral Flow Immunoassay for Aflatoxin {B1} by Using a Smartphone-Based Reading System}, journal = {Sensors}, volume = {13}, number = {4}, pages = {5109--5116}, year = {2013}, url = {https://doi.org/10.3390/s130405109}, doi = {10.3390/S130405109}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/MinHPKLHYLJS0MLL13, author = {Chulhong Min and Chanyou Hwang and Taiwoo Park and Yuhwan Kim and Uichin Lee and Inseok Hwang and Chungkuk Yoo and Changhoon Lee and Younghyun Ju and Junehwa Song and Jaeung Lee and Miri Moon and Haechan Lee and Youngki Lee}, title = {{ACM} HotMobile 2013 demo: bringing in-situ social awareness to mobile systems: everyday interaction monitoring and its applications}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {17}, number = {3}, pages = {9--10}, year = {2013}, url = {https://doi.org/10.1145/2542095.2542101}, doi = {10.1145/2542095.2542101}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/MinHPKLHYLJS0MLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimWSHLLK13, author = {Sunkwon Kim and Jong{-}Kwan Woo and Woo{-}Yeol Shin and Gi{-}Moon Hong and Hyongmin Lee and Hyunjoong Lee and Suhwan Kim}, title = {A 10-Mbps 0.8-pJ/bit Referenceless Clock and Data Recovery Circuit for Optically Controlled Neural Interface System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {1}, pages = {6--10}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2012.2234872}, doi = {10.1109/TCSII.2012.2234872}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimWSHLLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MoonNLKCS13, author = {Jaekyun Moon and Jaehyeong No and Sangchul Lee and Sangsik Kim and Seokhwan Choi and Yunheub Song}, title = {Statistical Characterization of Noise and Interference in {NAND} Flash Memory}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {8}, pages = {2153--2164}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2239116}, doi = {10.1109/TCSI.2013.2239116}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MoonNLKCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLPLL13, author = {Young{-}Tae Kim and Kwangwon Lee and Moonseo Park and Kyoung{-}Jae Lee and Inkyu Lee}, title = {Precoding Designs Based on Minimum Distance for Two-Way Relaying {MIMO} Systems with Physical Network Coding}, journal = {{IEEE} Trans. Commun.}, volume = {61}, number = {10}, pages = {4151--4160}, year = {2013}, url = {https://doi.org/10.1109/TCOMM.2013.091213.120631}, doi = {10.1109/TCOMM.2013.091213.120631}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimLPLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLC13, author = {Jonghoon Kim and Jaemoon Lee and Bo{-}Hyung Cho}, title = {Equivalent Circuit Modeling of {PEM} Fuel Cell Degradation Combined With a {LFRC}}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {60}, number = {11}, pages = {5086--5094}, year = {2013}, url = {https://doi.org/10.1109/TIE.2012.2226414}, doi = {10.1109/TIE.2012.2226414}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimSCLPMY13, author = {Hyoung{-}Suk Kim and Hyun{-}Wook Seong and Je{-}Hyung Cho and Jae{-}Bum Lee and Ki{-}Bum Park and Gun{-}Woo Moon and Myung{-}Joong Youn}, title = {Start-Up Control to Prevent Overcurrent During Hot Swap in Paralleled {DC-DC} Converters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {60}, number = {12}, pages = {5558--5574}, year = {2013}, url = {https://doi.org/10.1109/TIE.2012.2236999}, doi = {10.1109/TIE.2012.2236999}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimSCLPMY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/KimLHSKKM13, author = {Jihun Kim and Byongjun Lee and Sangwook Han and Jeong{-}Hoon Shin and Taekyun Kim and Sangtae Kim and Younghwan Moon}, title = {Study of the Effectiveness of a Korean Smart Transmission Grid Based on Synchro-Phasor Data of {K-WAMS}}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {1}, pages = {411--418}, year = {2013}, url = {https://doi.org/10.1109/TSG.2013.2240321}, doi = {10.1109/TSG.2013.2240321}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/KimLHSKKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeMKL13, author = {Kilbom Lee and Sung Hyun Moon and Seehyun Kim and Inkyu Lee}, title = {Sequence Designs for Robust Consistent Frequency-Offset Estimation in {OFDM} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {62}, number = {3}, pages = {1389--1394}, year = {2013}, url = {https://doi.org/10.1109/TVT.2012.2228285}, doi = {10.1109/TVT.2012.2228285}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimDEYPL13, author = {Kyeong Jin Kim and Trung Quang Duong and Maged Elkashlan and Phee Lep Yeoh and H. Vincent Poor and Moon Ho Lee}, title = {Spectrum Sharing Single-Carrier in the Presence of Multiple Licensed Receivers}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {10}, pages = {5223--5235}, year = {2013}, url = {https://doi.org/10.1109/TWC.2013.092013.130088}, doi = {10.1109/TWC.2013.092013.130088}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/KimDEYPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeKMKL13, author = {Sang{-}Rim Lee and Jin{-}Sung Kim and Sung Hyun Moon and Hanbae Kong and Inkyu Lee}, title = {Zero-Forcing Beamforming in Multiuser {MISO} Downlink Systems Under Per-Antenna Power Constraint and Equal-Rate Metric}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {1}, pages = {228--236}, year = {2013}, url = {https://doi.org/10.1109/TWC.2012.120312.120332}, doi = {10.1109/TWC.2012.120312.120332}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeKMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KhanLLK13, author = {Md. Hashem Ali Khan and Jun Li and Moon Ho Lee and Kyeong Jin Kim}, title = {A block diagonal jacket matrices for {MIMO} broadcast channels}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2013, Brunel University, London, United Kingdom, June 5-7, 2013}, pages = {1--7}, year = {2013}, crossref = {DBLP:conf/bmsb/2013}, url = {https://doi.org/10.1109/BMSB.2013.6621798}, doi = {10.1109/BMSB.2013.6621798}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KhanLLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimLGN13, author = {Han{-}Jong Kim and Moon{-}Hwan Lee and Boncheol Goo and Tek{-}Jin Nam}, title = {Xtempo: music polaroid for printing real-time acoustic guitar performance}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {2827--2828}, year = {2013}, crossref = {DBLP:conf/chi/2013a}, url = {https://doi.org/10.1145/2468356.2479532}, doi = {10.1145/2468356.2479532}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimLGN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeFCHMK13, author = {Michael Jongseon Lee and Bruce Ferwerda and Junghong Choi and Jungpil Hahn and Jae Yun Moon and Jinwoo Kim}, title = {GitHub developers use rockstars to overcome overflow of news}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {133--138}, year = {2013}, crossref = {DBLP:conf/chi/2013a}, url = {https://doi.org/10.1145/2468356.2468381}, doi = {10.1145/2468356.2468381}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/LeeFCHMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/OdomZFHMCLNLLKRSSM13, author = {William Odom and John Zimmerman and Jodi Forlizzi and Ana L{\'{o}}pez Higuera and Mauro Marchitto and Jos{\'{e}} J. Ca{\~{n}}as and Youn{-}Kyung Lim and Tek{-}Jin Nam and Moon{-}Hwan Lee and Yeoreum Lee and Da{-}jung Kim and Yea{-}Kyung Row and Jinmin Seok and Bokyung Sohn and Heather Moore}, title = {Fragmentation and transition: understanding perceptions of virtual possessions among young adults in Spain, South Korea and the United States}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {1833--1842}, year = {2013}, crossref = {DBLP:conf/chi/2013}, url = {https://doi.org/10.1145/2470654.2466242}, doi = {10.1145/2470654.2466242}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/OdomZFHMCLNLLKRSSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocrypt/CheonCKLLTY13, author = {Jung Hee Cheon and Jean{-}S{\'{e}}bastien Coron and Jinsu Kim and Moon Sung Lee and Tancr{\`{e}}de Lepoint and Mehdi Tibouchi and Aaram Yun}, title = {Batch Fully Homomorphic Encryption over the Integers}, booktitle = {Advances in Cryptology - {EUROCRYPT} 2013, 32nd Annual International Conference on the Theory and Applications of Cryptographic Techniques, Athens, Greece, May 26-30, 2013. Proceedings}, pages = {315--335}, year = {2013}, crossref = {DBLP:conf/eurocrypt/2013}, url = {https://doi.org/10.1007/978-3-642-38348-9\_20}, doi = {10.1007/978-3-642-38348-9\_20}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/eurocrypt/CheonCKLLTY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkLM13, author = {Ki Tae Park and Jeong Ho Lee and Young Shik Moon}, title = {Visual saliency based on selective integration of feature maps in frequency domain}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {43--44}, year = {2013}, crossref = {DBLP:conf/iccel/2013}, url = {https://doi.org/10.1109/ICCE.2013.6486787}, doi = {10.1109/ICCE.2013.6486787}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ParkLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/KimMMK13, author = {Hyeon{-}Seung Kim and So{-}Yong Moon and Hyoun{-}Seok Moon and Leen{-}Seok Kang}, title = {Application of Information Technology for Visualizing and Optimizing Construction Project Schedule}, booktitle = {{ICEIS} 2013 - Proceedings of the 15th International Conference on Enterprise Information Systems, Volume 2, Angers, France, 4-7 July, 2013}, pages = {329--332}, year = {2013}, crossref = {DBLP:conf/iceis/2013-2}, url = {https://doi.org/10.5220/0004565303290332}, doi = {10.5220/0004565303290332}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceis/KimMMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKMKYC13, author = {Dong{-}Hyuk Lee and Ui Kyum Kim and Hyungpil Moon and Jachoon Koo and Woon Jong Yoon and Hyouk Ryeol Choi}, title = {Preliminary design of multi-axial contact force sensor for minimally invasive robotic surgery grasper}, booktitle = {2013 {IEEE} International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013}, pages = {1019--1024}, year = {2013}, crossref = {DBLP:conf/icra/2013}, url = {https://doi.org/10.1109/ICRA.2013.6630698}, doi = {10.1109/ICRA.2013.6630698}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKMKYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoLMMKK13, author = {Shin{-}Young Cho and Il{-}Oun Lee and SangCheol Moon and Gun{-}Woo Moon and Bong{-}Chul Kim and Ki Young Kim}, title = {Constant current charging in series-series compensated non-radiative wireless power link}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2792--2795}, year = {2013}, crossref = {DBLP:conf/iscas/2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572458}, doi = {10.1109/ISCAS.2013.6572458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoLMMKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/JungSPKHWLH10, author = {Sung{-}Won Jung and Ki{-}Won Sung and Moon{-}Youl Park and Eon{-}Uck Kang and Won Jun Hwang and Jong{-}Dae Won and Woo Song Lee and Sung{-}Hyun Han}, title = {A study on precise control of autonomous driving robot by voice recognition}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, year = {2013}, crossref = {DBLP:conf/isr/2013}, url = {https://doi.org/10.1109/ISR.2013.6695640}, doi = {10.1109/ISR.2013.6695640}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/JungSPKHWLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/KimLKLY10, author = {Moon Young Kim and Jun Young Lee and Changhwan Kim and Seok Lee and Hong Jae Yim}, title = {A study on inspection robot wheel to pass an obstacle on a four conductor transmission line}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--2}, year = {2013}, crossref = {DBLP:conf/isr/2013}, url = {https://doi.org/10.1109/ISR.2013.6695676}, doi = {10.1109/ISR.2013.6695676}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/KimLKLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/SungJPJLPH10, author = {Ki{-}Won Sung and Sung{-}Won Jung and Moon{-}Youl Park and Yang{-}Keun Jeong and Woo Song Lee and In{-}Man Park and Sung{-}Hyun Han}, title = {A study on stable walking control of biped robot on uneven terrain}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, year = {2013}, crossref = {DBLP:conf/isr/2013}, url = {https://doi.org/10.1109/ISR.2013.6695621}, doi = {10.1109/ISR.2013.6695621}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/SungJPJLPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkYLKPKCOOMKJLKP13, author = {Yongha Park and Chang{-}Hyo Yu and Kilwhan Lee and Hyunsuk Kim and Youngeun Park and Chun{-}Ho Kim and Yunseok Choi and Jinhong Oh and Changhoon Oh and Gurnrack Moon and Sangduk Kim and Horang Jang and Jin{-}Aeon Lee and Chinhyun Kim and Sungho Park}, title = {72.5GFLOPS 240Mpixel/s 1080p 60fps multi-format video codec application processor enabled with {GPGPU} for fused multimedia application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {160--161}, year = {2013}, crossref = {DBLP:conf/isscc/2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487681}, doi = {10.1109/ISSCC.2013.6487681}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkYLKPKCOOMKJLKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/KimKKLJK13, author = {Yunho Kim and Youil Kim and Taeksu Kim and Gunwoo Lee and Yoonkyu Jang and Moonzoo Kim}, title = {Automated unit testing of large industrial embedded software using concolic testing}, booktitle = {2013 28th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2013, Silicon Valley, CA, USA, November 11-15, 2013}, pages = {519--528}, year = {2013}, crossref = {DBLP:conf/kbse/2013}, url = {https://doi.org/10.1109/ASE.2013.6693109}, doi = {10.1109/ASE.2013.6693109}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/kbse/KimKKLJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeKPKSKCYW13, author = {Myungeun Lee and Jong Hyo Kim and Moon Ho Park and Ye{-}Hoon Kim and Yeong Kyeong Seong and Junghoe Kim and Baek Hwan Cho and Sinsang Yu and Kyoung{-}Gu Woo}, title = {Tumor Subtype-Specific Parameter Optimization in a Hybrid Active Surface Model for Hepatic Tumor Segmentation of 3D Liver Ultrasonograms}, booktitle = {Abdominal Imaging. Computation and Clinical Applications - 5th International Workshop, Held in Conjunction with {MICCAI} 2013, Nagoya, Japan, September 22, 2013. Proceedings}, pages = {251--258}, year = {2013}, crossref = {DBLP:conf/miccai/2013col}, url = {https://doi.org/10.1007/978-3-642-41083-3\_28}, doi = {10.1007/978-3-642-41083-3\_28}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeKPKSKCYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeMHLHJYMLS13, author = {Youngki Lee and Chulhong Min and Chanyou Hwang and Jaeung Lee and Inseok Hwang and Younghyun Ju and Chungkuk Yoo and Miri Moon and Uichin Lee and Junehwa Song}, title = {SocioPhone: everyday face-to-face interaction monitoring platform using multi-phone sensor fusion}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {375--388}, year = {2013}, crossref = {DBLP:conf/mobisys/2013}, url = {https://doi.org/10.1145/2462456.2465426}, doi = {10.1145/2462456.2465426}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeMHLHJYMLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeMHLHJYMLS13a, author = {Youngki Lee and Chulhong Min and Chanyou Hwang and Jaeung Lee and Inseok Hwang and Younghyun Ju and Chungkuk Yoo and Miri Moon and Uichin Lee and Junehwa Song}, title = {SocioPhone: everyday face-to-face interaction monitoring platform using multi-phone sensor fusion}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {499--500}, year = {2013}, crossref = {DBLP:conf/mobisys/2013}, url = {https://doi.org/10.1145/2462456.2465702}, doi = {10.1145/2462456.2465702}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeMHLHJYMLS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ngmast/KimLL13, author = {Jihyung Kim and Kwang Jae Lim and Moonsik Lee}, title = {Gradual Time Synchronization for Wireless Mesh Networks Based on {OFDMA}}, booktitle = {Seventh International Conference on Next Generation Mobile Apps, Services and Technologies, {NGMAST} 2013, Prague, Czech Republic, September 25-27, 2013}, pages = {187--191}, year = {2013}, crossref = {DBLP:conf/ngmast/2013}, url = {https://doi.org/10.1109/NGMAST.2013.41}, doi = {10.1109/NGMAST.2013.41}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ngmast/KimLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimYMKL13, author = {Joon{-}Young Kim and Sanghwa Yoo and Sang{-}Rok Moon and Dong Churl Kim and Chang{-}Hee Lee}, title = {400 Gb/s {(40} {\texttimes} 10 Gb/s) {ASE} injection seeded {WDM-PON} based on {SOA-REAM}}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, year = {2013}, crossref = {DBLP:conf/ofc/2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6533196}, timestamp = {Thu, 07 Oct 2021 14:28:58 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimYMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeeLTKNMKNC13, author = {Choonghan Lee and Dong{-}Hyuk Lee and Nguyen Canh Toan and Ui Kyeom Kim and Dat Tien Nguyen and Hyungpil Moon and Jachoon Koo and Jaedo Nam and Hyouk Ryeol Choi}, title = {Preliminary design and fabrication of smart handheld surgical tool with tactile feedback}, booktitle = {{IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2013, Gyeongju, South Korea, August 26-29, 2013}, pages = {76--80}, year = {2013}, crossref = {DBLP:conf/ro-man/2013}, url = {https://doi.org/10.1109/ROMAN.2013.6628432}, doi = {10.1109/ROMAN.2013.6628432}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/LeeLTKNMKNC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KangLMOM13, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon and Gi{-}Hwan Oh and Changwoo Min}, title = {{X-FTL:} transactional {FTL} for SQLite databases}, booktitle = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2013, New York, NY, USA, June 22-27, 2013}, pages = {97--108}, year = {2013}, crossref = {DBLP:conf/sigmod/2013}, url = {https://doi.org/10.1145/2463676.2465326}, doi = {10.1145/2463676.2465326}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KangLMOM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/LeeMJKLPK13, author = {Hojoon Lee and Hyungon Moon and DaeHee Jang and Kihwan Kim and Jihoon Lee and Yunheung Paek and Brent ByungHoon Kang}, title = {KI-Mon: {A} Hardware-assisted Event-triggered Monitoring Platform for Mutable Kernel Object}, booktitle = {Proceedings of the 22th {USENIX} Security Symposium, Washington, DC, USA, August 14-16, 2013}, pages = {511--526}, year = {2013}, crossref = {DBLP:conf/uss/2013}, url = {https://www.usenix.org/conference/usenixsecurity13/technical-sessions/presentation/lee}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/LeeMJKLPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/JiangGLK13, author = {Xueqin Jiang and Hongyun Guan and Moon Ho Lee and Soo Young Kim}, title = {Length-compatible {PEG-CRT} algorithm}, booktitle = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2013, Hangzhou, China, October 24-26, 2013}, pages = {1--5}, year = {2013}, crossref = {DBLP:conf/wcsp/2013}, url = {https://doi.org/10.1109/WCSP.2013.6677054}, doi = {10.1109/WCSP.2013.6677054}, timestamp = {Tue, 17 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcsp/JiangGLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimLYC13, author = {Jinsu Kim and Moon Sung Lee and Aaram Yun and Jung Hee Cheon}, title = {CRT-based Fully Homomorphic Encryption over the Integers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {57}, year = {2013}, url = {http://eprint.iacr.org/2013/057}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KimLYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NamAKLBE12, author = {Young{-}Han Nam and Yosuke Akimoto and Younsun Kim and Moon{-}il Lee and Kapil Bhattad and Anthony E. Ekpenyong}, title = {Evolution of reference signals for LTE-advanced systems}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {2}, pages = {132--138}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6146492}, doi = {10.1109/MCOM.2012.6146492}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NamAKLBE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NguyenCKLKKL12, author = {Huy Quang Nguyen and Joon{-}Ho Choi and Tae Gyu Kang and Sang{-}Kyu Lim and Dae Ho Kim and Moonsoo Kang and Chung Ghiu Lee}, title = {Effect of {LED} emission cross-section in indoor visible light communication systems}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {286}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-286}, doi = {10.1186/1687-1499-2012-286}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/NguyenCKLKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/KokiniLKM12, author = {Christina Kokini and Sangwon Lee and Richard J. Koubek and Seung Ki Moon}, title = {Considering Context: The Role of Mental Workload and Operator Control in Users' Perceptions of Usability}, journal = {Int. J. Hum. Comput. Interact.}, volume = {28}, number = {9}, pages = {543--559}, year = {2012}, url = {https://doi.org/10.1080/10447318.2011.622973}, doi = {10.1080/10447318.2011.622973}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/KokiniLKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/LeeKPTL12, author = {Hyunsoo Lee and Hyunsoo Kim and Moonseo Park and Ai Lin Evelyn Teo and Kwang{-}Pyo Lee}, title = {Construction Risk Assessment Using Site Influence Factors}, journal = {J. Comput. Civ. Eng.}, volume = {26}, number = {3}, pages = {319--330}, year = {2012}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000146}, doi = {10.1061/(ASCE)CP.1943-5487.0000146}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/LeeKPTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimHPCYSBKCJLJ12, author = {Sun Mi Kim and Heon Han and Jeong Mi Park and Yoon Jung Choi and Hoi Soo Yoon and Jung Hee Sohn and Moon Hee Baek and Yoon Nam Kim and Young Moon Chae and Jeon Jong June and Jiwon Lee and Yong Hwan Jeon}, title = {A Comparison of Logistic Regression Analysis and an Artificial Neural Network Using the {BI-RADS} Lexicon for Ultrasonography in Conjunction with Introbserver Variability}, journal = {J. Digit. Imaging}, volume = {25}, number = {5}, pages = {599--606}, year = {2012}, url = {https://doi.org/10.1007/s10278-012-9457-7}, doi = {10.1007/S10278-012-9457-7}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimHPCYSBKCJLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/LeeCLCJ12, author = {Dong{-}Man Lee and Young{-}Su Cha and Young{-}Ki Lee and Moon{-}Jong Choi and Sung{-}Hee Jang}, title = {The Impact of Information System Quality and Media Quality on the Intention to Use {IPTV}}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {10}, number = {1}, pages = {71--77}, year = {2012}, url = {https://doi.org/10.6109/jicce.2012.10.1.071}, doi = {10.6109/JICCE.2012.10.1.071}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/LeeCLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HwangJKLJH12, author = {Jong Tae Hwang and Moon Sang Jung and Dae Ho Kim and Jun Hong Lee and Minho Jung and Jong{-}Shin Ha}, title = {Off-the-Line Primary Side Regulation {LED} Lamp Driver With Single-Stage {PFC} and {TRIAC} Dimming Using {LED} Forward Voltage and Duty Variation Tracking Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {12}, pages = {3081--3094}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2225735}, doi = {10.1109/JSSC.2012.2225735}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HwangJKLJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimMLLJC12, author = {I. J. Kim and H. K. Moon and J. H. Lee and Nae{-}Eung Lee and J. W. Jung and S. H. Cho}, title = {Silicon nitride etch characteristics in SF\({}_{\mbox{6}}\)/O\({}_{\mbox{2}}\) and C\({}_{\mbox{3}}\)F\({}_{\mbox{6}}\)O/O\({}_{\mbox{2}}\) plasmas and evaluation of their global warming effects}, journal = {Microelectron. Reliab.}, volume = {52}, number = {12}, pages = {2970--2974}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2012.07.013}, doi = {10.1016/J.MICROREL.2012.07.013}, timestamp = {Wed, 28 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimMLLJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ol/HongKL12, author = {Jeong Min Hong and Moon Hee Kim and Gue Myung Lee}, title = {On linear vector program and vector matrix game equivalence}, journal = {Optim. Lett.}, volume = {6}, number = {2}, pages = {231--240}, year = {2012}, url = {https://doi.org/10.1007/s11590-010-0237-3}, doi = {10.1007/S11590-010-0237-3}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ol/HongKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/KangLM12, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon}, title = {Flash-based Extended Cache for Higher Throughput and Faster Recovery}, journal = {Proc. {VLDB} Endow.}, volume = {5}, number = {11}, pages = {1615--1626}, year = {2012}, url = {http://vldb.org/pvldb/vol5/p1615\_woon-hakkang\_vldb2012.pdf}, doi = {10.14778/2350229.2350274}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/KangLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooMJHSJPPL12, author = {Wook Jae Yoo and Jinsoo Moon and Kyoung Won Jang and Ki{-}Tek Han and Sang Hun Shin and Dayeong Jeon and Jang{-}Yeon Park and Byung Gi Park and Bongsoo Lee}, title = {Integral T-Shaped Phantom-Dosimeter System to Measure Transverse and Longitudinal Dose Distributions Simultaneously for Stereotactic Radiosurgery Dosimetry}, journal = {Sensors}, volume = {12}, number = {5}, pages = {6404--6414}, year = {2012}, url = {https://doi.org/10.3390/s120506404}, doi = {10.3390/S120506404}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooMJHSJPPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/KimLLLMJ12, author = {Dohyun Kim and Bangrae Lee and Hyuck Jai Lee and Sang Pil Lee and Yeongho Moon and Myong K. Jeong}, title = {Automated Detection of Influential Patents Using Singular Values}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {9}, number = {4}, pages = {723--733}, year = {2012}, url = {https://doi.org/10.1109/TASE.2012.2210214}, doi = {10.1109/TASE.2012.2210214}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/KimLLLMJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimYML12, author = {Chong{-}Eun Kim and Kang{-}Hyun Yi and Gun{-}Woo Moon and Jun{-}Young Lee}, title = {Design of Low-Cost Address Energy Recovery Circuit of {AC-PDP} With Load-Adaptive Characteristics}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {1}, pages = {402--411}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2134050}, doi = {10.1109/TIE.2011.2134050}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimYML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/NaLM12, author = {Gap{-}Joo Na and Sang{-}Won Lee and Bongki Moon}, title = {Dynamic In-Page Logging for B{\unicode{8314}}-tree Index}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {24}, number = {7}, pages = {1231--1243}, year = {2012}, url = {https://doi.org/10.1109/TKDE.2011.32}, doi = {10.1109/TKDE.2011.32}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/NaLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimDPL12, author = {Kyeong Jin Kim and Trung Quang Duong and H. Vincent Poor and Moon Ho Lee}, title = {Performance Analysis of Adaptive Decode-and-Forward Cooperative Single-Carrier Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {7}, pages = {3332--3337}, year = {2012}, url = {https://doi.org/10.1109/TVT.2012.2200912}, doi = {10.1109/TVT.2012.2200912}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimDPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimFIPL12, author = {Kyeong Jin Kim and Yijia Fan and Ronald A. Iltis and H. Vincent Poor and Moon Ho Lee}, title = {A Reduced Feedback Precoder for {MIMO-OFDM} Cooperative Diversity Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {2}, pages = {584--596}, year = {2012}, url = {https://doi.org/10.1109/TVT.2011.2181548}, doi = {10.1109/TVT.2011.2181548}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimFIPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/JeonKPL12, author = {Youngil Jeon and Young{-}Tae Kim and Moonseo Park and Inkyu Lee}, title = {Opportunistic Scheduling for Multi-User Two-Way Relay Systems with Physical Network Coding}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {4}, pages = {1290--1294}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.021412.111189}, doi = {10.1109/TWC.2012.021412.111189}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/JeonKPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimML12, author = {Jin{-}Sung Kim and Sung Hyun Moon and Inkyu Lee}, title = {Coordinated Spatial Multiplexing with Orthogonalized Channels for Multiuser {MIMO} Downlink Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {6}, pages = {1996--2001}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.032712.111009}, doi = {10.1109/TWC.2012.032712.111009}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimMLL12, author = {Jin{-}Sung Kim and Sung Hyun Moon and Sang{-}Rim Lee and Inkyu Lee}, title = {A New Channel Quantization Strategy for {MIMO} Interference Alignment with Limited Feedback}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {1}, pages = {358--366}, year = {2012}, url = {https://doi.org/10.1109/TWC.2011.111211.110810}, doi = {10.1109/TWC.2011.111211.110810}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimMLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeLML12, author = {Kilbom Lee and Sang{-}Rim Lee and Sung Hyun Moon and Inkyu Lee}, title = {MMSE-Based {CFO} Compensation for Uplink {OFDMA} Systems with Conjugate Gradient}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {8}, pages = {2767--2775}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.052512.110811}, doi = {10.1109/TWC.2012.052512.110811}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeLML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeMKL12, author = {Sang{-}Rim Lee and Sung Hyun Moon and Jin{-}Sung Kim and Inkyu Lee}, title = {Capacity Analysis of Distributed Antenna Systems in a Composite Fading Channel}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {3}, pages = {1076--1086}, year = {2012}, url = {https://doi.org/10.1109/TWC.2011.122211.110645}, doi = {10.1109/TWC.2011.122211.110645}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeMKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeMLL12, author = {Kilbom Lee and Sung Hyun Moon and Sang{-}Rim Lee and Inkyu Lee}, title = {Low Complexity Pilot Assisted Carrier Frequency Offset Estimation for {OFDMA} Uplink Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {8}, pages = {2690--2695}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.052512.111241}, doi = {10.1109/TWC.2012.052512.111241}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeMLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/MoonLKL12, author = {Sung Hyun Moon and Kyoung{-}Jae Lee and Jihoon Kim and Inkyu Lee}, title = {Link Performance Estimation Techniques for {MIMO-OFDM} Systems with Maximum Likelihood Receiver}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {5}, pages = {1808--1816}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.032712.111304}, doi = {10.1109/TWC.2012.032712.111304}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/MoonLKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SongYLKKKLJMC12, author = {Byung Cheol Song and Yongseok Yi and Yun{-}Gu Lee and Nak Hoon Kim and Jun Hyuk Ko and Tae Hee Kim and Dong Keun Lim and Woo Hyun Ju and Jae{-}Pil Moon and Kyunghwan Cho}, title = {1080p 60 Hz Intra-Frame Video {CODEC} Chip Design and Its Implementation}, journal = {J. Signal Process. Syst.}, volume = {67}, number = {3}, pages = {291--303}, year = {2012}, url = {https://doi.org/10.1007/s11265-010-0564-8}, doi = {10.1007/S11265-010-0564-8}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/SongYLKKKLJMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/LeeKLYBM12, author = {Sukhan Lee and Jaewoong Kim and Moonju Lee and Kyeongdae Yoo and Leandro G. Barajas and Roland Menassa}, title = {3D visual perception system for bin picking in automotive sub-assembly automation}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {706--713}, year = {2012}, crossref = {DBLP:conf/case/2012}, url = {https://doi.org/10.1109/CoASE.2012.6386359}, doi = {10.1109/COASE.2012.6386359}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/LeeKLYBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeeKLMHK12, author = {Suk Hwan Lee and Seong Geun Kwon and Eung{-}Joo Lee and Kwang{-}Seok Moon and Won{-}Joo Hwang and Ki{-}Ryong Kwon}, title = {Watermarking scheme for copyright protection of 3d animated model}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {1--4}, year = {2012}, crossref = {DBLP:conf/ccnc/2012}, url = {https://doi.org/10.1109/CCNC.2012.6181052}, doi = {10.1109/CCNC.2012.6181052}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeeKLMHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/JamshedLMYKLYP12, author = {Muhammad Asim Jamshed and Jihyung Lee and Sangwoo Moon and Insu Yun and Deokjin Kim and Sungryoul Lee and Yung Yi and KyoungSoo Park}, title = {Kargus: a highly-scalable software-based intrusion detection system}, booktitle = {the {ACM} Conference on Computer and Communications Security, CCS'12, Raleigh, NC, USA, October 16-18, 2012}, pages = {317--328}, year = {2012}, crossref = {DBLP:conf/ccs/2012}, url = {https://doi.org/10.1145/2382196.2382232}, doi = {10.1145/2382196.2382232}, timestamp = {Tue, 12 Apr 2022 13:28:49 +0200}, biburl = {https://dblp.org/rec/conf/ccs/JamshedLMYKLYP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/MoonLLKPK12, author = {Hyungon Moon and Hojoon Lee and Jihoon Lee and Kihwan Kim and Yunheung Paek and Brent ByungHoon Kang}, title = {Vigilare: toward snoop-based kernel integrity monitor}, booktitle = {the {ACM} Conference on Computer and Communications Security, CCS'12, Raleigh, NC, USA, October 16-18, 2012}, pages = {28--37}, year = {2012}, crossref = {DBLP:conf/ccs/2012}, url = {https://doi.org/10.1145/2382196.2382202}, doi = {10.1145/2382196.2382202}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/MoonLLKPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChoiLKLM12, author = {Hyebong Choi and Kyong{-}Ha Lee and Soo{-}Hyong Kim and Yoon{-}Joon Lee and Bongki Moon}, title = {HadoopXML: a suite for parallel processing of massive {XML} data with multiple twig pattern queries}, booktitle = {21st {ACM} International Conference on Information and Knowledge Management, CIKM'12, Maui, HI, USA, October 29 - November 02, 2012}, pages = {2737--2739}, year = {2012}, crossref = {DBLP:conf/cikm/2012}, url = {https://doi.org/10.1145/2396761.2398745}, doi = {10.1145/2396761.2398745}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ChoiLKLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaekMSSK12, author = {Seungwook Paek and Seok{-}Hwan Moon and Wongyu Shin and Jaehyeong Sim and Lee{-}Sup Kim}, title = {PowerField: a transient temperature-to-power technique based on Markov random field theory}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {630--635}, year = {2012}, crossref = {DBLP:conf/dac/2012}, url = {https://doi.org/10.1145/2228360.2228474}, doi = {10.1145/2228360.2228474}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PaekMSSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dawak/LeeKML12, author = {Suan Lee and Jinho Kim and Yang{-}Sae Moon and Wookey Lee}, title = {Efficient Distributed Parallel Top-Down Computation of {ROLAP} Data Cube Using MapReduce}, booktitle = {Data Warehousing and Knowledge Discovery - 14th International Conference, DaWaK 2012, Vienna, Austria, September 3-6, 2012. Proceedings}, pages = {168--179}, year = {2012}, crossref = {DBLP:conf/dawak/2012}, url = {https://doi.org/10.1007/978-3-642-32584-7\_14}, doi = {10.1007/978-3-642-32584-7\_14}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dawak/LeeKML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/MoonKJL12, author = {YouHyeong Moon and DoHyeon Kim and WonGyu Jang and SungHyup Lee}, title = {A Study of Remote Control for Home Appliances Based on {M2M}}, booktitle = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, pages = {198--203}, year = {2012}, crossref = {DBLP:conf/fgit/2012el}, url = {https://doi.org/10.1007/978-3-642-35603-2\_29}, doi = {10.1007/978-3-642-35603-2\_29}, timestamp = {Tue, 23 May 2017 01:07:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/MoonKJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimMLL12, author = {Jin{-}Sung Kim and Sung Hyun Moon and Sang{-}Rim Lee and Inkyu Lee}, title = {Channel quantization algorithm for {MIMO} interference alignment with limited feedback}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {4252--4256}, year = {2012}, crossref = {DBLP:conf/icc/2012}, url = {https://doi.org/10.1109/ICC.2012.6364092}, doi = {10.1109/ICC.2012.6364092}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimMLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeMKL12, author = {Sang{-}Rim Lee and Sung Hyun Moon and Jin{-}Sung Kim and Inkyu Lee}, title = {On the capacity of {MIMO} distributed antenna systems}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {4824--4828}, year = {2012}, crossref = {DBLP:conf/icc/2012}, url = {https://doi.org/10.1109/ICC.2012.6364094}, doi = {10.1109/ICC.2012.6364094}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeMKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MoonLKL12, author = {Sung Hyun Moon and Kyoung{-}Jae Lee and Jihoon Kim and Inkyu Lee}, title = {An effective link error prediction technique for {MIMO-OFDM} systems with {ML} receiver}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {4262--4266}, year = {2012}, crossref = {DBLP:conf/icc/2012}, url = {https://doi.org/10.1109/ICC.2012.6363809}, doi = {10.1109/ICC.2012.6363809}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/MoonLKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/MoonLKLL12, author = {Jae Won Moon and Tae{-}Beom Lim and Kyung Won Kim and Seok{-}Pil Lee and SeWoom Lee}, title = {Advanced responsive web framework based on {MPEG-21}}, booktitle = {{IEEE} Second International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2012, Berlin, Germany, September 3-5, 2012}, pages = {197--199}, year = {2012}, crossref = {DBLP:conf/icce-berlin/2012}, url = {https://doi.org/10.1109/ICCE-Berlin.2012.6336500}, doi = {10.1109/ICCE-BERLIN.2012.6336500}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/MoonLKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimLPM12, author = {Ui Seong Kim and Jeong Ho Lee and Ki Tae Park and Young Shik Moon}, title = {A novel color restoration method using color projection}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {259--260}, year = {2012}, crossref = {DBLP:conf/iccel/2012}, url = {https://doi.org/10.1109/ICCE.2012.6161856}, doi = {10.1109/ICCE.2012.6161856}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimLPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeLPM12, author = {Jeong Ho Lee and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Image magnification by modifying {DCT} coefficients}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {120--121}, year = {2012}, crossref = {DBLP:conf/iccel/2012}, url = {https://doi.org/10.1109/ICCE.2012.6161769}, doi = {10.1109/ICCE.2012.6161769}, timestamp = {Fri, 19 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LeeLPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkPLM12, author = {Ki Tae Park and Min Su Park and Jeong Ho Lee and Young Shik Moon}, title = {Detection of visual saliency in Discrete Cosine Transform domain}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {128--129}, year = {2012}, crossref = {DBLP:conf/iccel/2012}, url = {https://doi.org/10.1109/ICCE.2012.6161773}, doi = {10.1109/ICCE.2012.6161773}, timestamp = {Fri, 19 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkPLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/MoonNLKYC12, author = {Jaekyun Moon and Jaehyeong No and Sangchul Lee and Sangsik Kim and Joongseop Yang and Seung Ho Chang}, title = {Noise and interference characterization for {MLC} flash memories}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2012, Maui, HI, USA, January 30 - February 2, 2012}, pages = {588--592}, year = {2012}, crossref = {DBLP:conf/iccnc/2012}, url = {https://doi.org/10.1109/ICCNC.2012.6167491}, doi = {10.1109/ICCNC.2012.6167491}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/MoonNLKYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/KimBLLJLMC12, author = {Tae{-}Il Kim and Wook Bahn and Chang{-}hun Lee and Tae{-}Jae Lee and Byung{-}Moon Jang and Sang{-}Hoon Lee and Min{-}Wug Moon and Dong{-}Il Cho}, title = {A Robotic Pan and Tilt 3-D Target Tracking System by Data Fusion of Vision, Encoder, Accelerometer, and Gyroscope Measurements}, booktitle = {Intelligent Robotics and Applications - 5th International Conference, {ICIRA} 2012, Montreal, Canada, October 3-5, 2012, Proceedings, Part {II}}, pages = {676--685}, year = {2012}, crossref = {DBLP:conf/icira/2012-2}, url = {https://doi.org/10.1007/978-3-642-33515-0\_66}, doi = {10.1007/978-3-642-33515-0\_66}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icira/KimBLLJLMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/UllahSLPMK12, author = {Farman Ullah and Ghulam Sarwar and Sung Chang Lee and YunKyung Park and Kyeong{-}Deok Moon and Jin Tae Kim}, title = {Hybrid recommender system with temporal information}, booktitle = {2012 International Conference on Information Networking, {ICOIN} 2012, Bali, Indonesia, February 1-3, 2012}, pages = {421--425}, year = {2012}, crossref = {DBLP:conf/icoin/2012}, url = {https://doi.org/10.1109/ICOIN.2012.6164413}, doi = {10.1109/ICOIN.2012.6164413}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/UllahSLPMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKKKLTMKNC12, author = {Hyung Seok Lee and Hyeok Yong Kwon and Dae Gyeong Kim and Ui Kyum Kim and Nguyen Ngoc Linh and Nguyen Canh Toan and Hyungpil Moon and Jachoon Koo and Jea{-}do Nam and Hyouk Ryeol Choi}, title = {{SMD} pluggable tactile display driven by soft actuator}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2012, 14-18 May, 2012, St. Paul, Minnesota, {USA}}, pages = {2731--2736}, year = {2012}, crossref = {DBLP:conf/icra/2012}, url = {https://doi.org/10.1109/ICRA.2012.6224786}, doi = {10.1109/ICRA.2012.6224786}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKKKLTMKNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLJC12, author = {Hyuk Je Kim and Jong Moon Lee and Soon{-}Ik Jeon and Hyung{-}Do Choi}, title = {Design and fabrication of a transceiver for microwave tomography breast imaging system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {238--239}, year = {2012}, crossref = {DBLP:conf/ictc/2012}, url = {https://doi.org/10.1109/ICTC.2012.6386827}, doi = {10.1109/ICTC.2012.6386827}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimLJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKKS12, author = {Moon Soo Lee and Sun{-}Joong Kim and Min Jung Kim and Cho Kee Seong}, title = {Remote collaboration screen control using mobile multi-touch interface}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {272--273}, year = {2012}, crossref = {DBLP:conf/ictc/2012}, url = {https://doi.org/10.1109/ICTC.2012.6386837}, doi = {10.1109/ICTC.2012.6386837}, timestamp = {Sun, 06 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeKKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/MalikNKMKHKL12, author = {Saransh Malik and Dahee No and Daejin Kim and Sangmi Moon and Se Young Kim and Intae Hwang and Bora Kim and Jin Lee}, title = {Smart cooperative relay schemes in LTE-advanced system}, booktitle = {The 6th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '12, Kuala Lumpur, Malaysia, February 20-22, 2012}, pages = {4:1--4:7}, year = {2012}, crossref = {DBLP:conf/icuimc/2012}, url = {https://doi.org/10.1145/2184751.2184756}, doi = {10.1145/2184751.2184756}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/MalikNKMKHKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeKKCM12, author = {Byoung{-}Hee Lee and Young Do Kim and Moon{-}Young Kim and In{-}Ho Cho and Gun{-}Woo Moon}, title = {Active-clamp forward converter with asymmetric transformer turns for reducing transformer {DC} offset current}, booktitle = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, pages = {204--209}, year = {2012}, crossref = {DBLP:conf/iecon/2012}, url = {https://doi.org/10.1109/IECON.2012.6388807}, doi = {10.1109/IECON.2012.6388807}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LeeKKCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KwakLHKKP12, author = {Hwan{-}Joo Kwak and Dong{-}Hun Lee and Jung{-}Moon Hwang and Jung{-}Han Kim and Chong{-}Kap Kim and Gwi{-}Tae Park}, title = {Improvement of the inertial sensor-based localization for mobile robots using multiple estimation windows filter}, booktitle = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, pages = {876--881}, year = {2012}, crossref = {DBLP:conf/iros/2012}, url = {https://doi.org/10.1109/IROS.2012.6386032}, doi = {10.1109/IROS.2012.6386032}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KwakLHKKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoonKLL12, author = {Sung{-}Won Moon and Hee{-}Dong Kim and Ji Won Lee and Heung{-}Kyu Lee}, title = {Dual video watermarking for {CCL} protection and manipulation detection}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1420--1423}, year = {2012}, crossref = {DBLP:conf/iscas/2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271511}, doi = {10.1109/ISCAS.2012.6271511}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoonKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangJKLJH12, author = {Jong Tae Hwang and Moon Sang Jung and Dae Ho Kim and Jun Hong Lee and Minho Jung and Jong{-}Shin Ha}, title = {Off-the-line primary-side regulation {LED} lamp driver with single-stage {PFC} and {TRIAC} dimming using {LED} forward-voltage and duty-variation tracking control}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {278--280}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177014}, doi = {10.1109/ISSCC.2012.6177014}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HwangJKLJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonKYKLHMCSK12, author = {Sunwoo Kwon and Injeong Kim and Shinyoung Yi and Sangheyub Kang and Sangheon Lee and Taeho Hwang and Byoungkwon Moon and Yunyoung Choi and Hosung Sung and Jinseok Koh}, title = {A 0.028{\%} THD+N, 91{\%} power-efficiency, 3-level {PWM} Class-D amplifier with a true differential front-end}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {96--98}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176891}, doi = {10.1109/ISSCC.2012.6176891}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonKYKLHMCSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/KangL12, author = {Moonsik Kang and Kilhung Lee}, title = {Adaptive Resource Control Strategy over Cognitive Networks for Multimedia Services}, booktitle = {Information Technology Convergence, Secure and Trust Computing, and Data Management - {ITCS} 2012 {\&} {STA} 2012, Gwangju, Korea, September 6-8, 2012}, pages = {95--102}, year = {2012}, crossref = {DBLP:conf/itcs2/2012}, url = {https://doi.org/10.1007/978-94-007-5083-8\_14}, doi = {10.1007/978-94-007-5083-8\_14}, timestamp = {Thu, 28 Nov 2019 18:17:10 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/KangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/SuhMEKL12, author = {Young{-}Kyoon Suh and Bongki Moon and Alon Efrat and Jin{-}Soo Kim and Sang{-}Won Lee}, title = {Extent Mapping Scheme for Flash Memory Devices}, booktitle = {20th {IEEE} International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2012, Washington, DC, USA, August 7-9, 2012}, pages = {331--338}, year = {2012}, crossref = {DBLP:conf/mascots/2012}, url = {https://doi.org/10.1109/MASCOTS.2012.45}, doi = {10.1109/MASCOTS.2012.45}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/SuhMEKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nordichi/LeeKKN12, author = {Moon{-}Hwan Lee and Da{-}Hoon Kim and Hyun{-}Jeong Kim and Tek{-}Jin Nam}, title = {Understanding impacts of hidden interfaces on mobile phone user experience}, booktitle = {Nordic Conference on Human-Computer Interaction, NordiCHI '12, Copenhagen, Denmark, October 14-17, 2012}, pages = {45--48}, year = {2012}, crossref = {DBLP:conf/nordichi/2012}, url = {https://doi.org/10.1145/2399016.2399024}, doi = {10.1145/2399016.2399024}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nordichi/LeeKKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimLAMK12, author = {Dohyun Kim and June Young Lee and Sejung Ahn and Yeongho Moon and Oh{-}Jin Kwon}, title = {{RFM} analysis for detecting future core technology}, booktitle = {Research in Applied Computation Symposium, {RACS} '12, San Antonio, TX, USA, October 23-26, 2012}, pages = {55--59}, year = {2012}, crossref = {DBLP:conf/racs/2012}, url = {https://doi.org/10.1145/2401603.2401614}, doi = {10.1145/2401603.2401614}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/KimLAMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeKPCLN12, author = {Jongmin Lee and Ahreum Kim and Moonju Park and Jongmoo Choi and Donghee Lee and Sam H. Noh}, title = {Real-time flash memory storage with Janus-FTL}, booktitle = {Proceedings of the {ACM} Symposium on Applied Computing, {SAC} 2012, Riva, Trento, Italy, March 26-30, 2012}, pages = {1799--1806}, year = {2012}, crossref = {DBLP:conf/sac/2012}, url = {https://doi.org/10.1145/2245276.2232069}, doi = {10.1145/2245276.2232069}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/LeeKPCLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LeeKKY12, author = {Jun Young Lee and Moon Young Kim and Chang Hwan Kim and Hong Jae Yim}, title = {Design of the link structure of an inspection robot to pass an obstacle on a four conductor transmission line}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {384--389}, year = {2012}, crossref = {DBLP:conf/sii/2012}, url = {https://doi.org/10.1109/SII.2012.6427272}, doi = {10.1109/SII.2012.6427272}, timestamp = {Mon, 16 Aug 2021 16:04:03 +0200}, biburl = {https://dblp.org/rec/conf/sii/LeeKKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/LeeKLK12, author = {Jae Yoo Lee and Moon Kwon Kim and Hyun Jung La and Soo Dong Kim}, title = {A software framework for enabling smart services}, booktitle = {2012 Fifth {IEEE} International Conference on Service-Oriented Computing and Applications (SOCA), Taipei, Taiwan, December 17-19, 2012}, pages = {1--8}, year = {2012}, crossref = {DBLP:conf/soca/2012}, url = {https://doi.org/10.1109/SOCA.2012.6449443}, doi = {10.1109/SOCA.2012.6449443}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/LeeKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/MoonKMKK12, author = {Hyoun{-}Seok Moon and Hyeon{-}seong Kim and So{-}young Moon and Hyeun{-}wook Kim and Leen{-}Seok Kang}, title = {Prototype model of wireless maintenance operation system for bridge facility using {VR} and ubiquitous technology}, booktitle = {Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2012, Singapore, December 2-4, 2012}, pages = {350}, year = {2012}, crossref = {DBLP:conf/vrcai/2012}, url = {https://doi.org/10.1145/2407516.2407601}, doi = {10.1145/2407516.2407601}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/MoonKMKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeML12, author = {Kilbom Lee and Sung Hyun Moon and Inkyu Lee}, title = {A Low-Complexity Semi-Blind Joint {CFO} and Data Estimation Algorithm for {OFDM} Systems}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, year = {2012}, crossref = {DBLP:conf/vtc/2012s}, url = {https://doi.org/10.1109/VETECS.2012.6239983}, doi = {10.1109/VETECS.2012.6239983}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeML12a, author = {Kilbom Lee and Sung Hyun Moon and Inkyu Lee}, title = {A Pilot-Aided Frequency Offset Estimation Algorithm for {OFDMA} Uplink Systems}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, year = {2012}, crossref = {DBLP:conf/vtc/2012f}, url = {https://doi.org/10.1109/VTCFall.2012.6398887}, doi = {10.1109/VTCFALL.2012.6398887}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeML12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1208-0289, author = {Woon{-}Hak Kang and Sang{-}Won Lee and Bongki Moon}, title = {Flash-based Extended Cache for Higher Throughput and Faster Recovery}, journal = {CoRR}, volume = {abs/1208.0289}, year = {2012}, url = {http://arxiv.org/abs/1208.0289}, eprinttype = {arXiv}, eprint = {1208.0289}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1208-0289.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/YuLHM11, author = {Ki{-}Ho Yu and Min Cheol Lee and Jung{-}Hun Heo and Young{-}Geun Moon}, title = {Localization algorithm using a virtual label for a mobile robot in indoor and outdoor environments}, journal = {Artif. Life Robotics}, volume = {16}, number = {3}, pages = {361--365}, year = {2011}, url = {https://doi.org/10.1007/s10015-011-0951-7}, doi = {10.1007/S10015-011-0951-7}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/YuLHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/ChaLLK11, author = {Moon Yong Cha and Jae Woo Lee and Deok{-}Sun Lee and Doo Hwan Kim}, title = {Wealth dynamics in world trade}, journal = {Comput. Phys. Commun.}, volume = {182}, number = {1}, pages = {216--218}, year = {2011}, url = {https://doi.org/10.1016/j.cpc.2010.08.037}, doi = {10.1016/J.CPC.2010.08.037}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/ChaLLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/KimCL11, author = {Doo Hwan Kim and Moon Yong Cha and Jae Woo Lee}, title = {The persistence probability and the price-price correlation functions in the Korean stock market}, journal = {Comput. Phys. Commun.}, volume = {182}, number = {1}, pages = {243--244}, year = {2011}, url = {https://doi.org/10.1016/j.cpc.2010.06.036}, doi = {10.1016/J.CPC.2010.06.036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/KimCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/MitraBKLL11, author = {Subhasish Mitra and Kevin Brelsford and Young Moon Kim and Hsiao{-}Heng Lee and Yanjing Li}, title = {Robust System Design to Overcome {CMOS} Reliability Challenges}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {1}, number = {1}, pages = {30--41}, year = {2011}, url = {https://doi.org/10.1109/JETCAS.2011.2135630}, doi = {10.1109/JETCAS.2011.2135630}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/MitraBKLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YunJKKL11, author = {Yong Hyeon Yun and Moon Jae Jho and Yong Tae Kim and Jin Kwon Kim and Myoungho Lee}, title = {Design of the electro-acoustic radiation conductance measurement system for medical ultrasonic array probe}, journal = {{IEICE} Electron. Express}, volume = {8}, number = {12}, pages = {978--983}, year = {2011}, url = {https://doi.org/10.1587/elex.8.978}, doi = {10.1587/ELEX.8.978}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YunJKKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKCKLT11, author = {Sang{-}Hyeon Lee and Moonkyung Mark Kim and Byung{-}ki Cheong and Jooyeon Kim and Jo{-}Won Lee and Sandip Tiwari}, title = {A Single Element Phase Change Memory}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {5}, pages = {676--680}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.676}, doi = {10.1587/TRANSELE.E94.C.676}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKCKLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKLJ11, author = {Kook Joo Lee and Moonil Kim and Jung Aun Lee and Sanggeun Jeon}, title = {Multi-Layer Dielectric Cavity Antennas with Extended Aperture Height}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {2}, pages = {573--575}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.573}, doi = {10.1587/TRANSCOM.E94.B.573}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkMLK11, author = {Yoo{-}Mi Park and Aekyung Moon and Byung{-}Sun Lee and Sangha Kim}, title = {Towards Opening Network Knowledge for User-Centric Services in {NGN}}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {9}, pages = {2501--2510}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.2501}, doi = {10.1587/TRANSCOM.E94.B.2501}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkMLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/MitraCHKLLLLMMPPW011, author = {Subhasish Mitra and Hyungmin Cho and Ted Hong and Young Moon Kim and Hsiao{-}Heng Lee and Larkhoon Leem and Yanjing Li and David Lin and Evelyn Mintarno and Diana Mui and Sung{-}Boem Park and Nishant Patil and Hai Wei and Jie Zhang}, title = {Robust System Design}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {4}, pages = {2--30}, year = {2011}, url = {https://doi.org/10.2197/ipsjtsldm.4.2}, doi = {10.2197/IPSJTSLDM.4.2}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/MitraCHKLLLLMMPPW011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimLKPM11, author = {Ui Seong Kim and Jong Min Lee and Yong Min Kim and Ki Tae Park and Young Shik Moon}, title = {Photographic Color Reproduction Based on Color Variation Characteristics of Digital Camera}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {5}, number = {11}, pages = {2160--2174}, year = {2011}, url = {https://doi.org/10.3837/tiis.2011.11.016}, doi = {10.3837/TIIS.2011.11.016}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimLKPM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/JiPLAKS11, author = {Sae{-}Hyun Ji and Moonseo Park and Hyunsoo Lee and Joseph Ahn and Namho Kim and Bosik Son}, title = {Military Facility Cost Estimation System Using Case-Based Reasoning in Korea}, journal = {J. Comput. Civ. Eng.}, volume = {25}, number = {3}, pages = {218--231}, year = {2011}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000082}, doi = {10.1061/(ASCE)CP.1943-5487.0000082}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/JiPLAKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/NaML11, author = {Gap{-}Joo Na and Bongki Moon and Sang{-}Won Lee}, title = {IPLB\({}^{\mbox{+}}\)-tree for Flash Memory Database Systems}, journal = {J. Inf. Sci. Eng.}, volume = {27}, number = {1}, pages = {111--127}, year = {2011}, url = {http://www.iis.sinica.edu.tw/page/jise/2011/201101\_08.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/NaML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChungKPCLO11, author = {Jong{-}Moon Chung and Minseok Kim and Yong{-}Suk Park and Myungjun Choi and Sangwoo Lee and Hyunseo Oh}, title = {Time Coordinated {V2I} Communications and Handover for {WAVE} Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {29}, number = {3}, pages = {545--558}, year = {2011}, url = {https://doi.org/10.1109/JSAC.2011.110305}, doi = {10.1109/JSAC.2011.110305}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChungKPCLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11, author = {Changhyuk Lee and Sok{-}Kyu Lee and Sunghoon Ahn and Jinhaeng Lee and Wonsun Park and Yongdeok Cho and Chaekyu Jang and Chulwoo Yang and Sanghwa Chung and In{-}Suk Yun and Byoungin Joo and Byoungkwan Jeong and Jeeyul Kim and Jeakwan Kwon and Hyunjong Jin and Yujong Noh and Jooyun Ha and Moonsoo Sung and Daeil Choi and Sanghwan Kim and Jeawon Choi and Taeho Jeon and Heejoung Park and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 32-Gb {MLC} {NAND} Flash Memory With Vth Endurance Enhancing Schemes in 32 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {97--106}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2084450}, doi = {10.1109/JSSC.2010.2084450}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACMdis/2024, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834}, doi = {10.1145/3643834}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aaai/2024, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, publisher = {{AAAI} Press}, year = {2024}, url = {https://ojs.aaai.org/index.php/AAAI/issue/view/576}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/aaai/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/atal/2024, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems / {ACM}}, year = {2024}, url = {https://dl.acm.org/doi/proceedings/10.5555/3635637?tocHeading=heading1}, doi = {10.5555/3635637?TOCHEADING=HEADING1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/atal/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2024, title = {21st {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2024, Las Vegas, NV, USA, January 6-9, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CCNC51664.2024}, doi = {10.1109/CCNC51664.2024}, isbn = {979-8-3503-0457-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2024, title = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024}, doi = {10.1109/ICEIC61013.2024}, isbn = {979-8-3503-7188-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2024, title = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024}, doi = {10.1109/HPCA57654.2024}, isbn = {979-8-3503-9313-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2024, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024}, doi = {10.1109/IRPS48228.2024}, isbn = {979-8-3503-6976-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/irps/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2024, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024}, doi = {10.1109/ISSCC49657.2024}, isbn = {979-8-3503-0620-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wacv/2024, title = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024}, doi = {10.1109/WACV57701.2024}, isbn = {979-8-3503-1892-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wacv/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACMdis/2023, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563657}, doi = {10.1145/3563657}, isbn = {978-1-4503-9893-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acl/2023f, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/volumes/2023.findings-acl/}, isbn = {978-1-959429-62-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/acl/2023f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apcc/2023, title = {28th Asia Pacific Conference on Communications, {APCC} 2023, Sydney, Australia, November 19-22, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APCC60132.2023}, doi = {10.1109/APCC60132.2023}, isbn = {979-8-3503-8261-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/apcc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2023, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023}, doi = {10.1109/A-SSCC58667.2023}, isbn = {979-8-3503-3003-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bhi/2023, title = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2023, Pittsburgh, PA, USA, October 15-18, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BHI58575.2023}, doi = {10.1109/BHI58575.2023}, isbn = {979-8-3503-1050-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bhi/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibm/2023, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023}, doi = {10.1109/BIBM58861.2023}, isbn = {979-8-3503-3748-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bibm/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigcomp/2023, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023}, doi = {10.1109/BIGCOMP57234.2023}, isbn = {978-1-6654-7578-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/biostec/2023hi, editor = {Federico Cabitza and Ana L. N. Fred and Hugo Gamboa}, title = {Proceedings of the 16th International Joint Conference on Biomedical Engineering Systems and Technologies, {BIOSTEC} 2023, Volume 5: HEALTHINF, Lisbon, Portugal, February 16-18, 2023}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://www.scitepress.org/ProceedingsDetails.aspx?ID=6mdmk1UcP7A=}, isbn = {978-989-758-631-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/biostec/2023hi.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2023, title = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023}, doi = {10.1109/CCNC51644.2023}, isbn = {978-1-6654-9734-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccs/2023, editor = {Weizhi Meng and Christian Damsgaard Jensen and Cas Cremers and Engin Kirda}, title = {Proceedings of the 2023 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2023, Copenhagen, Denmark, November 26-30, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576915}, doi = {10.1145/3576915}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ccs/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cpaior/2023, editor = {Andr{\'{e}} A. Cir{\'{e}}}, title = {Integration of Constraint Programming, Artificial Intelligence, and Operations Research - 20th International Conference, {CPAIOR} 2023, Nice, France, May 29 - June 1, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13884}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33271-5}, doi = {10.1007/978-3-031-33271-5}, isbn = {978-3-031-33270-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cpaior/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2023w, title = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023}, doi = {10.1109/CVPRW59228.2023}, isbn = {979-8-3503-0249-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2023w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2023, title = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023}, doi = {10.1109/CVPR52729.2023}, isbn = {979-8-3503-0129-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2023i, editor = {Mingxuan Wang and Imed Zitouni}, title = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing: {EMNLP} 2023 - Industry Track, Singapore, December 6-10, 2023}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/volumes/2023.emnlp-industry/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2023i.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2023, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/volumes/2023.emnlp-main/}, isbn = {979-8-89176-060-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/giscience/2023, editor = {Roger Beecham and Jed A. Long and Dianna Smith and Qunshan Zhao and Sarah Wise}, title = {12th International Conference on Geographic Information Science, GIScience 2023, September 12-15, 2023, Leeds, {UK}}, series = {LIPIcs}, volume = {277}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-288-4}, isbn = {978-3-95977-288-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/giscience/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2023w, title = {{IEEE} Globecom Workshops 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCWkshps58843.2023}, doi = {10.1109/GCWKSHPS58843.2023}, isbn = {979-8-3503-7021-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2023w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2023, title = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/ICACT56868.2023}, doi = {10.23919/ICACT56868.2023}, isbn = {979-11-88428-10-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icact/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2023, title = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023}, doi = {10.1109/ICASSP49357.2023}, isbn = {978-1-7281-6327-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-berlin/2023, title = {13th {IEEE} International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2023, Berlin, Germany, September 3-5, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Berlin58801.2023}, doi = {10.1109/ICCE-BERLIN58801.2023}, isbn = {979-8-3503-2415-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2023, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023}, doi = {10.1109/ICCE56470.2023}, isbn = {978-1-6654-9130-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2023, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, publisher = {{PMLR}}, year = {2023}, url = {http://proceedings.mlr.press/v202/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icml/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2023, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023}, doi = {10.1109/ICRA48891.2023}, isbn = {979-8-3503-2365-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icra/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2023, title = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023}, doi = {10.1109/ICTC58733.2023}, isbn = {979-8-3503-1327-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2023, title = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023}, doi = {10.1109/IGARSS52108.2023}, isbn = {979-8-3503-2010-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/2023, title = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023}, doi = {10.1109/ISLPED58423.2023}, isbn = {979-8-3503-1175-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/islped/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2023, title = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023}, doi = {10.1109/ISOCC59558.2023}, isbn = {979-8-3503-2703-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2023, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023}, doi = {10.1109/ISSCC42615.2023}, isbn = {978-1-6654-9016-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2023, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {https://papers.nips.cc/paper\_files/paper/2023}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/nips/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nvmsa/2023, title = {12th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NVMSA58981.2023}, doi = {10.1109/NVMSA58981.2023}, isbn = {979-8-3503-4496-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2023, title = {34th {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications {PIMRC} 2023, Toronto, ON, Canada, September 5-8, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PIMRC56721.2023}, doi = {10.1109/PIMRC56721.2023}, isbn = {978-1-6654-6483-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggrapha/2023, editor = {June Kim and Ming C. Lin and Bernd Bickel}, title = {{SIGGRAPH} Asia 2023 Conference Papers, {SA} 2023, Sydney, NSW, Australia, December 12-15, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610548}, doi = {10.1145/3610548}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigir/2023, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618}, doi = {10.1145/3539618}, isbn = {978-1-4503-9408-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sigir/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2023, title = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023}, isbn = {978-4-86348-806-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/www/2023c, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543873}, doi = {10.1145/3543873}, isbn = {978-1-4503-9419-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/www/2023c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/accv/2022-6, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {13846}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26351-4}, doi = {10.1007/978-3-031-26351-4}, isbn = {978-3-031-26350-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/accv/2022-6.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aicas/2022, title = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022}, doi = {10.1109/AICAS54282.2022}, isbn = {978-1-6654-0996-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/aicas/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2022, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102}, doi = {10.1145/3491102}, isbn = {978-1-4503-9157-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/chi/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/coling/2022, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.coling-1/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/coling/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2022, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022}, doi = {10.23919/DATE54114.2022}, isbn = {978-3-9819263-6-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/date/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2022-w3, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-25066-8}, doi = {10.1007/978-3-031-25066-8}, isbn = {978-3-031-25065-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2022-w3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ei-iss/2022, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat}, title = {Imaging Sensors and Systems 2022, online, January 15-26, 2022}, publisher = {Society for Imaging Science and Technology}, year = {2022}, url = {https://library.imaging.org/ei/articles/34/7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2022, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.emnlp-main/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2022, title = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022}, doi = {10.1109/ESSCIRC55480.2022}, isbn = {978-1-6654-8494-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2022, title = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022}, doi = {10.1109/GLOBECOM48099.2022}, isbn = {978-1-6654-3540-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2022, title = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022}, doi = {10.1109/HCS55958.2022}, isbn = {978-1-6654-6028-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2022, title = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022}, doi = {10.23919/ICACT53585.2022}, isbn = {979-11-88428-08-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icact/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icccn/2022, title = {31st International Conference on Computer Communications and Networks, {ICCCN} 2022, Honolulu, HI, USA, July 25-28, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCN54977.2022}, doi = {10.1109/ICCCN54977.2022}, isbn = {978-1-6654-9726-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icccn/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2022, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022}, doi = {10.1109/ICCE53296.2022}, isbn = {978-1-6654-4154-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2022, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, publisher = {{PMLR}}, year = {2022}, url = {http://proceedings.mlr.press/v162/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icml/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icost/2022, editor = {Hamdi Aloulou and Bessam Abdulrazak and Antoine de Marass{\'{e}}{-}Enouf and Mounir Mokhtari}, title = {Participative Urban Health and Healthy Aging in the Age of {AI} - 19th International Conference, {ICOST} 2022, Paris, France, June 27-30, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13287}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-09593-1}, doi = {10.1007/978-3-031-09593-1}, isbn = {978-3-031-09592-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icost/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2022, title = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022}, doi = {10.1109/ICRA46639.2022}, isbn = {978-1-7281-9681-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icra/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icst/2022, title = {15th {IEEE} Conference on Software Testing, Verification and Validation, {ICST} 2022, Valencia, Spain, April 4-14, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICST53961.2022}, doi = {10.1109/ICST53961.2022}, isbn = {978-1-6654-6679-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icst/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2022, title = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022}, doi = {10.1109/ICTC55196.2022}, isbn = {978-1-6654-9939-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/intellisys/2022-1, editor = {Kohei Arai}, title = {Intelligent Systems and Applications - Proceedings of the 2022 Intelligent Systems Conference, IntelliSys 2022, Amsterdam, The Netherlands, 1-2 September, 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {542}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-16072-1}, doi = {10.1007/978-3-031-16072-1}, isbn = {978-3-031-16071-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/intellisys/2022-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irc/2022, title = {Sixth {IEEE} International Conference on Robotic Computing, {IRC} 2022, Naples, Italy, December 5-7, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRC55401.2022}, doi = {10.1109/IRC55401.2022}, isbn = {978-1-6654-7260-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/irc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2022, title = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022}, doi = {10.1109/IROS47612.2022}, isbn = {978-1-6654-7927-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iros/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2022, title = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022}, doi = {10.1109/ISOCC56007.2022}, isbn = {978-1-6654-5971-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2022, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022}, doi = {10.1109/ISSCC42614.2022}, isbn = {978-1-6654-2800-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ldav/2022, title = {12th {IEEE} Symposium on Large Data Analysis and Visualization, {LDAV} 2022, Oklahoma City, OK, USA, October 16, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/LDAV57265.2022}, doi = {10.1109/LDAV57265.2022}, isbn = {978-1-6654-9156-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ldav/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mibam/2022, editor = {Barjor S. Gimi and Andrzej Kr{\'{o}}l}, title = {Medical Imaging 2022: Biomedical Applications in Molecular, Structural, and Functional Imaging, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12036}, publisher = {{SPIE}}, year = {2022}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/12036.toc}, isbn = {9781510649477}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/mibam/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miccai/2022-7, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13437}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16449-1}, doi = {10.1007/978-3-031-16449-1}, isbn = {978-3-031-16448-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/miccai/2022-7.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/2022, title = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022}, doi = {10.1109/MICRO56248.2022}, isbn = {978-1-6654-6272-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/micro/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2022, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {https://papers.nips.cc/paper\_files/paper/2022}, isbn = {9781713871088}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/nips/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2022, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/xpl/conhome/9748173/proceeding}, isbn = {978-1-55752-466-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uist/2022, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {The 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022 - 2 November 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526113}, doi = {10.1145/3526113}, isbn = {978-1-4503-9320-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/uist/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/urai/2022, title = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022}, doi = {10.1109/UR55393.2022}, isbn = {978-1-6654-8253-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/urai/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2022, title = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022}, isbn = {978-1-6654-9772-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aaai/2021, title = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, publisher = {{AAAI} Press}, year = {2021}, url = {https://ojs.aaai.org/index.php/AAAI/issue/view/385}, isbn = {978-1-57735-866-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/aaai/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acl/2021-1, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 1: Long Papers), Virtual Event, August 1-6, 2021}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://aclanthology.org/volumes/2021.acl-long/}, isbn = {978-1-954085-52-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/acl/2021-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apwcs2/2021, title = {2021 {IEEE} {VTS} 17th Asia Pacific Wireless Communications Symposium (APWCS), Osaka, Japan, August 30-31, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/APWCS50173.2021}, doi = {10.1109/APWCS50173.2021}, isbn = {978-1-6654-0120-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asiacrypt/2021-3, editor = {Mehdi Tibouchi and Huaxiong Wang}, title = {Advances in Cryptology - {ASIACRYPT} 2021 - 27th International Conference on the Theory and Application of Cryptology and Information Security, Singapore, December 6-10, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13092}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92078-4}, doi = {10.1007/978-3-030-92078-4}, isbn = {978-3-030-92077-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/asiacrypt/2021-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/avss/2021, title = {17th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2021, Washington, DC, USA, November 16-19, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AVSS52988.2021}, doi = {10.1109/AVSS52988.2021}, isbn = {978-1-6654-3396-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/avss/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2021, title = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2021, Austin, TX, USA, April 25-30, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CICC51472.2021}, doi = {10.1109/CICC51472.2021}, isbn = {978-1-7281-7581-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cinc/2021, title = {Computing in Cardiology, CinC 2021, Brno, Czech Republic, September 13-15, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/CinC53138.2021}, doi = {10.23919/CINC53138.2021}, isbn = {978-1-6654-7916-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cinc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2021, title = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021}, doi = {10.1109/ESSCIRC53450.2021}, isbn = {978-1-6654-3751-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/essderc/2021, title = {51st {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2021, Grenoble, France, September 13-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSDERC53440.2021}, doi = {10.1109/ESSDERC53440.2021}, isbn = {978-1-6654-3748-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/essderc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurosys/2021, editor = {Antonio Barbalace and Pramod Bhatotia and Lorenzo Alvisi and Cristian Cadar}, title = {EuroSys '21: Sixteenth European Conference on Computer Systems, Online Event, United Kingdom, April 26-28, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447786}, doi = {10.1145/3447786}, isbn = {978-1-4503-8334-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2021, title = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021}, doi = {10.1109/HCS52781.2021}, isbn = {978-1-6654-1397-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2021, title = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ICACT51234.2021}, doi = {10.23919/ICACT51234.2021}, isbn = {979-11-88428-06-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icact/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icaiic/2021, title = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2021, Jeju Island, South Korea, April 13-16, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICAIIC51459.2021}, doi = {10.1109/ICAIIC51459.2021}, isbn = {978-1-7281-7638-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2021, title = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021}, doi = {10.1109/ICOIN50884.2021}, isbn = {978-1-7281-9101-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2021, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021}, doi = {10.1109/ICTC52510.2021}, isbn = {978-1-6654-2383-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2021, title = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021}, doi = {10.1109/IGARSS47720.2021}, isbn = {978-1-6654-0369-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2021, title = {{IPSN} '21: The 20th International Conference on Information Processing in Sensor Networks, Nashville, TN, USA, May, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412382}, doi = {10.1145/3412382}, isbn = {978-1-4503-8098-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2021, title = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021}, doi = {10.1109/IROS51168.2021}, isbn = {978-1-6654-1714-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iros/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2021, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021}, doi = {10.1109/IRPS46558.2021}, isbn = {978-1-7281-6893-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/irps/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2021, title = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021}, doi = {10.1109/ISOCC53507.2021}, isbn = {978-1-6654-0174-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2021, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021}, doi = {10.1109/ISSCC42613.2021}, isbn = {978-1-7281-9549-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobicom/2021, title = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, New Orleans, Louisiana, USA, October 25-29, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447993}, doi = {10.1145/3447993}, isbn = {978-1-4503-8342-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2021db, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/nips/2021db.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/2021, editor = {Chih{-}Cheng Hung and Jiman Hong and Alessio Bechini and Eunjee Song}, title = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412841}, doi = {10.1145/3412841}, isbn = {978-1-4503-8104-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sac/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2021, title = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SMC52423.2021}, doi = {10.1109/SMC52423.2021}, isbn = {978-1-6654-4207-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/smc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2021, title = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021}, doi = {10.23919/VLSICIRCUITS52068.2021}, isbn = {978-4-86348-780-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2020, title = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9112295/proceeding}, isbn = {978-3-9819263-4-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/date/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dgo/2020, editor = {Seok{-}Jin Eom and Jooho Lee}, title = {dg.o '20: The 21st Annual International Conference on Digital Government Research, Seoul, Republic of Korea, June 15-19, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3396956}, doi = {10.1145/3396956}, isbn = {978-1-4503-8791-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/dgo/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2020-w4, editor = {Adrien Bartoli and Andrea Fusiello}, title = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5}, doi = {10.1007/978-3-030-66823-5}, isbn = {978-3-030-66822-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2020-w4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eccv/2020-w5, editor = {Adrien Bartoli and Andrea Fusiello}, title = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5}, doi = {10.1007/978-3-030-68238-5}, isbn = {978-3-030-68237-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eccv/2020-w5.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ei-iss/2020, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {Imaging Sensors and Systems 2020, Burlingame, CA, USA, January 26-30, 2020}, publisher = {Society for Imaging Science and Technology}, year = {2020}, url = {https://library.imaging.org/ei/articles/32/7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdse/2020ccis, editor = {Tran Khanh Dang and Josef K{\"{u}}ng and Makoto Takizawa and Tai M. Chung}, title = {Future Data and Security Engineering. Big Data, Security and Privacy, Smart City and Industry 4.0 Applications - 7th International Conference, {FDSE} 2020, Quy Nhon, Vietnam, November 25-27, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1306}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-33-4370-2}, doi = {10.1007/978-981-33-4370-2}, isbn = {978-981-33-4369-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/fdse/2020ccis.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2020, title = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9184803/proceeding?isnumber=9190635}, isbn = {978-1-7281-6396-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icip/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2020, title = {2020 International Conference on Information Networking, {ICOIN} 2020, Barcelona, Spain, January 7-10, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/8999388/proceeding}, isbn = {978-1-7281-4199-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icores/2020, editor = {Greg H. Parlier and Federico Liberatore and Marc Demange}, title = {Proceedings of the 9th International Conference on Operations Research and Enterprise Systems, {ICORES} 2020, Valletta, Malta, February 22-24, 2020}, publisher = {{SCITEPRESS}}, year = {2020}, isbn = {978-989-758-396-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icores/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2020, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020}, doi = {10.1109/ICTC49870.2020}, isbn = {978-1-7281-6758-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip5-5/2020, editor = {Luis M. Camarinha{-}Matos and Hamideh Afsarmanesh and {\'{A}}ngel Ortiz Bas}, title = {Boosting Collaborative Networks 4.0 - 21st {IFIP} {WG} 5.5 Working Conference on Virtual Enterprises, {PRO-VE} 2020, Valencia, Spain, November 23-25, 2020, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {598}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-62412-5}, doi = {10.1007/978-3-030-62412-5}, isbn = {978-3-030-62411-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2020, title = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020}, doi = {10.1109/IGARSS39084.2020}, isbn = {978-1-7281-6374-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2020, title = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020}, doi = {10.1109/ISOCC50952.2020}, isbn = {978-1-7281-8331-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2020, title = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9046640/proceeding}, isbn = {978-1-7281-3205-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/issre/2020w, title = {2020 {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Coimbra, Portugal, October 12-15, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSREW51248.2020}, doi = {10.1109/ISSREW51248.2020}, isbn = {978-1-7281-7735-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/issre/2020w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ivs/2020, title = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV47402.2020}, doi = {10.1109/IV47402.2020}, isbn = {978-1-7281-6673-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ivs/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micad/2020, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/11314.toc}, isbn = {9781510633957}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/micad/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2020s, title = {91st {IEEE} Vehicular Technology Conference, {VTC} Spring 2020, Antwerp, Belgium, May 25-28, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9121635/proceeding}, isbn = {978-1-7281-5207-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2020s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2020w, title = {2020 {IEEE} Wireless Communications and Networking Conference Workshops, {WCNC} Workshops 2020, Seoul, Korea (South), April 6-9, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9116093/proceeding}, isbn = {978-1-7281-5178-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2020w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/3dic/2019, title = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9043388/proceeding}, isbn = {978-1-7281-4870-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ahfe/2019-17, editor = {Cliff Sungsoo Shin}, title = {Advances in Interdisciplinary Practice in Industrial Design - Proceedings of the {AHFE} 2019 International Conference on Interdisciplinary Practice in Industrial Design, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {968}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-20470-9}, doi = {10.1007/978-3-030-20470-9}, isbn = {978-3-030-20469-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/2019-17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibm/2019, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8965270/proceeding}, isbn = {978-1-7281-1867-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bibm/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2019, title = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/CVPR2019}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2019w, title = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {https://openaccess.thecvf.com/CVPR2019\_workshops}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2019w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2019, title = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICEIC46243.2019}, doi = {10.23919/ICEIC46243.2019}, isbn = {978-89-950044-4-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2019c, editor = {Manuel L{\'{o}}pez{-}Ib{\'{a}}{\~{n}}ez and Anne Auger and Thomas St{\"{u}}tzle}, title = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3319619}, doi = {10.1145/3319619}, isbn = {978-1-4503-6748-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2019c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotstorage/2019, editor = {Daniel Peek and Gala Yadgar}, title = {11th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2019, Renton, WA, USA, July 8-9, 2019}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/hotstorage19}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hotstorage/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hri/2019, title = {14th {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2019, Daegu, South Korea, March 11-14, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8666012/proceeding}, isbn = {978-1-5386-8555-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hri/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icaiic/2019, title = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8665865/proceeding}, isbn = {978-1-5386-7822-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2019, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019, Las Vegas, NV, USA, January 11-13, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8656627/proceeding}, isbn = {978-1-5386-7910-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iclr/2019, title = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/group?id=ICLR.cc/2019/Conference}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iclr/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2019, title = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8780387/proceeding}, isbn = {978-1-5386-6027-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icra/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icse/2019seip, editor = {Helen Sharp and Mike Whalen}, title = {Proceedings of the 41st International Conference on Software Engineering: Software Engineering in Practice, {ICSE} {(SEIP)} 2019, Montreal, QC, Canada, May 25-31, 2019}, publisher = {{IEEE} / {ACM}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790391/proceeding}, isbn = {978-1-7281-1760-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icse/2019seip.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icspcs/2019, editor = {Tadeusz A. Wysocki and Beata J. Wysocki}, title = {13th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2019, Gold Coast, Australia, December 16-18, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8977248/proceeding}, isbn = {978-1-7281-2194-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icspcs/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2019, title = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8932631/proceeding}, isbn = {978-1-7281-0893-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2019, title = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790385/proceeding}, isbn = {978-1-7281-1340-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icuimc/2019, editor = {Sukhan Lee and Roslan Ismail and Hyunseung Choo}, title = {Proceedings of the 13th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2019, Phuket, Thailand, January 4-6, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {935}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19063-7}, doi = {10.1007/978-3-030-19063-7}, isbn = {978-3-030-19062-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2019, title = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8891871/proceeding}, isbn = {978-1-5386-9154-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipas/2019, editor = {Sos S. Agaian and Karen O. Egiazarian and Atanas P. Gotchev}, title = {Image Processing: Algorithms and Systems XVII, Burlingame, CA, USA, 13-17 January 2019}, publisher = {Society for Imaging Science and Technology}, year = {2019}, url = {https://library.imaging.org/ei/articles/31/11}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ipas/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irc/2019, title = {3rd {IEEE} International Conference on Robotic Computing, {IRC} 2019, Naples, Italy, February 25-27, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8666629/proceeding}, isbn = {978-1-5386-9245-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/irc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2019, title = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8957008/proceeding}, isbn = {978-1-7281-4004-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iros/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ismvl/2019, title = {2019 {IEEE} 49th International Symposium on Multiple-Valued Logic (ISMVL), Fredericton, NB, Canada, May 21-23, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8752095/proceeding}, isbn = {978-1-7281-0092-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2019, title = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9017212/proceeding}, isbn = {978-1-7281-2478-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2019, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8656625/proceeding}, isbn = {978-1-5386-8531-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2019, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8685077/proceeding}, isbn = {978-1-943580-53-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ro-man/2019, title = {28th {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2019, New Delhi, India, October 14-18, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8951224/proceeding}, isbn = {978-1-7281-2622-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rv/2019, editor = {Bernd Finkbeiner and Leonardo Mariani}, title = {Runtime Verification - 19th International Conference, {RV} 2019, Porto, Portugal, October 8-11, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11757}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32079-9}, doi = {10.1007/978-3-030-32079-9}, isbn = {978-3-030-32078-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/rv/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/simultech/2019, editor = {Mohammad S. Obaidat and Tuncer I. {\"{O}}ren and Helena Szczerbicka}, title = {Proceedings of the 9th International Conference on Simulation and Modeling Methodologies, Technologies and Applications, {SIMULTECH} 2019, Prague, Czech Republic, July 29-31, 2019}, publisher = {SciTePress}, year = {2019}, isbn = {978-989-758-381-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/simultech/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sosp/2019plos, title = {Proceedings of the 10th Workshop on Programming Languages and Operating Systems, {SOSP} 2019, Huntsville, ON, Canada, October 27-30, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3365137}, doi = {10.1145/3365137}, isbn = {978-1-4503-7017-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sosp/2019plos.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/usenix/2019, editor = {Dahlia Malkhi and Dan Tsafrir}, title = {2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/atc19}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/usenix/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2019, title = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8766307/proceeding}, isbn = {978-4-86348-720-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2018, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8547238/proceeding}, isbn = {978-1-5386-6413-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/avss/2018, title = {15th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2018, Auckland, New Zealand, November 27-30, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8637085/proceeding}, isbn = {978-1-5386-9294-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/avss/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdata2/2018, editor = {Francis Y. L. Chin and C. L. Philip Chen and Latifur Khan and Kisung Lee and Liang{-}Jie Zhang}, title = {Big Data - BigData 2018 - 7th International Congress, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10968}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94301-5}, doi = {10.1007/978-3-319-94301-5}, isbn = {978-3-319-94300-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdata2/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csndsp/2018, title = {11th International Symposium on Communication Systems, Networks {\&} Digital Signal Processing, {CSNDSP} 2018, Budapest, Hungary, July 18-20, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8443162/proceeding}, isbn = {978-1-5386-1335-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/csndsp/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2018, title = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {https://openaccess.thecvf.com/CVPR2018}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ecoc/2018, title = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC43462.2018}, doi = {10.1109/ECOC43462.2018}, isbn = {978-1-5386-4862-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2018, editor = {Bj{\"{o}}rn B. Brandenburg and Sriram Sankaranarayanan}, title = {Proceedings of the International Conference on Embedded Software, {EMSOFT} 2018, Torino, Italy, September 30 - October 5, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8520558/proceeding}, isbn = {978-1-5386-5560-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2018, title = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, publisher = {{IEEE}}, year = {2018}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=8318543}, isbn = {979-11-88428-01-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icact/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2018, title = {2018 International Conference on Information Networking, {ICOIN} 2018, Chiang Mai, Thailand, January 10-12, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8337483/proceeding}, isbn = {978-1-5386-2290-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2018, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8509497/proceeding}, isbn = {978-1-5386-5041-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2018, title = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8417133/proceeding}, isbn = {978-1-5386-4646-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2018, title = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8496405/proceeding}, isbn = {978-1-5386-7150-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/irps/2018, title = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8345372/proceeding}, isbn = {978-1-5386-5479-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/irps/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2018, title = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8304413/proceeding}, isbn = {978-1-5090-4940-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mue/2018, editor = {James J. Park and Vincenzo Loia and Kim{-}Kwang Raymond Choo and Gangman Yi}, title = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2018, Salerno, Italy, 23-25 April 2018}, series = {Lecture Notes in Electrical Engineering}, volume = {518}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-13-1328-8}, doi = {10.1007/978-981-13-1328-8}, isbn = {978-981-13-1327-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/mue/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sensornets/2018, editor = {Eric Fleury and Andreas Ahrens and C{\'{e}}sar Benavente{-}Peces and Nancy Cam{-}Winget}, title = {Proceedings of the 7th International Conference on Sensor Networks, {SENSORNETS} 2018, Funchal, Madeira - Portugal, January 22-24, 2018}, publisher = {SciTePress}, year = {2018}, isbn = {978-989-758-284-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sensornets/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/spawc/2018, title = {19th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2018, Kalamata, Greece, June 25-28, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8424401/proceeding}, isbn = {978-1-5386-3512-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tencon/2018, title = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8643125/proceeding}, isbn = {978-1-5386-5457-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/tencon/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2018, title = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8484863/proceeding}, isbn = {978-1-5386-4214-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2018s, title = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8417184/proceeding}, isbn = {978-1-5386-6355-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2018s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csii/2016, editor = {Takaaki Goto and Simon Xu and Nam Nguyen and Weimin Li}, title = {4th Intl Conf on Applied Computing and Information Technology/3rd Intl Conf on Computational Science/Intelligence and Applied Informatics/1st Intl Conf on Big Data, Cloud Computing, Data Science {\&} Engineering, {ACIT-CSII-BCD} 2016, Las Vegas, NV, USA, December 12-14, 2016}, publisher = {{IEEE}}, year = {2016}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7916888}, isbn = {978-1-5090-4871-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/csii/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2017, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8226344/proceeding}, isbn = {978-1-5386-3178-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigcomp/2017, title = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7877084/proceeding}, isbn = {978-1-5090-3015-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdata/2017, editor = {George Karypis and Jia Zhang}, title = {2017 {IEEE} International Congress on Big Data, BigData Congress 2017, Honolulu, HI, USA, June 25-30, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8027154/proceeding}, isbn = {978-1-5386-1996-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdata/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdataconf/2017, editor = {Jian{-}Yun Nie and Zoran Obradovic and Toyotaro Suzumura and Rumi Ghosh and Raghunath Nambiar and Chonggang Wang and Hui Zang and Ricardo Baeza{-}Yates and Xiaohua Hu and Jeremy Kepner and Alfredo Cuzzocrea and Jian Tang and Masashi Toyoda}, title = {2017 {IEEE} International Conference on Big Data {(IEEE} BigData 2017), Boston, MA, USA, December 11-14, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8241556/proceeding}, isbn = {978-1-5386-2715-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdatasec/2017, title = {2017 {IEEE} 3rd International Conference on Big Data Security on Cloud (BigDataSecurity), {IEEE} International Conference on High Performance and Smart Computing, {(HPSC)} and {IEEE} International Conference on Intelligent Data and Security (IDS), Beijing, China, May 26-28, 2017}, publisher = {{IEEE}}, year = {2017}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7976094}, isbn = {978-1-5090-6296-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdatasec/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2017a, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063}, doi = {10.1145/3027063}, isbn = {978-1-4503-4656-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/chi/2017a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csa2/2017, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-10-7605-3}, doi = {10.1007/978-981-10-7605-3}, isbn = {978-981-10-7604-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/csa2/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2017, title = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8061155/proceeding}, isbn = {978-1-5090-5025-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2017hipineb, editor = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a}, title = {3rd {IEEE} International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, HiPINEB@HPCA 2017, Austin, TX, USA, February 5, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7884696/proceeding}, isbn = {978-1-5090-6354-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2017hipineb.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2017, title = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7985734/proceeding}, isbn = {978-1-4673-8999-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2017, editor = {Doina Precup and Yee Whye Teh}, title = {Proceedings of the 34th International Conference on Machine Learning, {ICML} 2017, Sydney, NSW, Australia, 6-11 August 2017}, series = {Proceedings of Machine Learning Research}, volume = {70}, publisher = {{PMLR}}, year = {2017}, url = {http://proceedings.mlr.press/v70/}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icml/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmla/2017, editor = {Xuewen Chen and Bo Luo and Feng Luo and Vasile Palade and M. Arif Wani}, title = {16th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2017, Cancun, Mexico, December 18-21, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8258911/proceeding}, isbn = {978-1-5386-1418-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icmla/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmre/2017, title = {Proceedings of the 3rd International Conference on Mechatronics and Robotics Engineering, Paris, France, February 8-12, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3068796}, doi = {10.1145/3068796}, isbn = {978-1-4503-5280-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icmre/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icorr/2017, title = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8001594/proceeding}, isbn = {978-1-5386-2296-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icorr/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2017, title = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7985824/proceeding}, isbn = {978-1-5090-4749-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icuwb/2017, title = {17th {IEEE} International Conference on Ubiquitous Wireless Broadband, {ICUWB} 2017, Salamanca, Spain, September 12-15, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8231151/proceeding}, isbn = {978-1-5090-5007-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icuwb/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2017, title = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8168197/proceeding}, isbn = {978-1-5386-1127-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip5-7/2017apms1, editor = {Hermann L{\"{o}}dding and Ralph Riedel and Klaus{-}Dieter Thoben and Gregor von Cieminski and Dimitris Kiritsis}, title = {Advances in Production Management Systems. The Path to Intelligent, Collaborative and Sustainable Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2017, Hamburg, Germany, September 3-7, 2017, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {513}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66923-6}, doi = {10.1007/978-3-319-66923-6}, isbn = {978-3-319-66922-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/2017apms1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mfi/2017, title = {2017 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2017, Daegu, Korea (South), November 16-18, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8124739/proceeding}, isbn = {978-1-5090-6064-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/mfi/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2017, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7932337/proceeding}, isbn = {978-1-9435-8023-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/racs/2017, title = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2017, Krakow, Poland, September 20-23, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3129676}, doi = {10.1145/3129676}, isbn = {978-1-4503-5027-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/racs/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggraph/2017posters, title = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} 2017, Los Angeles, CA, USA, July 30 - August 3, 2017, Posters Proceedings}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3102163}, doi = {10.1145/3102163}, isbn = {978-1-4503-5015-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/2017posters.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2017, title = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8114675/proceeding}, isbn = {978-1-5386-1645-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/smc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcre/2017, editor = {Martin Pinzger and Gabriele Bavota and Andrian Marcus}, title = {{IEEE} 24th International Conference on Software Analysis, Evolution and Reengineering, {SANER} 2017, Klagenfurt, Austria, February 20-24, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7879528/proceeding}, isbn = {978-1-5090-5501-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wcre/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wisec/2017, editor = {Guevara Noubir and Mauro Conti and Sneha Kumar Kasera}, title = {Proceedings of the 10th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2017, Boston, MA, USA, July 18-20, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3098243}, doi = {10.1145/3098243}, isbn = {978-1-4503-5084-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wisec/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aimech/2016, title = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2016, Banff, AB, Canada, July 12-15, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7568655/proceeding}, isbn = {978-1-5090-2065-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/aimech/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bci3/2016, title = {4th International Winter Conference on Brain-Computer Interface, {BCI} 2016, Gangwon Province, South Korea, February 22-24, 2016}, publisher = {{IEEE}}, year = {2016}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7457419}, isbn = {978-1-4673-7841-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bci3/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csa2/2016, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-3023-9}, doi = {10.1007/978-981-10-3023-9}, isbn = {978-981-10-3022-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/csa2/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/edbt/2016, editor = {Evaggelia Pitoura and Sofian Maabout and Georgia Koutrika and Am{\'{e}}lie Marian and Letizia Tanca and Ioana Manolescu and Kostas Stefanidis}, title = {Proceedings of the 19th International Conference on Extending Database Technology, {EDBT} 2016, Bordeaux, France, March 15-16, 2016, Bordeaux, France, March 15-16, 2016}, publisher = {OpenProceedings.org}, year = {2016}, isbn = {978-3-89318-070-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/edbt/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hcomp/2016, editor = {Arpita Ghosh and Matthew Lease}, title = {Proceedings of the Fourth {AAAI} Conference on Human Computation and Crowdsourcing, {HCOMP} 2016, 30 October - 3 November, 2016, Austin, Texas, {USA}}, publisher = {{AAAI} Press}, year = {2016}, url = {https://ojs.aaai.org/index.php/HCOMP/issue/view/312}, isbn = {978-1-57735-774-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2016, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7424905/proceeding}, isbn = {978-1-4673-8364-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icit2/2016, title = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7468873/proceeding}, isbn = {978-1-4673-8075-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icit2/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icitcs/2016, title = {6th International Conference on {IT} Convergence and Security, {ICITCS} 2016, Prague, Czech Republic, September 26, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7740253/proceeding}, isbn = {978-1-5090-3765-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2016, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7478842/proceeding}, isbn = {978-1-4673-8026-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icra/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2016, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7750938/proceeding}, isbn = {978-1-5090-1325-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2016, title = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7527553/proceeding}, isbn = {978-1-4673-9991-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ijcai/2016, editor = {Subbarao Kambhampati}, title = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, publisher = {{IJCAI/AAAI} Press}, year = {2016}, url = {http://www.ijcai.org/Proceedings/2016}, isbn = {978-1-57735-770-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2016, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7515073/proceeding}, isbn = {978-1-4799-5341-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/qshine/2016, editor = {Jong{-}Hyouk Lee and Sangheon Pack}, title = {Quality, Reliability, Security and Robustness in Heterogeneous Networks - 12th International Conference, QShine 2016, Seoul, Korea, July 7-8, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {199}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-60717-7}, doi = {10.1007/978-3-319-60717-7}, isbn = {978-3-319-60716-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/qshine/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/racs/2016, title = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2987386}, doi = {10.1145/2987386}, isbn = {978-1-4503-4455-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/racs/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggraph/2016posters, title = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '16, Anaheim, CA, USA, July 24-28, 2016, Posters Proceedings}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2945078}, doi = {10.1145/2945078}, isbn = {978-1-4503-4371-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/2016posters.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sp/2016, title = {{IEEE} Symposium on Security and Privacy, {SP} 2016, San Jose, CA, USA, May 22-26, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7528194/proceeding}, isbn = {978-1-5090-0824-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sp/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/spline/2016, title = {First International Workshop on Sensing, Processing and Learning for Intelligent Machines, {SPLINE} 2016, Aalborg, Denmark, July 6-8, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7518126/proceeding}, isbn = {978-1-4673-8917-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/spline/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/urai/2016, title = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7589475/proceeding}, isbn = {978-1-5090-0821-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/urai/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2016, title = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7569797/proceeding}, isbn = {978-1-5090-0635-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wisa/2016, editor = {Dooho Choi and Sylvain Guilley}, title = {Information Security Applications - 17th International Workshop, {WISA} 2016, Jeju Island, Korea, August 25-27, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10144}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56549-1}, doi = {10.1007/978-3-319-56549-1}, isbn = {978-3-319-56548-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wisa/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wts/2016, title = {2016 Wireless Telecommunications Symposium, {WTS} 2016, London, United Kingdom, April 18-20, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7479622/proceeding}, isbn = {978-1-5090-0314-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wts/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:reference/convergence/2016, editor = {William Sims Bainbridge and Mihail C. Roco}, title = {Handbook of Science and Technology Convergence}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-07052-0}, doi = {10.1007/978-3-319-07052-0}, isbn = {978-3-319-07051-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/reference/convergence/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:journals/tlsdkcs/2015-21, editor = {Abdelkader Hameurlain and Josef K{\"{u}}ng and Roland R. Wagner and Alfredo Cuzzocrea and Umeshwar Dayal}, title = {Transactions on Large-Scale Data- and Knowledge-Centered Systems {XXI} - Selected Papers from DaWaK 2012}, series = {Lecture Notes in Computer Science}, volume = {9260}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-47804-2}, doi = {10.1007/978-3-662-47804-2}, isbn = {978-3-662-47803-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/journals/tlsdkcs/2015-21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACISicis/2015, editor = {Takayuki Ito and Yanggon Kim and Naoki Fukuta}, title = {14th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2015, Las Vegas, NV, USA, June 28 - July 1, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7159923/proceeding}, isbn = {978-1-4799-8679-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ACISicis/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aimech/2015, title = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7190945/proceeding}, isbn = {978-1-4673-9107-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/aimech/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apnoms/2015, title = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7270534/proceeding}, isbn = {978-4-8855-2296-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdas/2015, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060}, doi = {10.1145/2837060}, isbn = {978-1-4503-3846-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdas/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdataservice/2015, title = {First {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2015, Redwood City, CA, USA, March 30 - April 2, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7182812/proceeding}, isbn = {978-1-4799-8128-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csa2/2015, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6}, doi = {10.1007/978-981-10-0281-6}, isbn = {978-981-10-0280-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/csa2/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dasfaa/2015w, editor = {An Liu and Yoshiharu Ishikawa and Tieyun Qian and Sarana Nutanong and Muhammad Aamir Cheema}, title = {Database Systems for Advanced Applications - {DASFAA} 2015 International Workshops, SeCoP, BDMS, and Posters, Hanoi, Vietnam, April 20-23, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9052}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22324-7}, doi = {10.1007/978-3-319-22324-7}, isbn = {978-3-319-22323-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/2015w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dh/2015, editor = {Gabriele Guidi and Roberto Scopigno and Juan Carlos Torres and Holger Graf and Fabio Remondino and Luciana Duranti and Pere Brunet and Susan Hazan and Juan Antonio Barcel{\'{o}}}, title = {2nd Digital Heritage International Congress, DigitalHERITAGE 2015, Granada, Spain, September 28 - October 2, 2015, Volume {II}}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7406203/proceeding?isnumber=7419433}, isbn = {978-1-5090-0254-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/dh/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dsdis/2015, title = {{IEEE} International Conference on Data Science and Data Intensive Systems, {DSDIS} 2015, Sydney, Australia, December 11-13, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7395500/proceeding}, isbn = {978-1-5090-0214-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/dsdis/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eucc/2015, title = {14th European Control Conference, {ECC} 2015, Linz, Austria, July 15-17, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ECC33774.2015}, doi = {10.1109/ECC33774.2015}, isbn = {978-3-9524-2693-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eucc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurosys/2015, editor = {Laurent R{\'{e}}veill{\`{e}}re and Tim Harris and Maurice Herlihy}, title = {Proceedings of the Tenth European Conference on Computer Systems, EuroSys 2015, Bordeaux, France, April 21-24, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2741948}, isbn = {978-1-4503-3238-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eusipco/2015, title = {23rd European Signal Processing Conference, {EUSIPCO} 2015, Nice, France, August 31 - September 4, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7362053/proceeding}, isbn = {978-0-9928-6263-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fcv/2015, editor = {Soon{-}Young Park and Hironobu Fujiyoshi and Kunihito Kato and Hongbin Zha and Chil{-}Woo Lee and Kang{-}Hyun Jo}, title = {21st Korea-Japan Joint Workshop on Frontiers of Computer Vision, {FCV} 2015, Mokpo, South Korea, January 28-30, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7100653/proceeding}, isbn = {978-1-4799-1720-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/fcv/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2015, editor = {Sara Silva and Anna Isabel Esparcia{-}Alc{\'{a}}zar}, title = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2015, Madrid, Spain, July 11-15, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2739480}, isbn = {978-1-4503-3472-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2015, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7061292/proceeding}, isbn = {978-1-4799-7543-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccnc/2015, title = {International Conference on Computing, Networking and Communications, {ICNC} 2015, Garden Grove, CA, USA, February 16-19, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7057023/proceeding}, isbn = {978-1-4799-6959-3}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ichsa/2015, editor = {Joong Hoon Kim and Zong Woo Geem}, title = {Harmony Search Algorithm - Proceedings of the 2nd International Conference on Harmony Search Algorithm, {ICHSA} 2015, Seoul, South Korea, 19-21 August 2015}, series = {Advances in Intelligent Systems and Computing}, volume = {382}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-662-47926-1}, doi = {10.1007/978-3-662-47926-1}, isbn = {978-3-662-47925-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ichsa/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icisc/2015, editor = {Soonhak Kwon and Aaram Yun}, title = {Information Security and Cryptology - {ICISC} 2015 - 18th International Conference, Seoul, South Korea, November 25-27, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9558}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30840-1}, doi = {10.1007/978-3-319-30840-1}, isbn = {978-3-319-30839-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icisc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2015, title = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7051394/proceeding}, isbn = {978-1-4799-8342-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2015, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7128761/proceeding}, isbn = {978-1-4799-6923-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icra/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icse/2015-2, editor = {Antonia Bertolino and Gerardo Canfora and Sebastian G. Elbaum}, title = {37th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2015, Florence, Italy, May 16-24, 2015, Volume 2}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7174815/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icse/2015-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icsenst/2015, title = {9th International Conference on Sensing Technology, {ICST} 2015, Auckland, New Zealand, December 8-10, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7428381/proceeding}, isbn = {978-1-4799-6314-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2015, title = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7172793/proceeding}, isbn = {978-1-4799-8993-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isca/2015, editor = {Deborah T. Marr and David H. Albonesi}, title = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749469}, doi = {10.1145/2749469}, isbn = {978-1-4503-3402-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isca/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2015, title = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7054075/proceeding}, isbn = {978-1-4799-6223-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kbse/2015, editor = {Myra B. Cohen and Lars Grunske and Michael Whalen}, title = {30th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2015, Lincoln, NE, USA, November 9-13, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7371449/proceeding}, isbn = {978-1-5090-0025-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/kbse/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/med/2015, title = {23rd Mediterranean Conference on Control and Automation, {MED} 2015, Torremolinos, Malaga, Spain, June 16-19, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7152454/proceeding}, isbn = {978-1-4799-9936-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/med/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigcse/2015, editor = {Adrienne Decker and Kurt Eiselt and Carl Alphonce and Jodi L. Tims}, title = {Proceedings of the 46th {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2015, Kansas City, MO, USA, March 4-7, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2676723}, doi = {10.1145/2676723}, isbn = {978-1-4503-2966-8}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggraph/2015posters, title = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '15, Los Angeles, CA, USA, August 9-13, 2015, Posters Proceedings}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2787626}, doi = {10.1145/2787626}, isbn = {978-1-4503-3632-1}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/2015posters.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trec/2015, editor = {Ellen M. Voorhees and Angela Ellis}, title = {Proceedings of The Twenty-Fourth Text REtrieval Conference, {TREC} 2015, Gaithersburg, Maryland, USA, November 17-20, 2015}, series = {{NIST} Special Publication}, volume = {500-319}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2015}, url = {http://trec.nist.gov/pubs/trec24/trec2015.html}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/trec/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2015, title = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7196579/proceeding}, isbn = {978-4-86348-502-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2015, title = {2015 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2015, New Orleans, LA, USA, March 9-12, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7121206/proceeding}, isbn = {978-1-4799-8406-0}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wowmom/2015, editor = {Luciano Bononi and Guevara Noubir and Victoria Manfredi}, title = {16th {IEEE} International Symposium on {A} World of Wireless, Mobile and Multimedia Networks, WoWMoM 2015, Boston, MA, USA, June 14-17, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7152453/proceeding}, isbn = {978-1-4799-8461-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsc/2015, title = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, publisher = {{IEEE/ACM}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7399125/proceeding}, isbn = {978-1-4673-9741-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wsc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wuwnet/2015, editor = {Scott F. Midkiff and Xiaoli Ma and Zheng Peng}, title = {Proceedings of the 10th International Conference on Underwater Networks {\&} Systems, {WUWNET} 2015, Arlington, VA, USA, October 22-24, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2831296}, doi = {10.1145/2831296}, isbn = {978-1-4503-4036-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/wuwnet/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acssc/2014, editor = {Michael B. Matthews}, title = {48th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2014, Pacific Grove, CA, USA, November 2-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7066991/proceeding}, isbn = {978-1-4799-8297-4}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/acssc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ant/2014, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {Proceedings of the 5th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2014), the 4th International Conference on Sustainable Energy Information Technology (SEIT-2014), Hasselt, Belgium, June 2-5, 2014}, series = {Procedia Computer Science}, volume = {32}, publisher = {Elsevier}, year = {2014}, url = {https://www.sciencedirect.com/science/journal/18770509/32}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ant/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2014, title = {11th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2014, Las Vegas, NV, USA, January 10-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6858322/proceeding}, isbn = {978-1-4799-2355-7}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/elinfocom/2014, title = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICEIC32351.2014}, doi = {10.1109/ICEIC32351.2014}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ficloud/2014, editor = {Muhammad Younas and Irfan Awan and Antonio Pescap{\`{e}}}, title = {2014 International Conference on Future Internet of Things and Cloud, FiCloud 2014, Barcelona, Spain, August 27-29, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6982600/proceeding}, isbn = {978-1-4799-4358-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ficloud/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2014, title = {20th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6823235/proceeding}, isbn = {978-1-4799-3097-5}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/huc/2014, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14, Seattle, WA, USA, September 13-17, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2632048}, isbn = {978-1-4503-2968-2}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/huc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2014, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6761345/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdsp/2014, title = {19th International Conference on Digital Signal Processing, {DSP} 2014, Hong Kong, China, August 20-23, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6894725/proceeding}, isbn = {978-1-4799-4612-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2014, title = {The International Conference on Information Networking 2014, {ICOIN} 2014, Phuket, Thailand, February 10-12, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6783960/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2014, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6966882/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2014, title = {Sixth International Conference on Ubiquitous and Future Networks, {ICUFN} 2014, Shanghai, China, July 8-11, 2014}, publisher = {{IEEE}}, year = {2014}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6867221}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2014, title = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS20755.2014}, doi = {10.1109/IROS20755.2014}, isbn = {978-1-4799-6931-9}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/iros/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2014, title = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6747109/proceeding}, isbn = {978-1-4799-0918-6}, timestamp = {Mon, 08 Jul 2024 15:27:50 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mie/2014, editor = {Christian Lovis and Brigitte S{\'{e}}roussi and Arie Hasman and Louise Pape{-}Haugaard and Osman Saka and Stig Kj{\ae}r Andersen}, title = {e-Health - For Continuity of Care - Proceedings of MIE2014, the 25th European Medical Informatics Conference, Istanbul, Turkey, August 31 - September 3, 2014}, series = {Studies in Health Technology and Informatics}, volume = {205}, publisher = {{IOS} Press}, year = {2014}, isbn = {978-1-61499-431-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/mie/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miip/2014, editor = {S{\'{e}}bastien Ourselin and Martin A. Styner}, title = {Medical Imaging 2014: Image Processing, San Diego, California, USA, February 16-18, 2014}, series = {{SPIE} Proceedings}, volume = {9034}, publisher = {{SPIE}}, year = {2014}, url = {http://proceedings.spiedigitallibrary.org/volume.aspx?volume=9034}, isbn = {978-0-8194-9827-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/miip/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/milcom/2014, title = {2014 {IEEE} Military Communications Conference, {MILCOM} 2014, Baltimore, MD, USA, October 6-8, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MILCOM201434636.2014}, doi = {10.1109/MILCOM201434636.2014}, isbn = {978-1-4799-6770-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/milcom/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nems/2014, title = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS32288.2014}, doi = {10.1109/NEMS32288.2014}, isbn = {978-1-4799-4726-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/nems/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigcomm/2014, editor = {Fabi{\'{a}}n E. Bustamante and Y. Charlie Hu and Arvind Krishnamurthy and Sylvia Ratnasamy}, title = {{ACM} {SIGCOMM} 2014 Conference, SIGCOMM'14, Chicago, IL, USA, August 17-22, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2619239}, doi = {10.1145/2619239}, isbn = {978-1-4503-2836-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmod/2014, editor = {Curtis E. Dyreson and Feifei Li and M. Tamer {\"{O}}zsu}, title = {International Conference on Management of Data, {SIGMOD} 2014, Snowbird, UT, USA, June 22-27, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2588555}, isbn = {978-1-4503-2376-5}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2014, title = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6960119/proceeding}, isbn = {978-1-4799-3840-7}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/urai/2014, title = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6991442/proceeding}, isbn = {978-1-4799-5333-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wpmc/2014, title = {2014 International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2014, Sydney, Australia, September 7-10, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7000633/proceeding}, isbn = {978-986-03-3407-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmsb/2013, title = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2013, Brunel University, London, United Kingdom, June 5-7, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6603436/proceeding}, isbn = {978-1-4673-6047-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2013a, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356}, doi = {10.1145/2468356}, isbn = {978-1-4503-1952-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/chi/2013a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2013, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654}, doi = {10.1145/2470654}, isbn = {978-1-4503-1899-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/chi/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurocrypt/2013, editor = {Thomas Johansson and Phong Q. Nguyen}, title = {Advances in Cryptology - {EUROCRYPT} 2013, 32nd Annual International Conference on the Theory and Applications of Cryptographic Techniques, Athens, Greece, May 26-30, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7881}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38348-9}, doi = {10.1007/978-3-642-38348-9}, isbn = {978-3-642-38347-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/eurocrypt/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2013, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6475440/proceeding}, isbn = {978-1-4673-1361-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iceis/2013-2, editor = {Slimane Hammoudi and Leszek A. Maciaszek and Jos{\'{e}} Cordeiro and Jan L. G. Dietz}, title = {{ICEIS} 2013 - Proceedings of the 15th International Conference on Enterprise Information Systems, Volume 2, Angers, France, 4-7 July, 2013}, publisher = {SciTePress}, year = {2013}, isbn = {978-989-8565-60-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iceis/2013-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2013, title = {2013 {IEEE} International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6615630/proceeding}, isbn = {978-1-4673-5641-1}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2013, title = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6560459/proceeding}, isbn = {978-1-4673-5760-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isr/2013, title = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6684406/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/isr/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2013, title = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6480926/proceeding}, isbn = {978-1-4673-4515-6}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kbse/2013, editor = {Ewen Denney and Tevfik Bultan and Andreas Zeller}, title = {2013 28th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2013, Silicon Valley, CA, USA, November 11-15, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6684409/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/kbse/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miccai/2013col, editor = {Hiroyuki Yoshida and Simon K. Warfield and Michael W. Vannier}, title = {Abdominal Imaging. Computation and Clinical Applications - 5th International Workshop, Held in Conjunction with {MICCAI} 2013, Nagoya, Japan, September 22, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8198}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41083-3}, doi = {10.1007/978-3-642-41083-3}, isbn = {978-3-642-41082-6}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/miccai/2013col.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisys/2013, editor = {Hao{-}Hua Chu and Polly Huang and Romit Roy Choudhury and Feng Zhao}, title = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2462456}, isbn = {978-1-4503-1672-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ngmast/2013, title = {Seventh International Conference on Next Generation Mobile Apps, Services and Technologies, {NGMAST} 2013, Prague, Czech Republic, September 25-27, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6657467/proceeding}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ngmast/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2013, title = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6523366}, isbn = {978-1-4799-0457-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ro-man/2013, title = {{IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2013, Gyeongju, South Korea, August 26-29, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6604421/proceeding}, isbn = {978-1-4799-0507-2}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmod/2013, editor = {Kenneth A. Ross and Divesh Srivastava and Dimitris Papadias}, title = {Proceedings of the {ACM} {SIGMOD} International Conference on Management of Data, {SIGMOD} 2013, New York, NY, USA, June 22-27, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2463676}, isbn = {978-1-4503-2037-5}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uss/2013, editor = {Samuel T. King}, title = {Proceedings of the 22th {USENIX} Security Symposium, Washington, DC, USA, August 14-16, 2013}, publisher = {{USENIX} Association}, year = {2013}, url = {https://www.usenix.org/conference/usenixsecurity13}, isbn = {978-1-931971-03-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/uss/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcsp/2013, title = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2013, Hangzhou, China, October 24-26, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6670253/proceeding}, isbn = {978-1-4799-0308-5}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/case/2012, title = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6375512/proceeding}, isbn = {978-1-4673-0429-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/case/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccnc/2012, title = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6175264/proceeding}, isbn = {978-1-4577-2070-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccs/2012, editor = {Ting Yu and George Danezis and Virgil D. Gligor}, title = {the {ACM} Conference on Computer and Communications Security, CCS'12, Raleigh, NC, USA, October 16-18, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2382196}, isbn = {978-1-4503-1651-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ccs/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cikm/2012, editor = {Xue{-}wen Chen and Guy Lebanon and Haixun Wang and Mohammed J. Zaki}, title = {21st {ACM} International Conference on Information and Knowledge Management, CIKM'12, Maui, HI, USA, October 29 - November 02, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2396761}, isbn = {978-1-4503-1156-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/cikm/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2012, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2228360}, isbn = {978-1-4503-1199-1}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/dac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dawak/2012, editor = {Alfredo Cuzzocrea and Umeshwar Dayal}, title = {Data Warehousing and Knowledge Discovery - 14th International Conference, DaWaK 2012, Vienna, Austria, September 3-6, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7448}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32584-7}, doi = {10.1007/978-3-642-32584-7}, isbn = {978-3-642-32583-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/dawak/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2012el, editor = {Tai{-}Hoon Kim and Jianhua Ma and Wai{-}Chi Fang and Yanchun Zhang and Alfredo Cuzzocrea}, title = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {352}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35603-2}, doi = {10.1007/978-3-642-35603-2}, isbn = {978-3-642-35602-5}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/fgit/2012el.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2012, title = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6350935/proceeding}, isbn = {978-1-4577-2052-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-berlin/2012, title = {{IEEE} Second International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2012, Berlin, Germany, September 3-5, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6328540/proceeding}, isbn = {978-1-4673-1546-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2012, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6153584/proceeding}, isbn = {978-1-4577-0230-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccnc/2012, title = {International Conference on Computing, Networking and Communications, {ICNC} 2012, Maui, HI, USA, January 30 - February 2, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6163567/proceeding}, isbn = {978-1-4673-0008-7}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icira/2012-2, editor = {Chun{-}Yi Su and Subhash Rakheja and Honghai Liu}, title = {Intelligent Robotics and Applications - 5th International Conference, {ICIRA} 2012, Montreal, Canada, October 3-5, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7507}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33515-0}, doi = {10.1007/978-3-642-33515-0}, isbn = {978-3-642-33514-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icira/2012-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2012, editor = {Younghan Kim and Cheeha Kim and Panjai Tantatsanawong}, title = {2012 International Conference on Information Networking, {ICOIN} 2012, Bali, Indonesia, February 1-3, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6158351/proceeding}, isbn = {978-1-4673-0251-7}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2012, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2012, 14-18 May, 2012, St. Paul, Minnesota, {USA}}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6215071/proceeding}, isbn = {978-1-4673-1403-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ictc/2012, title = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6375583/proceeding}, isbn = {978-1-4673-4828-7}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icuimc/2012, editor = {Suk{-}Han Lee and Lajos Hanzo and Roslan Ismail and Dongsoo S. Kim and Min Young Chung and Sang{-}Won Lee}, title = {The 6th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '12, Kuala Lumpur, Malaysia, February 20-22, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2184751}, isbn = {978-1-4503-1172-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iecon/2012, title = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6373889/proceeding}, isbn = {978-1-4673-2419-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iecon/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iros/2012, title = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6363628/proceeding}, isbn = {978-1-4673-1737-5}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2012, title = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6257548/proceeding}, isbn = {978-1-4673-0218-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2012, title = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171933/proceeding}, isbn = {978-1-4673-0376-7}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itcs2/2012, editor = {James Jong Hyuk Park and Jongsung Kim and Deqing Zou and Yang Sun Lee}, title = {Information Technology Convergence, Secure and Trust Computing, and Data Management - {ITCS} 2012 {\&} {STA} 2012, Gwangju, Korea, September 6-8, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {180}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5083-8}, doi = {10.1007/978-94-007-5083-8}, isbn = {978-94-007-5082-1}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/itcs2/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mascots/2012, title = {20th {IEEE} International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2012, Washington, DC, USA, August 7-9, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6297612/proceeding}, isbn = {978-1-4673-2453-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/mascots/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nordichi/2012, editor = {Lone Malmborg and Thomas Pederson}, title = {Nordic Conference on Human-Computer Interaction, NordiCHI '12, Copenhagen, Denmark, October 14-17, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2399016}, isbn = {978-1-4503-1482-4}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/nordichi/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/racs/2012, editor = {Yookun Cho and Rex E. Gantenbein and Tei{-}Wei Kuo and Vahid Tarokh}, title = {Research in Applied Computation Symposium, {RACS} '12, San Antonio, TX, USA, October 23-26, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2401603}, isbn = {978-1-4503-1492-3}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/racs/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/2012, editor = {Sascha Ossowski and Paola Lecca}, title = {Proceedings of the {ACM} Symposium on Applied Computing, {SAC} 2012, Riva, Trento, Italy, March 26-30, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2245276}, isbn = {978-1-4503-0857-1}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/sac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sii/2012, title = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6416132}, isbn = {978-1-4673-1496-1}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/sii/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/soca/2012, title = {2012 Fifth {IEEE} International Conference on Service-Oriented Computing and Applications (SOCA), Taipei, Taiwan, December 17-19, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6422836/proceeding}, isbn = {978-1-4673-4773-0}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/soca/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vrcai/2012, editor = {Daniel Thalmann and Enhua Wu and Zhigeng Pan and Abdennour El Rhalibi and Nadia Magnenat{-}Thalmann and Matt Adcock}, title = {Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2012, Singapore, December 2-4, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2407516}, isbn = {978-1-4503-1825-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/vrcai/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2012s, title = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6238551/proceeding}, isbn = {978-1-4673-0989-9}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2012s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2012f, title = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6391320/proceeding}, isbn = {978-1-4673-1880-8}, timestamp = {Mon, 08 Jul 2024 15:27:51 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2012f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.