default search action
Search dblp for Publications
export results for "Edward H. Lee"
@article{DBLP:journals/mia/PachadePKDSLHSQKHWSYLCW25, author = {Samiksha Pachade and Prasanna Porwal and Manesh Kokare and Girish Deshmukh and Vivek Sahasrabuddhe and Zhengbo Luo and Feng Han and Zitang Sun and Li Qihan and Sei{-}ichiro Kamata and Edward Ho and Edward Wang and Asaanth Sivajohan and Saerom Youn and Kevin Lane and Jin Chun and Xinliang Wang and Yunchao Gu and Sixu Lu and Young{-}tack Oh and Hyunjin Park and Chia{-}Yen Lee and Hung Yeh and Kai{-}Wen Cheng and Haoyu Wang and Jin Ye and Junjun He and Lixu Gu and Dominik M{\"{u}}ller and I{\~{n}}aki Soto Rey and Frank Kramer and Hidehisa Arai and Yuma Ochi and Takami Okada and Luca Giancardo and Gwenol{\'{e}} Quellec and Fabrice M{\'{e}}riaudeau}, title = {RFMiD: Retinal Image Analysis for multi-Disease Detection challenge}, journal = {Medical Image Anal.}, volume = {99}, pages = {103365}, year = {2025}, url = {https://doi.org/10.1016/j.media.2024.103365}, doi = {10.1016/J.MEDIA.2024.103365}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/PachadePKDSLHSQKHWSYLCW25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/LeeLCTHK24, author = {Ching{-}Hung Lee and Fan Li and Ming{-}Chuan Chiu and Amy J. C. Trappey and Edward Huang and Pisut Koomsap}, title = {Connecting humans and machines: Deep integration of advanced {HCI} in intelligent engineering}, journal = {Adv. Eng. Informatics}, volume = {62}, pages = {102824}, year = {2024}, url = {https://doi.org/10.1016/j.aei.2024.102824}, doi = {10.1016/J.AEI.2024.102824}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/LeeLCTHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/JonesGRSFKGGH24, author = {David Edward Jones and James A. Gopsill and Ric Real and Chris Snider and Harry Felton and Lee Kent and Mark Goudswaard and Owen Freeman Gebler and Ben Hicks}, title = {The prototype taxonomised: Towards the capture, curation, and integration of physical models in new product development}, journal = {Comput. Ind.}, volume = {155}, pages = {104059}, year = {2024}, url = {https://doi.org/10.1016/j.compind.2023.104059}, doi = {10.1016/J.COMPIND.2023.104059}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/JonesGRSFKGGH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/data/SenHDHCWORNLNMWPGWBLPBHSJRKTPBNBLS24, author = {Anando Sen and Victoria Hedley and Eva Degraeuwe and Steven Hirschfeld and Ronald Cornet and Ramona Walls and John Owen and Peter N. Robinson and Edward G. Neilan and Thomas Liener and Giovanni Nisato and Neena Modi and Simon Woodworth and Avril Palmeri and Ricarda Gaentzsch and Melissa Walsh and Teresa Berkery and Joanne Lee and Laura Persijn and Kasey Baker and Kristina An Haack and Sonia Segovia Simon and Julius O. B. Jacobsen and Giorgio Reggiardo and Melissa A. Kirwin and Jessie Trueman and Claudia Pansieri and Donato Bonifazi and Sin{\'{e}}ad Nally and Fedele Bonifazi and Rebecca Leary and Volker Straub}, title = {Learning from conect4children: {A} Collaborative Approach towards Standardisation of Disease-Specific Paediatric Research Data}, journal = {Data}, volume = {9}, number = {4}, pages = {55}, year = {2024}, url = {https://doi.org/10.3390/data9040055}, doi = {10.3390/DATA9040055}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/data/SenHDHCWORNLNMWPGWBLPBHSJRKTPBNBLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/RauBJAMKSMLLPFERLLLIRLMS24, author = {Anita Rau and Sophia Bano and Yueming Jin and Pablo Azagra and Javier Morlana and Rawen Kader and Edward Sanderson and Bogdan J. Matuszewski and Jae Young Lee and Dong{-}Jae Lee and Erez Posner and Netanel Frank and Varshini Elangovan and Sista Raviteja and Zhengwen Li and Jiquan Liu and Seenivasan Lalithkumar and Mobarakol Islam and Hongliang Ren and Laurence B. Lovat and Jos{\'{e}} M. M. Montiel and Danail Stoyanov}, title = {SimCol3D - 3D reconstruction during colonoscopy challenge}, journal = {Medical Image Anal.}, volume = {96}, pages = {103195}, year = {2024}, url = {https://doi.org/10.1016/j.media.2024.103195}, doi = {10.1016/J.MEDIA.2024.103195}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/RauBJAMKSMLLPFERLLLIRLMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ViswanathHDPHMWS24, author = {Varun K. Viswanath and Wendy Hartogensis and Stephan Dilchert and Leena S. Pandya and Frederick M. Hecht and Ashley Mason and Edward J. Wang and Benjamin L. Smarr}, title = {Five million nights: temporal dynamics in human sleep phenotypes}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-024-01125-5}, doi = {10.1038/S41746-024-01125-5}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/ViswanathHDPHMWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YiLCYCPKJHCJ24, author = {Donghyeon Yi and Seoyoung Lee and Injun Choi and Gichan Yun and Edward Jongyoon Choi and Jonghee Park and Jonghoon Kwak and Sung{-}Joon Jang and Sohmyung Ha and Ik{-}Joon Chang and Minkyu Je}, title = {Skew-CIM: Process-Variation-Resilient and Energy-Efficient Computation-in-Memory Design Technique With Skewed Weights}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {5}, pages = {2067--2078}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2024.3372392}, doi = {10.1109/TCSI.2024.3372392}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/YiLCYCPKJHCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HurOKKLCMKAC24, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Louis Atallah and Edward Choi}, title = {GenHPF: General Healthcare Predictive Framework for Multi-Task Multi-Source Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {1}, pages = {502--513}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2023.3327951}, doi = {10.1109/JBHI.2023.3327951}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HurOKKLCMKAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/VenteVJWSKTAZLGBCGSPLYKKWDHZAABALCC24, author = {Coen de Vente and Koenraad A. Vermeer and Nicolas Jaccard and He Wang and Hongyi Sun and Firas Khader and Daniel Truhn and Temirgali Aimyshev and Yerkebulan Zhanibekuly and Tien{-}Dung Le and Adrian Galdran and Miguel {\'{A}}ngel Gonz{\'{a}}lez Ballester and Gustavo Carneiro and Devika R. G and Hrishikesh Panikkasseril Sethumadhavan and Densen Puthussery and Hong Liu and Zekang Yang and Satoshi Kondo and Satoshi Kasai and Edward Wang and Ashritha Durvasula and J{\'{o}}nathan Heras and Miguel {\'{A}}ngel Zapata and Teresa Ara{\'{u}}jo and Guilherme Aresta and Hrvoje Bogunovic and Mustafa Arikan and Yeong Chan Lee and Hyun Bin Cho and Yoon Ho Choi and Abdul Qayyum and Imran Razzak and Bram van Ginneken and Hans G. Lemij and Clara I. S{\'{a}}nchez}, title = {{AIROGS:} Artificial Intelligence for Robust Glaucoma Screening Challenge}, journal = {{IEEE} Trans. Medical Imaging}, volume = {43}, number = {1}, pages = {542--557}, year = {2024}, url = {https://doi.org/10.1109/TMI.2023.3313786}, doi = {10.1109/TMI.2023.3313786}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/VenteVJWSKTAZLGBCGSPLYKKWDHZAABALCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KweonKKICBOLMYB24, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {5148--5168}, year = {2024}, crossref = {DBLP:conf/acl/2024f}, url = {https://doi.org/10.18653/v1/2024.findings-acl.305}, doi = {10.18653/V1/2024.FINDINGS-ACL.305}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KweonKKICBOLMYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeKKKWLC24, author = {Jiyoung Lee and Minwoo Kim and Seungho Kim and Junghwan Kim and Seunghyun Won and Hwaran Lee and Edward Choi}, title = {KorNAT: {LLM} Alignment Benchmark for Korean Social Values and Common Knowledge}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {11177--11213}, year = {2024}, crossref = {DBLP:conf/acl/2024f}, url = {https://doi.org/10.18653/v1/2024.findings-acl.666}, doi = {10.18653/V1/2024.FINDINGS-ACL.666}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeKKKWLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aft/AlvarezABBBEFGJ24, author = {Mario M. Alvarez and Henry Arneson and Ben Berger and Lee Bousfield and Chris Buckland and Yafah Edelman and Edward W. Felten and Daniel Goldman and Raul Jordan and Mahimna Kelkar and Akaki Mamageishvili and Harry Ng and Aman Sanghi and Victor Shoup and Terence Tsao}, title = {BoLD: Fast and Cheap Dispute Resolution}, booktitle = {6th Conference on Advances in Financial Technologies, {AFT} 2024, September 23-25, 2024, Vienna, Austria}, pages = {2:1--2:19}, year = {2024}, crossref = {DBLP:conf/aft/2024}, url = {https://doi.org/10.4230/LIPIcs.AFT.2024.2}, doi = {10.4230/LIPICS.AFT.2024.2}, timestamp = {Mon, 16 Sep 2024 17:07:54 +0200}, biburl = {https://dblp.org/rec/conf/aft/AlvarezABBBEFGJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aime/WangLDSCZH24, author = {Zhichuan Wang and Kinhei Lee and Qiao Deng and Tiffany Y. So and Wan Hang Chiu and Bingjing Zhou and Edward S. Hui}, title = {Expert Insight-Enhanced Follow-Up Chest X-ray Summary Generation}, booktitle = {Artificial Intelligence in Medicine - 22nd International Conference, {AIME} 2024, Salt Lake City, UT, USA, July 9-12, 2024, Proceedings, Part {II}}, pages = {181--193}, year = {2024}, crossref = {DBLP:conf/aime/2024-2}, url = {https://doi.org/10.1007/978-3-031-66535-6\_21}, doi = {10.1007/978-3-031-66535-6\_21}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aime/WangLDSCZH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LamJ0MGLLLRLRW024, author = {Maximilian Lam and Jeff Johnson and Wenjie Xiong and Kiwan Maeng and Udit Gupta and Yang Li and Liangzhen Lai and Ilias Leontiadis and Minsoo Rhu and Hsien{-}Hsin S. Lee and Vijay Janapa Reddi and Gu{-}Yeon Wei and David Brooks and G. Edward Suh}, title = {GPU-based Private Information Retrieval for On-Device Machine Learning Inference}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {197--214}, year = {2024}, crossref = {DBLP:conf/asplos/2024-1}, url = {https://doi.org/10.1145/3617232.3624855}, doi = {10.1145/3617232.3624855}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LamJ0MGLLLRLRW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JohnsELMWLTTDLM24, author = {MJ Johns and Emmanuel Chinedum Ezenwa and Seunghyun Lee and Thomas Maiorana and Ciel Wood and Josh D. Levano and Rita Aksum Tesfay and Michael Takami and Cameron A Dodd and Madison Li and Hanne Manning and Regis Pak and Lily Chen and Ria K. Saini and M{\'{a}}rio Escarce Junior and Mennatullah Hendawy and Magy Seif El{-}Nasr and Edward F. Melcer and Katherine Isbister}, title = {Participatory Design of a Serious Game to Improve Wildfire Preparedness with Community Residents and Experts}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {261:1--261:8}, year = {2024}, crossref = {DBLP:conf/chi/2024a}, url = {https://doi.org/10.1145/3613905.3650735}, doi = {10.1145/3613905.3650735}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JohnsELMWLTTDLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurogp/HelmuthPFS24, author = {Thomas Helmuth and Edward R. Pantridge and James Gunder Frazier and Lee Spector}, title = {Generational Computation Reduction in Informal Counterexample-Driven Genetic Programming}, booktitle = {Genetic Programming - 27th European Conference, EuroGP 2024, Held as Part of EvoStar 2024, Aberystwyth, UK, April 3-5, 2024, Proceedings}, pages = {21--37}, year = {2024}, crossref = {DBLP:conf/eurogp/2024}, url = {https://doi.org/10.1007/978-3-031-56957-9\_2}, doi = {10.1007/978-3-031-56957-9\_2}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurogp/HelmuthPFS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HelmuthFPS24, author = {Thomas Helmuth and Jayden Fedoroff and Edward R. Pantridge and Lee Spector}, title = {Facilitating Function Application in Code Building Genetic Programming}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2024, Melbourne, VIC, Australia, July 14-18, 2024}, year = {2024}, crossref = {DBLP:conf/gecco/2024}, url = {https://doi.org/10.1145/3638529.3654068}, doi = {10.1145/3638529.3654068}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/gecco/HelmuthFPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/GoodmanCAAHLBRM24, author = {Georgia R. Goodman and Chris Carnes and Hannah Albrechta and Pamela Alpert and Joanne Hokayem and Jasper S. Lee and Edward W. Boyer and Rochelle K. Rosen and Kenneth H. Mayer and Conall O'Cleirigh and Peter R. Chai}, title = {Real-World Implementation Challenges Associated with a Digital Pill System to Measure Adherence to {HIV} Pre-Exposure Prophylaxis from Two Studies of Men Who Have Sex With Men}, booktitle = {57th Hawaii International Conference on System Sciences, {HICSS} 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024}, pages = {3211--3221}, year = {2024}, crossref = {DBLP:conf/hicss/2024}, url = {https://hdl.handle.net/10125/106771}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/GoodmanCAAHLBRM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/LiCL24, author = {Mu{-}Rong Li and Edward T.{-}H. Chu and Chia{-}Rong Lee}, title = {S-Mask: An Interactive Smart Face Mask for Breathing Exercises}, booktitle = {16th International Conference on Human System Interaction, {HSI} 2024, Paris, France, July 8-11, 2024}, pages = {1--8}, year = {2024}, crossref = {DBLP:conf/hsi/2024}, url = {https://doi.org/10.1109/HSI61632.2024.10613601}, doi = {10.1109/HSI61632.2024.10613601}, timestamp = {Fri, 30 Aug 2024 08:33:56 +0200}, biburl = {https://dblp.org/rec/conf/hsi/LiCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChangTCSHHL24, author = {An{-}Yan Chang and Jing{-}Tong Tzeng and Huan{-}Yu Chen and Chih{-}Wei Sung and Chun{-}Hsiang Huang and Edward Pei{-}Chuan Huang and Chi{-}Chun Lee}, title = {GaP-Aug: Gamma Patch-Wise Correction Augmentation Method for Respiratory Sound Classification}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {551--555}, year = {2024}, crossref = {DBLP:conf/icassp/2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10447967}, doi = {10.1109/ICASSP48485.2024.10447967}, timestamp = {Mon, 05 Aug 2024 15:26:37 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChangTCSHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LightmanKBEBLLS24, author = {Hunter Lightman and Vineet Kosaraju and Yuri Burda and Harrison Edwards and Bowen Baker and Teddy Lee and Jan Leike and John Schulman and Ilya Sutskever and Karl Cobbe}, title = {Let's Verify Step by Step}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, year = {2024}, crossref = {DBLP:conf/iclr/2024}, url = {https://openreview.net/forum?id=v8L0pN6EOi}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LightmanKBEBLLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/NasirianyX0XL0X24, author = {Soroush Nasiriany and Fei Xia and Wenhao Yu and Ted Xiao and Jacky Liang and Ishita Dasgupta and Annie Xie and Danny Driess and Ayzaan Wahid and Zhuo Xu and Quan Vuong and Tingnan Zhang and Tsang{-}Wei Edward Lee and Kuang{-}Huei Lee and Peng Xu and Sean Kirmani and Yuke Zhu and Andy Zeng and Karol Hausman and Nicolas Heess and Chelsea Finn and Sergey Levine and Brian Ichter}, title = {{PIVOT:} Iterative Visual Prompting Elicits Actionable Knowledge for VLMs}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, year = {2024}, crossref = {DBLP:conf/icml/2024}, url = {https://openreview.net/forum?id=051jaf8MQy}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/NasirianyX0XL0X24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, year = {2024}, crossref = {DBLP:conf/icra/2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Mon, 14 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/Schulz-Rosengarten24, author = {Alexander Schulz{-}Rosengarten and Akash Ahmad and Malte Clement and Reinhard von Hanxleden and Benjamin Asch and Marten Lohstroh and Edward A. Lee and Gustavo Quiros Araya and Ankit Shukla}, title = {Behavior Trees with Dataflow: Coordinating Reactive Tasks in Lingua Franca}, booktitle = {Proceedings of the 2024 {IEEE/ACM} 46th International Conference on Software Engineering: Companion Proceedings, {ICSE} Companion 2024, Lisbon, Portugal, April 14-20, 2024}, pages = {304--305}, year = {2024}, crossref = {DBLP:conf/icse/2024c}, url = {https://doi.org/10.1145/3639478.3643093}, doi = {10.1145/3639478.3643093}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/Schulz-Rosengarten24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/HannanNWSZGCMHVKWM24, author = {Darryl Hannan and Steven C. Nesbit and Ximing Wen and Glen Smith and Qiao Zhang and Alberto Goffi and Vincent Chan and Michael J. Morris and John C. Hunninghake and Nicholas E. Villalobos and Edward Kim and Rosina O. Weber and Christopher J. MacLellan}, title = {Interpretable Models for Detecting and Monitoring Elevated Intracranial Pressure}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--4}, year = {2024}, crossref = {DBLP:conf/isbi/2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635474}, doi = {10.1109/ISBI56570.2024.10635474}, timestamp = {Fri, 06 Sep 2024 21:02:06 +0200}, biburl = {https://dblp.org/rec/conf/isbi/HannanNWSZGCMHVKWM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuMLW24, author = {Edward Liu and David Joseph Munzer and Jeongseok Lee and Hua Wang}, title = {32.10 {A} Compact Broadband VSWR-Resilient True-Power-and-Gain Sensor with Dynamic-Range Compensation for Phased-Array Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {538--540}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454458}, doi = {10.1109/ISSCC49657.2024.10454458}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LiuMLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/MaCHHHKLMNSSSTLB24, author = {Changbao Ma and Kevin Chaloupka and Edward Hernandez and Nathan Hagen and Bing Hao and Barry Koch and Boon Lee and Joseph Miller and James Nelson and Nelson Sewall and Nathaniel Shonkwiler and Xiaoguang Sun and Dan Treadwell and Paul LeBlanc and Paul Baude}, title = {Dust Insensitive, Low Loss, and Low Mating Force Multi-Fiber Expanded Beam Optical Ferrule and Connectors}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, year = {2024}, crossref = {DBLP:conf/ofc/2024}, url = {https://ieeexplore.ieee.org/document/10527154}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/MaCHHHKLMNSSSTLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/HwangCL24, author = {Seunghoon Hwang and Edward Chan and Hyunglae Lee}, title = {Quantification of Shoulder Joint Impedance During Dynamic Motion: {A} Pilot Study Using a Parallel-Actuated Shoulder Exoskeleton Robot}, booktitle = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, pages = {233--237}, year = {2024}, crossref = {DBLP:conf/urai/2024}, url = {https://doi.org/10.1109/UR61395.2024.10597536}, doi = {10.1109/UR61395.2024.10597536}, timestamp = {Wed, 14 Aug 2024 15:52:44 +0200}, biburl = {https://dblp.org/rec/conf/urai/HwangCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/TiwariGGHKG0MLS24, author = {Trishita Tiwari and Suchin Gururangan and Chuan Guo and Weizhe Hua and Sanjay Kariyappa and Udit Gupta and Wenjie Xiong and Kiwan Maeng and Hsien{-}Hsin S. Lee and G. Edward Suh}, title = {Information Flow Control in Machine Learning through Modular Model Architecture}, booktitle = {33rd {USENIX} Security Symposium, {USENIX} Security 2024, Philadelphia, PA, USA, August 14-16, 2024}, year = {2024}, crossref = {DBLP:conf/uss/2024}, url = {https://www.usenix.org/conference/usenixsecurity24/presentation/tiwari}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/TiwariGGHKG0MLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisec/KwaoLPHKB24, author = {Edward Kwao and Jaehun Lee and Jinmo Park and Byeongdo Hong and Taehoon Kim and Inkyu Bang}, title = {Random Access Failure Attack on Cellular Networks: Forcing Timing Advance Misalignment}, booktitle = {Proceedings of the 17th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2024, Seoul, Republic of Korea, May 27-29, 2024}, pages = {248--253}, year = {2024}, crossref = {DBLP:conf/wisec/2024}, url = {https://doi.org/10.1145/3643833.3656125}, doi = {10.1145/3643833.3656125}, timestamp = {Thu, 27 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisec/KwaoLPHKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09185, author = {Alexander Schulz{-}Rosengarten and Akash Ahmad and Malte Clement and Reinhard von Hanxleden and Benjamin Asch and Marten Lohstroh and Edward A. Lee and Gustavo Quiros Araya and Ankit Shukla}, title = {Behavior Trees with Dataflow: Coordinating Reactive Tasks in Lingua Franca}, journal = {CoRR}, volume = {abs/2401.09185}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09185}, doi = {10.48550/ARXIV.2401.09185}, eprinttype = {arXiv}, eprint = {2401.09185}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12963, author = {Michael Ahn and Debidatta Dwibedi and Chelsea Finn and Montse Gonzalez Arenas and Keerthana Gopalakrishnan and Karol Hausman and Brian Ichter and Alex Irpan and Nikhil J. Joshi and Ryan Julian and Sean Kirmani and Isabel Leal and Tsang{-}Wei Edward Lee and Sergey Levine and Yao Lu and Sharath Maddineni and Kanishka Rao and Dorsa Sadigh and Pannag Sanketi and Pierre Sermanet and Quan Vuong and Stefan Welker and Fei Xia and Ted Xiao and Peng Xu and Steve Xu and Zhuo Xu}, title = {AutoRT: Embodied Foundation Models for Large Scale Orchestration of Robotic Agents}, journal = {CoRR}, volume = {abs/2401.12963}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12963}, doi = {10.48550/ARXIV.2401.12963}, eprinttype = {arXiv}, eprint = {2401.12963}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06185, author = {Edward S. Harake and Joseph R. Linzey and Cheng Jiang and Rushikesh S. Joshi and Mark M. Zaki and Jaes C. Jones and Siri S. Khalsa and John H. Lee and Zachary Wilseck and Jacob R. Joseph and Todd C. Hollon and Paul Park}, title = {Development and validation of an artificial intelligence model to accurately predict spinopelvic parameters}, journal = {CoRR}, volume = {abs/2402.06185}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06185}, doi = {10.48550/ARXIV.2402.06185}, eprinttype = {arXiv}, eprint = {2402.06185}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07872, author = {Soroush Nasiriany and Fei Xia and Wenhao Yu and Ted Xiao and Jacky Liang and Ishita Dasgupta and Annie Xie and Danny Driess and Ayzaan Wahid and Zhuo Xu and Quan Vuong and Tingnan Zhang and Tsang{-}Wei Edward Lee and Kuang{-}Huei Lee and Peng Xu and Sean Kirmani and Yuke Zhu and Andy Zeng and Karol Hausman and Nicolas Heess and Chelsea Finn and Sergey Levine and Brian Ichter}, title = {{PIVOT:} Iterative Visual Prompting Elicits Actionable Knowledge for VLMs}, journal = {CoRR}, volume = {abs/2402.07872}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07872}, doi = {10.48550/ARXIV.2402.07872}, eprinttype = {arXiv}, eprint = {2402.07872}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07872.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11450, author = {Jacky Liang and Fei Xia and Wenhao Yu and Andy Zeng and Montserrat Gonzalez Arenas and Maria Attarian and Maria Bauz{\'{a}} and Matthew Bennice and Alex Bewley and Adil Dostmohamed and Chuyuan Kelly Fu and Nimrod Gileadi and Marissa Giustina and Keerthana Gopalakrishnan and Leonard Hasenclever and Jan Humplik and Jasmine Hsu and Nikhil J. Joshi and Ben Jyenis and J. Chase Kew and Sean Kirmani and Tsang{-}Wei Edward Lee and Kuang{-}Huei Lee and Assaf Hurwitz Michaely and Joss Moore and Ken Oslund and Dushyant Rao and Allen Z. Ren and Baruch Tabanpour and Quan Vuong and Ayzaan Wahid and Ted Xiao and Ying Xu and Vincent Zhuang and Peng Xu and Erik Frey and Ken Caluwaerts and Tingnan Zhang and Brian Ichter and Jonathan Tompson and Leila Takayama and Vincent Vanhoucke and Izhak Shafran and Maja J. Mataric and Dorsa Sadigh and Nicolas Heess and Kanishka Rao and Nik Stewart and Jie Tan and Carolina Parada}, title = {Learning to Learn Faster from Human Feedback with Language Model Predictive Control}, journal = {CoRR}, volume = {abs/2402.11450}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11450}, doi = {10.48550/ARXIV.2402.11450}, eprinttype = {arXiv}, eprint = {2402.11450}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11450.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13605, author = {Jiyoung Lee and Minwoo Kim and Seungho Kim and Junghwan Kim and Seunghyun Won and Hwaran Lee and Edward Choi}, title = {KorNAT: {LLM} Alignment Benchmark for Korean Social Values and Common Knowledge}, journal = {CoRR}, volume = {abs/2402.13605}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13605}, doi = {10.48550/ARXIV.2402.13605}, eprinttype = {arXiv}, eprint = {2402.13605}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13605.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01628, author = {Hyewon Jeong and Sarah Jabbour and Yuzhe Yang and Rahul Thapa and Hussein Mozannar and William Jongwon Han and Nikita Mehandru and Michael Wornow and Vladislav Lialin and Xin Liu and Alejandro Lozano and Jiacheng Zhu and Rafal Dariusz Kocielnik and Keith Harrigian and Haoran Zhang and Edward Lee and Milos Vukadinovic and Aparna Balagopalan and Vincent Jeanselme and Katherine Matton and Ilker Demirel and Jason A. Fries and Parisa Rashidi and Brett K. Beaulieu{-}Jones and Xuhai Orson Xu and Matthew B. A. McDermott and Tristan Naumann and Monica Agrawal and Marinka Zitnik and Berk Ustun and Edward Choi and Kristen Yeom and Gamze G{\"{u}}rsoy and Marzyeh Ghassemi and Emma Pierson and George H. Chen and Sanjat Kanjilal and Michael Oberst and Linying Zhang and Harvineet Singh and Tom Hartvigsen and Helen Zhou and Chinasa T. Okolo}, title = {Recent Advances, Applications, and Open Challenges in Machine Learning for Health: Reflections from Research Roundtables at {ML4H} 2023 Symposium}, journal = {CoRR}, volume = {abs/2403.01628}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01628}, doi = {10.48550/ARXIV.2403.01628}, eprinttype = {arXiv}, eprint = {2403.01628}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-02236, author = {Darryl Hannan and Steven C. Nesbit and Ximing Wen and Glen Smith and Qiao Zhang and Alberto Goffi and Vincent Chan and Michael J. Morris and John C. Hunninghake and Nicholas E. Villalobos and Edward Kim and Rosina O. Weber and Christopher J. MacLellan}, title = {Interpretable Models for Detecting and Monitoring Elevated Intracranial Pressure}, journal = {CoRR}, volume = {abs/2403.02236}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.02236}, doi = {10.48550/ARXIV.2403.02236}, eprinttype = {arXiv}, eprint = {2403.02236}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-02236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-13680, author = {Yiwei Lyu and Sung Jik Cha and Cheng Jiang and Asadur Chowdury and Xinhai Hou and Edward S. Harake and Akhil Kondepudi and Christian W. Freudiger and Honglak Lee and Todd C. Hollon}, title = {Step-Calibrated Diffusion for Biomedical Optical Image Restoration}, journal = {CoRR}, volume = {abs/2403.13680}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.13680}, doi = {10.48550/ARXIV.2403.13680}, eprinttype = {arXiv}, eprint = {2403.13680}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-13680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10491, author = {Mario M. Alvarez and Henry Arneson and Ben Berger and Lee Bousfield and Chris Buckland and Yafah Edelman and Edward W. Felten and Daniel Goldman and Raul Jordan and Mahimna Kelkar and Akaki Mamageishvili and Harry Ng and Aman Sanghi and Victor Shoup and Terence Tsao}, title = {BoLD: Fast and Cheap Dispute Resolution}, journal = {CoRR}, volume = {abs/2404.10491}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10491}, doi = {10.48550/ARXIV.2404.10491}, eprinttype = {arXiv}, eprint = {2404.10491}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10491.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-00344, author = {Zhichuan Wang and Kinhei Lee and Qiao Deng and Tiffany Y. So and Wan Hang Chiu and Yeung Yu Hui and Bingjing Zhou and Edward S. Hui}, title = {Expert Insight-Enhanced Follow-up Chest X-Ray Summary Generation}, journal = {CoRR}, volume = {abs/2405.00344}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.00344}, doi = {10.48550/ARXIV.2405.00344}, eprinttype = {arXiv}, eprint = {2405.00344}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-00344.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-12117, author = {Peter Donovan and Erling Rennemo Jellum and Byeong{-}Gil Jun and Hokeun Kim and Edward A. Lee and Shaokai Lin and Marten Lohstroh and Anirudh Rengarajan}, title = {Strongly-Consistent Distributed Discrete-event Systems}, journal = {CoRR}, volume = {abs/2405.12117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.12117}, doi = {10.48550/ARXIV.2405.12117}, eprinttype = {arXiv}, eprint = {2405.12117}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-12117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16021, author = {Michael Ahn and Montserrat Gonzalez Arenas and Matthew Bennice and Noah Brown and Christine Chan and Byron David and Anthony G. Francis and Gavin Gonzalez and Rainer Hessmer and Tomas Jackson and Nikhil J. Joshi and Daniel Lam and Tsang{-}Wei Edward Lee and Alex Luong and Sharath Maddineni and Harsh Patel and Jodilyn Peralta and Jornell Quiambao and Diego Reyes and Rosario Jauregui Ruano and Dorsa Sadigh and Pannag Sanketi and Leila Takayama and Pavel Vodenski and Fei Xia}, title = {{VADER:} Visual Affordance Detection and Error Recovery for Multi Robot Human Collaboration}, journal = {CoRR}, volume = {abs/2405.16021}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16021}, doi = {10.48550/ARXIV.2405.16021}, eprinttype = {arXiv}, eprint = {2405.16021}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-06206, author = {Ximing Wen and Rosina O. Weber and Anik Sen and Darryl Hannan and Steven C. Nesbit and Vincent Chan and Alberto Goffi and Michael J. Morris and John C. Hunninghake and Nicholas E. Villalobos and Edward Kim and Christopher J. MacLellan}, title = {The Impact of an XAI-Augmented Approach on Binary Classification with Scarce Data}, journal = {CoRR}, volume = {abs/2407.06206}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.06206}, doi = {10.48550/ARXIV.2407.06206}, eprinttype = {arXiv}, eprint = {2407.06206}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-06206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07775, author = {Hao{-}Tien Lewis Chiang and Zhuo Xu and Zipeng Fu and Mithun George Jacob and Tingnan Zhang and Tsang{-}Wei Edward Lee and Wenhao Yu and Connor Schenck and David Rendleman and Dhruv Shah and Fei Xia and Jasmine Hsu and Jonathan Hoech and Pete Florence and Sean Kirmani and Sumeet Singh and Vikas Sindhwani and Carolina Parada and Chelsea Finn and Peng Xu and Sergey Levine and Jie Tan}, title = {Mobility {VLA:} Multimodal Instruction Navigation with Long-Context VLMs and Topological Graphs}, journal = {CoRR}, volume = {abs/2407.07775}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07775}, doi = {10.48550/ARXIV.2407.07775}, eprinttype = {arXiv}, eprint = {2407.07775}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07775.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03541, author = {Soyoung An and Kyunghoon Bae and Eunbi Choi and Stanley Jungkyu Choi and Yemuk Choi and Seokhee Hong and Yeonjung Hong and Junwon Hwang and Hyojin Jeon and Gerrard Jeongwon Jo and Hyunjik Jo and Jiyeon Jung and Yountae Jung and Euisoon Kim and Hyosang Kim and Joonkee Kim and Seonghwan Kim and Soyeon Kim and Sunkyoung Kim and Yireun Kim and Youchul Kim and Edward Hwayoung Lee and Haeju Lee and Honglak Lee and Jinsik Lee and Kyungmin Lee and Moontae Lee and Seungjun Lee and Woohyung Lim and Sangha Park and Sooyoun Park and Yongmin Park and Boseong Seo and Sihoon Yang and Heuiyeen Yeen and Kyungjae Yoo and Hyeongu Yun}, title = {{EXAONE} 3.0 7.8B Instruction Tuned Language Model}, journal = {CoRR}, volume = {abs/2408.03541}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03541}, doi = {10.48550/ARXIV.2408.03541}, eprinttype = {arXiv}, eprint = {2408.03541}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-12604, author = {Thomas Helmuth and Edward R. Pantridge and James Gunder Frazier and Lee Spector}, title = {Generational Computation Reduction in Informal Counterexample-Driven Genetic Programming}, journal = {CoRR}, volume = {abs/2408.12604}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.12604}, doi = {10.48550/ARXIV.2408.12604}, eprinttype = {arXiv}, eprint = {2408.12604}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-12604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GeistlingerVLPHG23, author = {Ludwig Geistlinger and Roger Vargas and Tyrone Lee and Joshua Pan and Edward L. Huttlin and Robert Gentleman}, title = {BioPlexR and BioPlexPy: integrated data products for the analysis of human protein interactions}, journal = {Bioinform.}, volume = {39}, number = {3}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad091}, doi = {10.1093/BIOINFORMATICS/BTAD091}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/GeistlingerVLPHG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/JohnstonBSHJKLMMCF23, author = {Edward W. Johnston and Jodie Basso and Francisca Silva and Arafat Haris and Robin L. Jones and Nasir Khan and Helen Lawrence and Jakob F. Mathiszig{-}Lee and James McCall and David C. Cunningham and Nicos Fotiadis}, title = {Robotic versus freehand CT-guided radiofrequency ablation of pulmonary metastases: a comparative cohort study}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {18}, number = {10}, pages = {1819--1828}, year = {2023}, url = {https://doi.org/10.1007/s11548-023-02895-1}, doi = {10.1007/S11548-023-02895-1}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cars/JohnstonBSHJKLMMCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LeeCSHW23, author = {Chia{-}Rong Lee and Edward T.{-}H. Chu and Hong{-}Cheng Shen and Juin Hsu and Hui{-}Mei Wu}, title = {An indoor location-based hospital porter management system and trace analysis}, journal = {Health Informatics J.}, volume = {29}, number = {2}, year = {2023}, url = {https://doi.org/10.1177/14604582231183399}, doi = {10.1177/14604582231183399}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LeeCSHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SwaminathanLWSTBWRCBALMSMCLTCG23, author = {Akshay Swaminathan and Iv{\'{a}}n L{\'{o}}pez and William Wang and Ujwal Srivastava and Edward Tran and Aarohi Bhargava{-}Shah and Janet Y. Wu and Alexander L. Ren and Kaitlin Caoili and Brandon Bui and Layth Alkhani and Susan Lee and Nathan Mohit and Noel Seo and Nicholas Macedo and Winson Cheng and Charles Liu and Reena Thomas and Jonathan H. Chen and Olivier Gevaert}, title = {Selective prediction for extracting unstructured clinical data}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {188--197}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad182}, doi = {10.1093/JAMIA/OCAD182}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SwaminathanLWSTBWRCBALMSMCLTCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/CaseABCCCFGGGIKKKKLLLLLMMNOOPPQRR23, author = {David A. Case and Hasan Metin Aktulga and Kellon Belfon and David S. Cerutti and G. Andr{\'{e}}s Cisneros and Vin{\'{\i}}cius Wilian D. Cruzeiro and Negin Forouzesh and Timothy J. Giese and Andreas W. G{\"{o}}tz and Holger Gohlke and Saeed Izadi and Koushik Kasavajhala and Mehmet Cagri Kaymak and Edward King and Tom Kurtzman and Tai{-}Sung Lee and Pengfei Li and Jian Liu and Tyler Luchko and Ray Luo and Madushanka Manathunga and Mat{\'{\i}}as R. Machado and Hai Minh Nguyen and Kurt A. O'Hearn and Alexey V. Onufriev and Feng Pan and Sergio Pantano and Ruxi Qi and Ali Rahnamoun and Ali Risheh and Stephan Schott{-}Verdugo and Akhil Shajan and Jason M. Swails and Junmei Wang and Haixin Wei and Xiongwu Wu and Yongxian Wu and Shi Zhang and Shiji Zhao and Qiang Zhu and Thomas E. Cheatham and Daniel R. Roe and Adrian E. Roitberg and Carlos Simmerling and Darrin M. York and Maria C. Nagan and Kenneth M. Merz Jr.}, title = {AmberTools}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {20}, pages = {6183--6191}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c01153}, doi = {10.1021/ACS.JCIM.3C01153}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/CaseABCCCFGGGIKKKKLLLLLMMNOOPPQRR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MannemPELL023, author = {Naga Sasikanth Mannem and Jeongsoo Park and Elham Erfani and Edward Liu and Jeongseok Lee and Hua Wang}, title = {A Reconfigurable Phase-Time Array Transmitter Achieving Keyless Secured Transmission and Multi-Receiver Localization for Low-Latency Joint Communication and Sensing}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {7}, pages = {1898--1912}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3237462}, doi = {10.1109/JSSC.2023.3237462}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MannemPELL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/TerlouwBNACELMRRSMTZAAAAAAABBBBBBCC23, author = {Barbara R. Terlouw and Kai Blin and Jorge C. Navarro{-}Mu{\~{n}}oz and Nicole E. Avalon and Marc G. Chevrette and Susan Egbert and Sanghoon Lee and David Meijer and Michael J. Recchia and Zachary L. Reitz and Jeffrey A. van Santen and Nelly Selem Mojica and Thomas T{\o}rring and Liana Zaroubi and Mohammad Alanjary and Gajender Aleti and C{\'{e}}sar Aguilar and Suhad A. Al{-}Salihi and Hannah E. Augustijn and J. Abraham Avelar{-}Rivas and Luis A. Avitia{-}Dom{\'{\i}}nguez and Francisco Barona{-}G{\'{o}}mez and Jordan Bernaldo{-}Ag{\"{u}}ero and Vincent A. Bielinski and Friederike Biermann and Thomas J. Booth and J. Carrion Bravo and Raquel Castelo{-}Branco and Fernanda O. Chagas and Pablo Cruz{-}Morales and Chao Du and Katherine R. Duncan and Athina Gavriilidou and Damien Gayrard and Karina Guti{\'{e}}rrez{-}Garc{\'{\i}}a and Kristina Haslinger and Eric J. N. Helfrich and Justin J. J. van der Hooft and Afif P. Jati and Edward Kalkreuter and Nikolaos Kalyvas and Kyo Bin Kang and Satria A. Kautsar and Wonyong Kim and Aditya M. Kunjapur and Yong{-}Xin Li and Geng{-}Min Lin and Catarina Loureiro and Joris J. R. Louwen and Nico l L. Louwen and George Lund and Jonathan Parra and Benjamin Philmus and Bita Pourmohsenin and Lotte U. Pronk and Adriana Rego and Devasahayam Arokia Balaya Rex and Serina L. Robinson and L. Rodrigo Rosas{-}Becerra and Eve T. Roxborough and Michelle A. Schorn and Darren J. Scobie and Kumar Saurabh Singh and Nika Sokolova and Xiaoyu Tang and Daniel W. Udwary and Aruna Vigneshwari and Kristiina Vind and Sophie P. J. M. Vromans and Valentin Waschulin and Sam E. Williams and Jaclyn M. Winter and Thomas E. Witte and Huali Xie and Dong Yang and Jingwei Yu and Mitja Zdouc and Zheng Zhong and J{\'{e}}r{\^{o}}me Collemare and Roger G. Linington and Tilmann Weber and Marnix H. Medema}, title = {MIBiG 3.0: a community-driven effort to annotate experimentally validated biosynthetic gene clusters}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {603--610}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac1049}, doi = {10.1093/NAR/GKAC1049}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/TerlouwBNACELMRRSMTZAAAAAAABBBBBBCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YuenPHTSLL23, author = {Kai Wan Yuen and Edward Park and Melda Hazrina and Muh Taufik and Putu Santikayasa and Edgardo Latrubesse and Janice Ser Huay Lee}, title = {A Comprehensive Database of Indonesian Dams and Its Spatial Distribution}, journal = {Remote. Sens.}, volume = {15}, number = {4}, pages = {925}, year = {2023}, url = {https://doi.org/10.3390/rs15040925}, doi = {10.3390/RS15040925}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YuenPHTSLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MuhammadLHNAJSKC23, author = {Riaz Muhammad and Seok{-}Ho Lee and Kay Thwe Htun and Ezekiel Edward Nettey{-}Oppong and Ahmed Ali and Hyun{-}Woo Jeong and Young{-}Seek Seok and Seong{-}Wan Kim and Seung{-}Ho Choi}, title = {Customized Integrating-Sphere System for Absolute Color Measurement of Silk Cocoon with Corrugated Microstructure}, journal = {Sensors}, volume = {23}, number = {24}, pages = {9778}, year = {2023}, url = {https://doi.org/10.3390/s23249778}, doi = {10.3390/S23249778}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MuhammadLHNAJSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsaiCL23a, author = {Ming{-}Chih Tsai and Edward T.{-}H. Chu and Chia{-}Rong Lee}, title = {An Automated Sitting Posture Recognition System Utilizing Pressure Sensors}, journal = {Sensors}, volume = {23}, number = {13}, pages = {5894}, year = {2023}, url = {https://doi.org/10.3390/s23135894}, doi = {10.3390/S23135894}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TsaiCL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/MenardLBCDDFLSTKCL23, author = {Christian Menard and Marten Lohstroh and Soroush Bateni and Matthew Chorlian and Arthur Deng and Peter Donovan and Cl{\'{e}}ment Fournier and Shaokai Lin and Felix Suchert and Tassilo Tanneberger and Hokeun Kim and Jer{\'{o}}nimo Castrill{\'{o}}n and Edward A. Lee}, title = {High-performance Deterministic Concurrency Using Lingua Franca}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {20}, number = {4}, pages = {48:1--48:29}, year = {2023}, url = {https://doi.org/10.1145/3617687}, doi = {10.1145/3617687}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/MenardLBCDDFLSTKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HannanNWSZGCMHV23, author = {Darryl Hannan and Steven C. Nesbit and Ximing Wen and Glen Smith and Qiao Zhang and Alberto Goffi and Vincent Chan and Michael J. Morris and John C. Hunninghake and Nicholas E. Villalobos and Edward Kim and Rosina O. Weber and Christopher J. MacLellan}, title = {MobilePTX: Sparse Coding for Pneumothorax Detection Given Limited Training Examples}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {15675--15681}, year = {2023}, crossref = {DBLP:conf/aaai/2023}, url = {https://doi.org/10.1609/aaai.v37i13.26859}, doi = {10.1609/AAAI.V37I13.26859}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HannanNWSZGCMHV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/LeePV23, author = {Chin Ho Lee and Edward Pyne and Salil P. Vadhan}, title = {On the Power of Regular and Permutation Branching Programs}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2023, September 11-13, 2023, Atlanta, Georgia, {USA}}, pages = {44:1--44:22}, year = {2023}, crossref = {DBLP:conf/approx/2023}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2023.44}, doi = {10.4230/LIPICS.APPROX/RANDOM.2023.44}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/approx/LeePV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/Si-MohammedHWGL23, author = {Hakim Si{-}Mohammed and Christian Holz and Andrew D. Wilson and Hannes Gamper and Adrian K. C. Lee and Dimitra Emmanouilidou and Edward Cutrell and Ivan Tashev}, title = {On the Effect of Size and Contrast of the {SSVEP} Visual Stimuations on Classification Accuracy and User-Friendliness in Virtual Reality}, booktitle = {11th International Winter Conference on Brain-Computer Interface, {BCI} 2023, Gangwon, Korea, Republic of, February 20-22, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/bci3/2023}, url = {https://doi.org/10.1109/BCI57258.2023.10078459}, doi = {10.1109/BCI57258.2023.10078459}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/Si-MohammedHWGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/LeeHKYC23, author = {Min Jae Lee and Kyunghoon Hur and Jiyoun Kim and Jinsung Yoon and Edward Choi}, title = {Rediscovery of CNN's Versatility for Text-based Encoding of Raw Electronic Health Records}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2023, Broad Institute of {MIT} and Harvard (Merkin Building), 415 Main Street, Cambridge, MA, {USA}}, pages = {294--313}, year = {2023}, crossref = {DBLP:conf/chil/2023}, url = {https://proceedings.mlr.press/v209/lee23a.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chil/LeeHKYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/ZitkovichYXXXXW23, author = {Brianna Zitkovich and Tianhe Yu and Sichun Xu and Peng Xu and Ted Xiao and Fei Xia and Jialin Wu and Paul Wohlhart and Stefan Welker and Ayzaan Wahid and Quan Vuong and Vincent Vanhoucke and Huong T. Tran and Radu Soricut and Anikait Singh and Jaspiar Singh and Pierre Sermanet and Pannag R. Sanketi and Grecia Salazar and Michael S. Ryoo and Krista Reymann and Kanishka Rao and Karl Pertsch and Igor Mordatch and Henryk Michalewski and Yao Lu and Sergey Levine and Lisa Lee and Tsang{-}Wei Edward Lee and Isabel Leal and Yuheng Kuang and Dmitry Kalashnikov and Ryan Julian and Nikhil J. Joshi and Alex Irpan and Brian Ichter and Jasmine Hsu and Alexander Herzog and Karol Hausman and Keerthana Gopalakrishnan and Chuyuan Fu and Pete Florence and Chelsea Finn and Kumar Avinava Dubey and Danny Driess and Tianli Ding and Krzysztof Marcin Choromanski and Xi Chen and Yevgen Chebotar and Justice Carbajal and Noah Brown and Anthony Brohan and Montserrat Gonzalez Arenas and Kehang Han}, title = {{RT-2:} Vision-Language-Action Models Transfer Web Knowledge to Robotic Control}, booktitle = {Conference on Robot Learning, CoRL 2023, 6-9 November 2023, Atlanta, GA, {USA}}, pages = {2165--2183}, year = {2023}, crossref = {DBLP:conf/corl/2023}, url = {https://proceedings.mlr.press/v229/zitkovich23a.html}, timestamp = {Tue, 20 Feb 2024 12:11:46 +0100}, biburl = {https://dblp.org/rec/conf/corl/ZitkovichYXXXXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/Schulz-Rosengarten23, author = {Alexander Schulz{-}Rosengarten and Reinhard von Hanxleden and Marten Lohstroh and Edward A. Lee and Soroush Bateni}, title = {Polyglot Modal Models through Lingua Franca}, booktitle = {Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, CPS-IoT Week 2023 Workshops, San Antonio, TX, USA, May 9-12, 2023}, pages = {337--342}, year = {2023}, crossref = {DBLP:conf/cpsweek/2023w}, url = {https://doi.org/10.1145/3576914.3587498}, doi = {10.1145/3576914.3587498}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/Schulz-Rosengarten23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchulzRosengartenHLBL23, author = {Alexander Schulz{-}Rosengarten and Reinhard von Hanxleden and Marten Lohstroh and Soroush Bateni and Edward A. Lee}, title = {Polyglot Modal Models through Lingua Franca}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/date/2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136890}, doi = {10.23919/DATE56975.2023.10136890}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/SchulzRosengartenHLBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/JellumLDSSBOLL23, author = {Erling Rennemo Jellum and Shaokai Lin and Peter Donovan and Efsane Soyer and Fuzail Shakir and Torleiv H. Bryne and Milica Orlandic and Marten Lohstroh and Edward A. Lee}, title = {Beyond the Threaded Programming Model on Real-Time Operating Systems}, booktitle = {Fourth Workshop on Next Generation Real-Time Embedded Systems, {NG-RES} 2023, January 18, 2023, Toulouse, France}, pages = {3:1--3:13}, year = {2023}, crossref = {DBLP:conf/hipeac/2023ngres}, url = {https://doi.org/10.4230/OASIcs.NG-RES.2023.3}, doi = {10.4230/OASICS.NG-RES.2023.3}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/JellumLDSSBOLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LuoXLLYZTLS23, author = {Mulong Luo and Wenjie Xiong and Geunbae Lee and Yueying Li and Xiaomeng Yang and Amy Zhang and Yuandong Tian and Hsien{-}Hsin S. Lee and G. Edward Suh}, title = {AutoCAT: Reinforcement Learning for Automated Exploration of Cache-Timing Attacks}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {317--332}, year = {2023}, crossref = {DBLP:conf/hpca/2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10070947}, doi = {10.1109/HPCA56546.2023.10070947}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LuoXLLYZTLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChungKKJLC23, author = {Hyunseung Chung and Jiho Kim and Joon{-}Myoung Kwon and Ki{-}Hyun Jeon and Min Sung Lee and Edward Choi}, title = {Text-to-ECG: 12-Lead Electrocardiogram Synthesis Conditioned on Clinical Text Reports}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, year = {2023}, crossref = {DBLP:conf/icassp/2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096898}, doi = {10.1109/ICASSP49357.2023.10096898}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChungKKJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/CuiYLLSLLS0T23, author = {Jiaxun Cui and Xiaomeng Yang and Mulong Luo and Geunbae Lee and Peter Stone and Hsien{-}Hsin S. Lee and Benjamin Lee and G. Edward Suh and Wenjie Xiong and Yuandong Tian}, title = {{MACTA:} {A} Multi-agent Reinforcement Learning Approach for Cache Timing Attacks and Detection}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, year = {2023}, crossref = {DBLP:conf/iclr/2023}, url = {https://openreview.net/forum?id=CDlHZ78-Xzi}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/CuiYLLSLLS0T23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KariyappaGM0SQL23, author = {Sanjay Kariyappa and Chuan Guo and Kiwan Maeng and Wenjie Xiong and G. Edward Suh and Moinuddin K. Qureshi and Hsien{-}Hsin S. Lee}, title = {Cocktail Party Attack: Breaking Aggregation-Based Privacy in Federated Learning Using Independent Component Analysis}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, pages = {15884--15899}, year = {2023}, crossref = {DBLP:conf/icml/2023}, url = {https://proceedings.mlr.press/v202/kariyappa23a.html}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KariyappaGM0SQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WeiHTSCDOBL23, author = {Ying Wei and Yi Chieh Huang and Haiming Tang and Nithya Sankaran and Ish Chadha and Dai Dai and Olakanmi Oluwole and Vishnu Balan and Edward Lee}, title = {NVLink-C2C: {A} Coherent Off Package Chip-to-Chip Interconnect with 40Gbps/pin Single-ended Signaling}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {160--161}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067395}, doi = {10.1109/ISSCC42615.2023.10067395}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WeiHTSCDOBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/BateniLWKLML23, author = {Soroush Bateni and Marten Lohstroh and Hou Seng Wong and Hokeun Kim and Shaokai Lin and Christian Menard and Edward A. Lee}, title = {Risk and Mitigation of Nondeterminism in Distributed Cyber-Physical Systems}, booktitle = {21st {ACM-IEEE} International Symposium on Formal Methods and Models for System Design, {MEMOCODE} 2023, Hamburg, Germany, September 21-22, 2023}, pages = {1--11}, year = {2023}, crossref = {DBLP:conf/memocode/2023}, url = {https://ieeexplore.ieee.org/document/10316195}, timestamp = {Fri, 15 Dec 2023 12:01:04 +0100}, biburl = {https://dblp.org/rec/conf/memocode/BateniLWKLML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlhc/LeeLHHCAL23, author = {Kwanhyung Lee and Soojeong Lee and Sangchul Hahn and Heejung Hyun and Edward Choi and Byungeun Ahn and Joohyung Lee}, title = {Learning Missing Modal Electronic Health Records with Unified Multi-modal Data Embedding and Modality-Aware Attention}, booktitle = {Machine Learning for Healthcare Conference, {MLHC} 2023, 11-12 August 2023, New York, {USA}}, pages = {423--442}, year = {2023}, crossref = {DBLP:conf/mlhc/2023}, url = {https://proceedings.mlr.press/v219/lee23a.html}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlhc/LeeLHHCAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/respect/KaneriaEHCNKPLM23, author = {A. Jyoti Kaneria and Doug Edwards and Diley Hern{\'{a}}ndez and Joseph Carroll{-}Miranda and Rafael Arce Nazario and Jayma Koval and Pascua Padr{\'{o}}{-}Collazo and Taneisha Lee and Tom McKlin and Jason Freeman and Isaris R. Qui{\~{n}}ones P{\'{e}}rez and Lilliana Marrero{-}Solis}, title = {Equity Pedagogies in CSed: {A} Critical-Sociocultural and Humanizing Perspective for Latinx Youth}, booktitle = {Conference on Research in Equitable and Sustained Participation in Engineering, Computing, and Technology, {RESPECT} 2023, Atlanta, GA, USA, June 20-21, 2023}, pages = {17--20}, year = {2023}, crossref = {DBLP:conf/respect/2023}, url = {https://doi.org/10.1109/RESPECT60069.2023.00015}, doi = {10.1109/RESPECT60069.2023.00015}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/respect/KaneriaEHCNKPLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tml4h/LeeWHHCL23, author = {Kwanhyung Lee and John Won and Heejung Hyun and Sangchul Hahn and Edward Choi and Joohyung Lee}, title = {Self-supervised Predictive Coding with Multimodal Fusion for Patient Deterioration Prediction in Fine-Grained Time Resolution}, booktitle = {Trustworthy Machine Learning for Healthcare - First International Workshop, {TML4H} 2023, Virtual Event, May 4, 2023, Proceedings}, pages = {41--50}, year = {2023}, crossref = {DBLP:conf/tml4h/2023}, url = {https://doi.org/10.1007/978-3-031-39539-0\_4}, doi = {10.1007/978-3-031-39539-0\_4}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tml4h/LeeWHHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/23/MelcerLSKTCETLMKL23, author = {Edward F. Melcer and Cara A. Liebert and Samuel Shields and Oleksandra G. Keehl and Jason Tsai and Fatyma Camacho and Hyrum Eddington and Amber Trickey and Melissa Lee and Sylvia Bereknyei Merrell and James R. Korndorffer and Dana T. Lin}, title = {{ENTRUST:} Co-design and Validation of a Serious Game for Assessing Clinical Decision-Making and Readiness for Entrustment}, booktitle = {Software Engineering for Games in Serious Contexts - Theories, Methods, Tools, and Experiences}, pages = {85--116}, year = {2023}, crossref = {DBLP:books/sp/23/CB2023}, url = {https://doi.org/10.1007/978-3-031-33338-5\_5}, doi = {10.1007/978-3-031-33338-5\_5}, timestamp = {Tue, 07 May 2024 19:59:13 +0200}, biburl = {https://dblp.org/rec/books/sp/23/MelcerLSKTCETLMKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02444, author = {Christian Menard and Marten Lohstroh and Soroush Bateni and Matthew Chorlian and Arthur Deng and Peter Donovan and Cl{\'{e}}ment Fournier and Shaokai Lin and Felix Suchert and Tassilo Tanneberger and Hokeun Kim and Jer{\'{o}}nimo Castrill{\'{o}}n and Edward A. Lee}, title = {High-Performance Deterministic Concurrency using Lingua Franca}, journal = {CoRR}, volume = {abs/2301.02444}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02444}, doi = {10.48550/ARXIV.2301.02444}, eprinttype = {arXiv}, eprint = {2301.02444}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-07695, author = {Gyubok Lee and Hyeonji Hwang and Seongsu Bae and Yeonsu Kwon and Woncheol Shin and Seongjun Yang and Minjoon Seo and Jong{-}Yeup Kim and Edward Choi}, title = {{EHRSQL:} {A} Practical Text-to-SQL Benchmark for Electronic Health Records}, journal = {CoRR}, volume = {abs/2301.07695}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.07695}, doi = {10.48550/ARXIV.2301.07695}, eprinttype = {arXiv}, eprint = {2301.07695}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-07695.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09597, author = {Alexander Schulz{-}Rosengarten and Reinhard von Hanxleden and Marten Lohstroh and Soroush Bateni and Edward A. Lee}, title = {Modal Reactors}, journal = {CoRR}, volume = {abs/2301.09597}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09597}, doi = {10.48550/ARXIV.2301.09597}, eprinttype = {arXiv}, eprint = {2301.09597}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09597.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-10904, author = {Maximilian Lam and Jeff Johnson and Wenjie Xiong and Kiwan Maeng and Udit Gupta and Yang Li and Liangzhen Lai and Ilias Leontiadis and Minsoo Rhu and Hsien{-}Hsin S. Lee and Vijay Janapa Reddi and Gu{-}Yeon Wei and David Brooks and G. Edward Suh}, title = {GPU-based Private Information Retrieval for On-Device Machine Learning Inference}, journal = {CoRR}, volume = {abs/2301.10904}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.10904}, doi = {10.48550/ARXIV.2301.10904}, eprinttype = {arXiv}, eprint = {2301.10904}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-10904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-01738, author = {Coen de Vente and Koenraad A. Vermeer and Nicolas Jaccard and He Wang and Hongyi Sun and Firas Khader and Daniel Truhn and Temirgali Aimyshev and Yerkebulan Zhanibekuly and Tien{-}Dung Le and Adrian Galdran and Miguel {\'{A}}ngel Gonz{\'{a}}lez Ballester and Gustavo Carneiro and Devika R. G and Hrishikesh P. S and Densen Puthussery and Hong Liu and Zekang Yang and Satoshi Kondo and Satoshi Kasai and Edward Wang and Ashritha Durvasula and J{\'{o}}nathan Heras and Miguel {\'{A}}ngel Zapata and Teresa Ara{\'{u}}jo and Guilherme Aresta and Hrvoje Bogunovic and Mustafa Arikan and Yeong Chan Lee and Hyun Bin Cho and Yoon Ho Choi and Abdul Qayyum and Imran Razzak and Bram van Ginneken and Hans G. Lemij and Clara I. S{\'{a}}nchez}, title = {{AIROGS:} Artificial Intelligence for RObust Glaucoma Screening Challenge}, journal = {CoRR}, volume = {abs/2302.01738}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.01738}, doi = {10.48550/ARXIV.2302.01738}, eprinttype = {arXiv}, eprint = {2302.01738}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-01738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12172, author = {Hyungyung Lee and Da Young Lee and Wonjae Kim and Jin{-}Hwa Kim and Tackeun Kim and Jihang Kim and Leonard Sunwoo and Edward Choi}, title = {Unified Chest X-ray and Radiology Report Generation Model with Multi-view Chest X-rays}, journal = {CoRR}, volume = {abs/2302.12172}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12172}, doi = {10.48550/ARXIV.2302.12172}, eprinttype = {arXiv}, eprint = {2302.12172}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-08290, author = {Eunbyeol Cho and Min Jae Lee and Kyunghoon Hur and Jiyoun Kim and Jinsung Yoon and Edward Choi}, title = {Rediscovery of CNN's Versatility for Text-based Encoding of Raw Electronic Health Records}, journal = {CoRR}, volume = {abs/2303.08290}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.08290}, doi = {10.48550/ARXIV.2303.08290}, eprinttype = {arXiv}, eprint = {2303.08290}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-08290.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09395, author = {Hyunseung Chung and Jiho Kim and Joon{-}Myoung Kwon and Ki{-}Hyun Jeon and Min Sung Lee and Edward Choi}, title = {Text-to-ECG: 12-Lead Electrocardiogram Synthesis conditioned on Clinical Text Reports}, journal = {CoRR}, volume = {abs/2303.09395}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09395}, doi = {10.48550/ARXIV.2303.09395}, eprinttype = {arXiv}, eprint = {2303.09395}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-12237, author = {Pulkit Khandelwal and Michael Tran Duong and Shokufeh Sadaghiani and Sydney Lim and Amanda Denning and Eunice Chung and Sadhana Ravikumar and Sanaz Arezoumandan and Claire Peterson and Madigan Bedard and Noah Capp and Ranjit Ittyerah and Elyse Migdal and Grace Choi and Emily Kopp and Bridget Loja and Eusha Hasan and Jiacheng Li and Karthik Prabhakaran and Gabor Mizsei and Marianna Gabrielyan and Theresa Schuck and Winifred Trotman and John L. Robinson and Daniel T. Ohm and Edward B. Lee and John Q. Trojanowski and Corey McMillan and Murray Grossman and David J. Irwin and John A. Detre and M. Dylan Tisdall and Sandhitsu R. Das and Laura E. M. Wisse and David A. Wolk and Paul A. Yushkevich}, title = {Automated deep learning segmentation of high-resolution 7 {T} ex vivo {MRI} for quantitative analysis of structure-pathology correlations in neurodegenerative diseases}, journal = {CoRR}, volume = {abs/2303.12237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.12237}, doi = {10.48550/ARXIV.2303.12237}, eprinttype = {arXiv}, eprint = {2303.12237}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-12237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13567, author = {Edward H. Lee and Brendan Kelly and Emre Altinmakas and Hakan Dogan and Errol Colak and Steve Fu and Olivia Choudhury and Ujjwal Ratan and Felipe Kitamura and Hernan Chaves and Mourad Said and Eduardo Pontes Reis and Jaekwang Lim and Patricia Yokoo and Corie Mitchell and Jimmy Zheng and Maryam Mohammadzadeh and Golnaz Houshmand and Wendy Qiu and Joel Hayden and Farnaz Rafiee and Chad Klochko and Nicholas Bevins and S. Simon Wong and Safwan Halabi and Kristen W. Yeom}, title = {Federated Learning on Heterogenous Data using Chest {CT}}, journal = {CoRR}, volume = {abs/2303.13567}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13567}, doi = {10.48550/ARXIV.2303.13567}, eprinttype = {arXiv}, eprint = {2303.13567}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13567.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-02504, author = {Kwanhyung Lee and Soojeong Lee and Sangchul Hahn and Heejung Hyun and Edward Choi and Byungeun Ahn and Joohyung Lee}, title = {Learning Missing Modal Electronic Health Records with Unified Multi-modal Data Embedding and Modality-Aware Attention}, journal = {CoRR}, volume = {abs/2305.02504}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.02504}, doi = {10.48550/ARXIV.2305.02504}, eprinttype = {arXiv}, eprint = {2305.02504}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-02504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14654, author = {Ken Caluwaerts and Atil Iscen and J. Chase Kew and Wenhao Yu and Tingnan Zhang and Daniel Freeman and Kuang{-}Huei Lee and Lisa Lee and Stefano Saliceti and Vincent Zhuang and Nathan Batchelor and Steven Bohez and Federico Casarini and Jos{\'{e}} Enrique Chen and Omar Cortes and Erwin Coumans and Adil Dostmohamed and Gabriel Dulac{-}Arnold and Alejandro Escontrela and Erik Frey and Roland Hafner and Deepali Jain and Bauyrjan Jyenis and Yuheng Kuang and Tsang{-}Wei Edward Lee and Linda Luu and Ofir Nachum and Ken Oslund and Jason Powell and Diego Reyes and Francesco Romano and Fereshteh Sadeghi and Ron Sloat and Baruch Tabanpour and Daniel Zheng and Michael Neunert and Raia Hadsell and Nicolas Heess and Francesco Nori and Jeff Seto and Carolina Parada and Vikas Sindhwani and Vincent Vanhoucke and Jie Tan}, title = {Barkour: Benchmarking Animal-level Agility with Quadruped Robots}, journal = {CoRR}, volume = {abs/2305.14654}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14654}, doi = {10.48550/ARXIV.2305.14654}, eprinttype = {arXiv}, eprint = {2305.14654}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-20050, author = {Hunter Lightman and Vineet Kosaraju and Yura Burda and Harri Edwards and Bowen Baker and Teddy Lee and Jan Leike and John Schulman and Ilya Sutskever and Karl Cobbe}, title = {Let's Verify Step by Step}, journal = {CoRR}, volume = {abs/2305.20050}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.20050}, doi = {10.48550/ARXIV.2305.20050}, eprinttype = {arXiv}, eprint = {2305.20050}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-20050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-03235, author = {Trishita Tiwari and Suchin Gururangan and Chuan Guo and Weizhe Hua and Sanjay Kariyappa and Udit Gupta and Wenjie Xiong and Kiwan Maeng and Hsien{-}Hsin S. Lee and G. Edward Suh}, title = {Information Flow Control in Machine Learning through Modular Model Architecture}, journal = {CoRR}, volume = {abs/2306.03235}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.03235}, doi = {10.48550/ARXIV.2306.03235}, eprinttype = {arXiv}, eprint = {2306.03235}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-03235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16740, author = {Anthony G. Francis and Claudia P{\'{e}}rez{-}D'Arpino and Chengshu Li and Fei Xia and Alexandre Alahi and Rachid Alami and Aniket Bera and Abhijat Biswas and Joydeep Biswas and Rohan Chandra and Hao{-}Tien Lewis Chiang and Michael Everett and Sehoon Ha and Justin W. Hart and Jonathan P. How and Haresh Karnan and Tsang{-}Wei Edward Lee and Luis J. Manso and Reuth Mirsky and S{\"{o}}ren Pirk and Phani{-}Teja Singamaneni and Peter Stone and Ada V. Taylor and Peter Trautman and Nathan Tsoi and Marynel V{\'{a}}zquez and Xuesu Xiao and Peng Xu and Naoki Yokoyama and Alexander Toshev and Roberto Martin Martin}, title = {Principles and Guidelines for Evaluating Social Robot Navigation Algorithms}, journal = {CoRR}, volume = {abs/2306.16740}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16740}, doi = {10.48550/ARXIV.2306.16740}, eprinttype = {arXiv}, eprint = {2306.16740}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-11261, author = {Anita Rau and Sophia Bano and Yueming Jin and Pablo Azagra and Javier Morlana and Edward Sanderson and Bogdan J. Matuszewski and Jae Young Lee and Dong{-}Jae Lee and Erez Posner and Netanel Frank and Varshini Elangovan and Sista Raviteja and Zhengwen Li and Jiquan Liu and Seenivasan Lalithkumar and Mobarakol Islam and Hongliang Ren and Jos{\'{e}} M. M. Montiel and Danail Stoyanov}, title = {SimCol3D - 3D Reconstruction during Colonoscopy Challenge}, journal = {CoRR}, volume = {abs/2307.11261}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.11261}, doi = {10.48550/ARXIV.2307.11261}, eprinttype = {arXiv}, eprint = {2307.11261}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-11261.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-15818, author = {Anthony Brohan and Noah Brown and Justice Carbajal and Yevgen Chebotar and Xi Chen and Krzysztof Choromanski and Tianli Ding and Danny Driess and Avinava Dubey and Chelsea Finn and Pete Florence and Chuyuan Fu and Montse Gonzalez Arenas and Keerthana Gopalakrishnan and Kehang Han and Karol Hausman and Alexander Herzog and Jasmine Hsu and Brian Ichter and Alex Irpan and Nikhil J. Joshi and Ryan Julian and Dmitry Kalashnikov and Yuheng Kuang and Isabel Leal and Lisa Lee and Tsang{-}Wei Edward Lee and Sergey Levine and Yao Lu and Henryk Michalewski and Igor Mordatch and Karl Pertsch and Kanishka Rao and Krista Reymann and Michael S. Ryoo and Grecia Salazar and Pannag Sanketi and Pierre Sermanet and Jaspiar Singh and Anikait Singh and Radu Soricut and Huong T. Tran and Vincent Vanhoucke and Quan Vuong and Ayzaan Wahid and Stefan Welker and Paul Wohlhart and Jialin Wu and Fei Xia and Ted Xiao and Peng Xu and Sichun Xu and Tianhe Yu and Brianna Zitkovich}, title = {{RT-2:} Vision-Language-Action Models Transfer Web Knowledge to Robotic Control}, journal = {CoRR}, volume = {abs/2307.15818}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.15818}, doi = {10.48550/ARXIV.2307.15818}, eprinttype = {arXiv}, eprint = {2307.15818}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-15818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00237, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, journal = {CoRR}, volume = {abs/2309.00237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00237}, doi = {10.48550/ARXIV.2309.00237}, eprinttype = {arXiv}, eprint = {2309.00237}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00217, author = {Sanguk Lee and Tai{-}Quan Peng and Matthew H. Goldberg and Seth A. Rosenthal and John E. Kotcher and Edward W. Maibach and Anthony Leiserowitz}, title = {Can Large Language Models Capture Public Opinion about Global Warming? An Empirical Assessment of Algorithmic Fidelity and Bias}, journal = {CoRR}, volume = {abs/2311.00217}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00217}, doi = {10.48550/ARXIV.2311.00217}, eprinttype = {arXiv}, eprint = {2311.00217}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/LeePV23, author = {Chin Ho Lee and Edward Pyne and Salil P. Vadhan}, title = {On the Power of Regular and Permutation Branching Programs}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR23-102}}, year = {2023}, url = {https://eccc.weizmann.ac.il/report/2023/102}, eprinttype = {ECCC}, eprint = {TR23-102}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/LeePV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/TehRPPHMC22, author = {Phoey Lee Teh and Paul Rayson and Irina Pak and Scott Piao and Jessica Sze Yin Ho and Andrew Moore and Yu{-}N Cheah}, title = {Textual variations affect human judgements of sentiment values}, journal = {Electron. Commer. Res. Appl.}, volume = {53}, pages = {101149}, year = {2022}, url = {https://doi.org/10.1016/j.elerap.2022.101149}, doi = {10.1016/J.ELERAP.2022.101149}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecra/TehRPPHMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hovhannes Melikyan and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: {TEAM} CoSTAR's Robotic Autonomy Solution that Won Phase {II} of {DARPA} Subterranean Challenge}, journal = {Field Robotics}, volume = {2}, number = {1}, pages = {1432--1506}, year = {2022}, url = {https://doi.org/10.55417/fr.2022047}, doi = {10.55417/FR.2022047}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MorrisHSGLOCWTG22, author = {Alan H. Morris and Christopher Horvat and Brian Stagg and David W. Grainger and Michael Lanspa and James Orme and Terry P. Clemmer and Lindell K. Weaver and Frank Thomas and Colin K. Grissom and Ellie Hirshberg and Thomas D. East and Carrie Jane Wallace and Michael P. Young and Dean F. Sittig and Mary Suchyta and James E. Pearl and Antinio Pesenti and Michela Bombino and Eduardo Beck and Katherine A. Sward and Charlene R. Weir and Shobha Phansalkar and Gordon R. Bernard and B. Taylor Thompson and Roy Brower and Jonathon D. Truwit and Jay S. Steingrub and R. Duncan Hite and Douglas F. Willson and Jerry J. Zimmerman and Vinay Nadkarni and Adrienne G. Randolph and Martha A. Q. Curley and Christopher J. L. Newth and Jacques Lacroix and Michael S. D. Agus and Kang Hoe Lee and Bennett P. deBoisblanc and Frederick Alan Moore and R. Scott Evans and Dean K. Sorenson and Anthony Wong and Michael V. Boland and Willard H. Dere and Alan S. Crandall and Julio C. Facelli and Stanley M. Huff and Peter J. Haug and Ulrike Pielmeier and Stephen Edward Rees and Dan S. Karbing and Steen Andreassen and Eddy Fan and Roberta M. Goldring and Kenneth I Berger and Beno W. Oppenheimer and Eugene Wesley Ely and Brian W. Pickering and David A. Schoenfeld and Irena Tocino and Russell S. Gonnering and Peter J. Pronovost and Lucy A. Savitz and Didier Dreyfuss and Arthur S. Slutsky and James D. Crapo and Michael R. Pinsky and Brent James and Donald M. Berwick}, title = {Computer clinical decision support that automates personalized clinical care: a challenging but needed healthcare delivery strategy}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {1}, pages = {178--194}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac143}, doi = {10.1093/JAMIA/OCAC143}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/MorrisHSGLOCWTG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/WuHKPYDYJMMPVCV22, author = {Joy Tzung{-}yu Wu and Miguel {\'{A}}ngel Armengol de La Hoz and Po{-}Chih Kuo and Joseph Alexander Paguio and Jasper Seth Yao and Edward Christopher Dee and Wesley Yeung and Jerry Jurado and Achintya Moulick and Carmelo Milazzo and Paloma Peinado and Paula Villares and Antonio Cubillo and Jos{\'{e}} Felipe Varona and Hyung{-}Chul Lee and Alberto Estirado and Jos{\'{e}} Maria Castellano and Leo Anthony Celi}, title = {Developing and Validating Multi-Modal Models for Mortality Prediction in {COVID-19} Patients: a Multi-center Retrospective Study}, journal = {J. Digit. Imaging}, volume = {35}, number = {6}, pages = {1514--1529}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00674-z}, doi = {10.1007/S10278-022-00674-Z}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/WuHKPYDYJMMPVCV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/LeeKKLM22, author = {Edward Lee and Daehyun Kim and Jinwoo Kim and Sung Kyu Lim and Saibal Mukhopadhyay}, title = {A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube Process}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {18}, number = {1}, pages = {20:1--20:20}, year = {2022}, url = {https://doi.org/10.1145/3466681}, doi = {10.1145/3466681}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/LeeKKLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/KimBCYKMHL22, author = {Chan Yeong Kim and Seungbyn Baek and Junha Cha and Sunmo Yang and Eiru Kim and Edward M. Marcotte and G. Traver Hart and Insuk Lee}, title = {HumanNet v3: an improved database of human gene networks for disease research}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {632--639}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1048}, doi = {10.1093/NAR/GKAB1048}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/KimBCYKMHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/RowlandFLLHM22, author = {Simon P. Rowland and J. Edward Fitzgerald and Matthew P. Lungren and Elizabeth Lee and Zach Harned and Alison H. McGregor}, title = {Digital health technology-specific risks for medical malpractice liability}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00698-3}, doi = {10.1038/S41746-022-00698-3}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/RowlandFLLHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MoonLSKC22, author = {Jong Hak Moon and Hyungyung Lee and Woncheol Shin and Young{-}Hak Kim and Edward Choi}, title = {Multi-Modal Understanding and Generation for Medical Images and Text via Vision-Language Pre-Training}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {12}, pages = {6070--6080}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3207502}, doi = {10.1109/JBHI.2022.3207502}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MoonLSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topc/RinbergSBHKRS22, author = {Arik Rinberg and Alexander Spiegelman and Edward Bortnikov and Eshcar Hillel and Idit Keidar and Lee Rhodes and Hadar Serviansky}, title = {Fast Concurrent Data Sketches}, journal = {{ACM} Trans. Parallel Comput.}, volume = {9}, number = {2}, pages = {6:1--6:35}, year = {2022}, url = {https://doi.org/10.1145/3512758}, doi = {10.1145/3512758}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/topc/RinbergSBHKRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/LeePV22, author = {Chin Ho Lee and Edward Pyne and Salil P. Vadhan}, title = {Fourier Growth of Regular Branching Programs}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2022, September 19-21, 2022, University of Illinois, Urbana-Champaign, {USA} (Virtual Conference)}, pages = {2:1--2:21}, year = {2022}, crossref = {DBLP:conf/approx/2022}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2022.2}, doi = {10.4230/LIPICS.APPROX/RANDOM.2022.2}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/approx/LeePV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/HartholtFLKGMUM22, author = {Arno Hartholt and Ed Fast and Andrew Leeds and Kevin Kim and Andrew Gordon and Kyle McCullough and Volkan Ustun and Sharon Mozgai}, title = {Demonstrating the Rapid Integration {\&} Development Environment {(RIDE):} Embodied Conversational Agent {(ECA)} and Multiagent Capabilities}, booktitle = {21st International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2022, Auckland, New Zealand, May 9-13, 2022}, pages = {1902--1904}, year = {2022}, crossref = {DBLP:conf/atal/2022}, url = {https://www.ifaamas.org/Proceedings/aamas2022/pdfs/p1902.pdf}, doi = {10.5555/3535850.3536146}, timestamp = {Mon, 18 Jul 2022 17:13:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/HartholtFLKGMUM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/LeePLC22, author = {Hyungyung Lee and Sungjin Park and Joonseok Lee and Edward Choi}, title = {Unconditional Image-Text Pair Generation with Multimodal Cross Quantizer}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {533}, year = {2022}, crossref = {DBLP:conf/bmvc/2022}, url = {https://bmvc2022.mpi-inf.mpg.de/533/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/LeePLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/HurLOPKC22, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Younghak Kim and Edward Choi}, title = {Unifying Heterogeneous Electronic Health Records Systems via Text-Based Code Embedding}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2022, 7-8 April 2022, Virtual Event}, pages = {183--203}, year = {2022}, crossref = {DBLP:conf/chil/2022}, url = {https://proceedings.mlr.press/v174/hur22a.html}, timestamp = {Wed, 27 Apr 2022 11:24:00 +0200}, biburl = {https://dblp.org/rec/conf/chil/HurLOPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/LeeJKYKC22, author = {Kwanhyung Lee and Hyewon Jeong and Seyun Kim and Donghwa Yang and Hoon{-}Chul Kang and Edward Choi}, title = {Real-Time Seizure Detection using {EEG:} {A} Comprehensive Comparison of Recent Approaches under a Realistic Setting}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2022, 7-8 April 2022, Virtual Event}, pages = {311--337}, year = {2022}, crossref = {DBLP:conf/chil/2022}, url = {https://proceedings.mlr.press/v174/lee22a.html}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chil/LeeJKYKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/JacksonLTM22, author = {Brian Edward Jackson and Jeong Hun Lee and Kevin Tracy and Zachary Manchester}, title = {Data-Efficient Model Learning for Control with Jacobian-Regularized Dynamic-Mode Decomposition}, booktitle = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, pages = {2273--2283}, year = {2022}, crossref = {DBLP:conf/corl/2022}, url = {https://proceedings.mlr.press/v205/jackson23a.html}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/corl/JacksonLTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/LiZZWLXA0G022, author = {Hao Li and Yizhi Zhang and Junzhe Zhu and Shaoxiong Wang and Michelle A. Lee and Huazhe Xu and Edward H. Adelson and Li Fei{-}Fei and Ruohan Gao and Jiajun Wu}, title = {See, Hear, and Feel: Smart Sensory Fusion for Robotic Manipulation}, booktitle = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, pages = {1368--1378}, year = {2022}, crossref = {DBLP:conf/corl/2022}, url = {https://proceedings.mlr.press/v205/li23c.html}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/corl/LiZZWLXA0G022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeKCCP22, author = {Jiyoung Lee and Hantae Kim and Hyunchang Cho and Edward Choi and Cheonbok Park}, title = {Specializing Multi-domain {NMT} via Penalizing Low Mutual Information}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {10015--10026}, year = {2022}, crossref = {DBLP:conf/emnlp/2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.680}, doi = {10.18653/V1/2022.EMNLP-MAIN.680}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeKCCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/PantridgeHS22, author = {Edward R. Pantridge and Thomas Helmuth and Lee Spector}, title = {Functional code building genetic programming}, booktitle = {{GECCO} '22: Genetic and Evolutionary Computation Conference, Boston, Massachusetts, USA, July 9 - 13, 2022}, pages = {1000--1008}, year = {2022}, crossref = {DBLP:conf/gecco/2022}, url = {https://doi.org/10.1145/3512290.3528866}, doi = {10.1145/3512290.3528866}, timestamp = {Tue, 12 Jul 2022 15:09:18 +0200}, biburl = {https://dblp.org/rec/conf/gecco/PantridgeHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/XiongKJKWNYAWTS22, author = {Wenjie Xiong and Liu Ke and Dimitrije Jankov and Michael Kounavis and Xiaochen Wang and Eric Northup and Jie Amy Yang and Bilge Acun and Carole{-}Jean Wu and Ping Tak Peter Tang and G. Edward Suh and Xuan Zhang and Hsien{-}Hsin S. Lee}, title = {SecNDP: Secure Near-Data Processing with Untrusted Memory}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {244--258}, year = {2022}, crossref = {DBLP:conf/hpca/2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00026}, doi = {10.1109/HPCA53966.2022.00026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/XiongKJKWNYAWTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isola/HanxledenLFSDLB22, author = {Reinhard von Hanxleden and Edward A. Lee and Hauke Fuhrmann and Alexander Schulz{-}Rosengarten and S{\"{o}}ren Domr{\"{o}}s and Marten Lohstroh and Soroush Bateni and Christian Menard}, title = {Pragmatics Twelve Years Later: {A} Report on Lingua Franca}, booktitle = {Leveraging Applications of Formal Methods, Verification and Validation. Software Engineering - 11th International Symposium, ISoLA 2022, Rhodes, Greece, October 22-30, 2022, Proceedings, Part {II}}, pages = {60--89}, year = {2022}, crossref = {DBLP:conf/isola/2022-2}, url = {https://doi.org/10.1007/978-3-031-19756-7\_5}, doi = {10.1007/978-3-031-19756-7\_5}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isola/HanxledenLFSDLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangSXLKAL22, author = {Yongqin Wang and G. Edward Suh and Wenjie Xiong and Benjamin Lefaudeux and Brian Knott and Murali Annavaram and Hsien{-}Hsin S. Lee}, title = {Characterization of MPC-based Private Inference for Transformer-based Models}, booktitle = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, pages = {187--197}, year = {2022}, crossref = {DBLP:conf/ispass/2022}, url = {https://doi.org/10.1109/ISPASS55109.2022.00025}, doi = {10.1109/ISPASS55109.2022.00025}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangSXLKAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iva/HartholtFLKLM22, author = {Arno Hartholt and Ed Fast and Zongjian Li and Kevin Kim and Andrew Leeds and Sharon Mozgai}, title = {Re-architecting the virtual human toolkit: towards an interoperable platform for embodied conversational agent research and development}, booktitle = {{IVA} '22: {ACM} International Conference on Intelligent Virtual Agents, Faro, Portugal, September 6 - 9, 2022}, pages = {16:1--16:8}, year = {2022}, crossref = {DBLP:conf/iva/2022}, url = {https://doi.org/10.1145/3514197.3549671}, doi = {10.1145/3514197.3549671}, timestamp = {Thu, 27 Jun 2024 16:31:25 +0200}, biburl = {https://dblp.org/rec/conf/iva/HartholtFLKLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/BaughanDBLEL0G22, author = {Natalie M. Baughan and Lindsay Douglas and Maya Ballard and Esther Seoyeon Lee and Alexandra Edwards and Li Lan and Hui Li and Maryellen L. Giger}, title = {Association between {DCE} {MRI} background parenchymal enhancement and mammographic texture features}, booktitle = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, year = {2022}, crossref = {DBLP:conf/micad/2022}, url = {https://doi.org/10.1117/12.2613043}, doi = {10.1117/12.2613043}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/BaughanDBLEL0G22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeHBKSYSKC22, author = {Gyubok Lee and Hyeonji Hwang and Seongsu Bae and Yeonsu Kwon and Woncheol Shin and Seongjun Yang and Minjoon Seo and Jong{-}Yeup Kim and Edward Choi}, title = {{EHRSQL:} {A} Practical Text-to-SQL Benchmark for Electronic Health Records}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, crossref = {DBLP:conf/nips/2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/643e347250cf9289e5a2a6c1ed5ee42e-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeHBKSYSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/Salsabil0CIFRG22, author = {Lamia Salsabil and Jian Wu and Muntabir Hasan Choudhury and William A. Ingram and Edward A. Fox and Sarah Michele Rajtmajer and C. Lee Giles}, title = {A Study of Computational Reproducibility using URLs Linking to Open Access Datasets and Software}, booktitle = {Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022}, pages = {784--788}, year = {2022}, crossref = {DBLP:conf/www/2022c}, url = {https://doi.org/10.1145/3487553.3524658}, doi = {10.1145/3487553.3524658}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/Salsabil0CIFRG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/22/WPLG2022, editor = {Hannes Werthner and Erich Prem and Edward A. Lee and Carlo Ghezzi}, title = {Perspectives on Digital Humanism}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-86144-5}, doi = {10.1007/978-3-030-86144-5}, isbn = {978-3-030-86143-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/22/WPLG2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-08780, author = {Kwanhyung Lee and Hyewon Jeong and Seyun Kim and Donghwa Yang and Hoon{-}Chul Kang and Edward Choi}, title = {Real-Time Seizure Detection using {EEG:} {A} Comprehensive Comparison of Recent Approaches under a Realistic Setting}, journal = {CoRR}, volume = {abs/2201.08780}, year = {2022}, url = {https://arxiv.org/abs/2201.08780}, eprinttype = {arXiv}, eprint = {2201.08780}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-08780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-10139, author = {Ryan G. Gomes and Bellington Vwalika and Chace Lee and Angelica Willis and Marcin Sieniek and Joan T. Price and Christina Chen and Margaret P. Kasaro and James A. Taylor and Elizabeth M. Stringer and Scott Mayer McKinney and Ntazana Sindano and George E. Dahl and William Goodnight III and Justin Gilmer and Benjamin H. Chi and Charles Lau and Terry Spitz and T. Saensuksopa and Kris Liu and Jonny Wong and Rory Pilgrim and Akib Uddin and Greg Corrado and Lily Peng and Katherine Chou and Daniel Tse and Jeffrey S. A. Stringer and Shravya Shetty}, title = {{AI} system for fetal ultrasound in low-resource settings}, journal = {CoRR}, volume = {abs/2203.10139}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.10139}, doi = {10.48550/ARXIV.2203.10139}, eprinttype = {arXiv}, eprint = {2203.10139}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-10139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-11889, author = {Eric Hambro and Sharada P. Mohanty and Dmitrii Babaev and Minwoo Byeon and Dipam Chakraborty and Edward Grefenstette and Minqi Jiang and DaeJin Jo and Anssi Kanervisto and Jongmin Kim and Sungwoong Kim and Robert Kirk and Vitaly Kurin and Heinrich K{\"{u}}ttler and Taehwon Kwon and Donghoon Lee and Vegard Mella and Nantas Nardelli and Ivan Nazarov and Nikita Ovsov and Jack Parker{-}Holder and Roberta Raileanu and Karolis Ramanauskas and Tim Rockt{\"{a}}schel and Danielle Rothermel and Mikayel Samvelyan and Dmitry Sorokin and Maciej Sypetkowski and Michal Sypetkowski}, title = {Insights From the NeurIPS 2021 NetHack Challenge}, journal = {CoRR}, volume = {abs/2203.11889}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.11889}, doi = {10.48550/ARXIV.2203.11889}, eprinttype = {arXiv}, eprint = {2203.11889}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-11889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13308, author = {Shaowei Zhu and Hyo Jin Kim and Maurizio Monge and G. Edward Suh and Armin Alaghi and Brandon Reagen and Vincent T. Lee}, title = {Verifiable Access Control for Augmented Reality Localization and Mapping}, journal = {CoRR}, volume = {abs/2203.13308}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13308}, doi = {10.48550/ARXIV.2203.13308}, eprinttype = {arXiv}, eprint = {2203.13308}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13308.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-07537, author = {Hyungyung Lee and Sungjin Park and Edward Choi}, title = {Unconditional Image-Text Pair Generation with Multimodal Cross Quantizer}, journal = {CoRR}, volume = {abs/2204.07537}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.07537}, doi = {10.48550/ARXIV.2204.07537}, eprinttype = {arXiv}, eprint = {2204.07537}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-07537.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04561, author = {Edward R. Pantridge and Thomas Helmuth and Lee Spector}, title = {Functional Code Building Genetic Programming}, journal = {CoRR}, volume = {abs/2206.04561}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04561}, doi = {10.48550/ARXIV.2206.04561}, eprinttype = {arXiv}, eprint = {2206.04561}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04561.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09555, author = {Soroush Bateni and Marten Lohstroh and Hou Seng Wong and Rohan Tabish and Hokeun Kim and Shaokai Lin and Christian Menard and Cong Liu and Edward A. Lee}, title = {Xronos: Predictable Coordination for Safety-Critical Distributed Embedded Systems}, journal = {CoRR}, volume = {abs/2207.09555}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09555}, doi = {10.48550/ARXIV.2207.09555}, eprinttype = {arXiv}, eprint = {2207.09555}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09858, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Min Jae Lee and Eunbyeol Cho and Jiyoun Kim and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2207.09858}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09858}, doi = {10.48550/ARXIV.2207.09858}, eprinttype = {arXiv}, eprint = {2207.09858}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-08025, author = {Mulong Luo and Wenjie Xiong and Geunbae Lee and Yueying Li and Xiaomeng Yang and Amy Zhang and Yuandong Tian and Hsien{-}Hsin S. Lee and G. Edward Suh}, title = {AutoCAT: Reinforcement Learning for Automated Exploration of Cache Timing-Channel Attacks}, journal = {CoRR}, volume = {abs/2208.08025}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.08025}, doi = {10.48550/ARXIV.2208.08025}, eprinttype = {arXiv}, eprint = {2208.08025}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-08025.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05578, author = {Sanjay Kariyappa and Chuan Guo and Kiwan Maeng and Wenjie Xiong and G. Edward Suh and Moinuddin K. Qureshi and Hsien{-}Hsin S. Lee}, title = {Cocktail Party Attack: Breaking Aggregation-Based Privacy in Federated Learning using Independent Component Analysis}, journal = {CoRR}, volume = {abs/2209.05578}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05578}, doi = {10.48550/ARXIV.2209.05578}, eprinttype = {arXiv}, eprint = {2209.05578}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-12910, author = {Jiyoung Lee and Hantae Kim and Hyunchang Cho and Edward Choi and Cheonbok Park}, title = {Specializing Multi-domain {NMT} via Penalizing Low Mutual Information}, journal = {CoRR}, volume = {abs/2210.12910}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.12910}, doi = {10.48550/ARXIV.2210.12910}, eprinttype = {arXiv}, eprint = {2210.12910}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-12910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16598, author = {Kwanhyung Lee and John Won and Heejung Hyun and Sangchul Hahn and Edward Choi and Joohyung Lee}, title = {Self-supervised predictive coding and multimodal fusion advance patient deterioration prediction in fine-grained time resolution}, journal = {CoRR}, volume = {abs/2210.16598}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16598}, doi = {10.48550/ARXIV.2210.16598}, eprinttype = {arXiv}, eprint = {2210.16598}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08082, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2211.08082}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08082}, doi = {10.48550/ARXIV.2211.08082}, eprinttype = {arXiv}, eprint = {2211.08082}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08082.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-00939, author = {Liu Ke and Xuan Zhang and Benjamin Lee and G. Edward Suh and Hsien{-}Hsin S. Lee}, title = {DisaggRec: Architecting Disaggregated Systems for Large-Scale Personalized Recommendation}, journal = {CoRR}, volume = {abs/2212.00939}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.00939}, doi = {10.48550/ARXIV.2212.00939}, eprinttype = {arXiv}, eprint = {2212.00939}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-00939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-03282, author = {Darryl Hannan and Steven C. Nesbit and Ximing Wen and Glen Smith and Qiao Zhang and Alberto Goffi and Vincent Chan and Michael J. Morris and John C. Hunninghake and Nicholas E. Villalobos and Edward Kim and Rosina O. Weber and Christopher J. MacLellan}, title = {MobilePTX: Sparse Coding for Pneumothorax Detection Given Limited Training Examples}, journal = {CoRR}, volume = {abs/2212.03282}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.03282}, doi = {10.48550/ARXIV.2212.03282}, eprinttype = {arXiv}, eprint = {2212.03282}, timestamp = {Sat, 03 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-03282.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-03858, author = {Hao Li and Yizhi Zhang and Junzhe Zhu and Shaoxiong Wang and Michelle A. Lee and Huazhe Xu and Edward H. Adelson and Li Fei{-}Fei and Ruohan Gao and Jiajun Wu}, title = {See, Hear, and Feel: Smart Sensory Fusion for Robotic Manipulation}, journal = {CoRR}, volume = {abs/2212.03858}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.03858}, doi = {10.48550/ARXIV.2212.03858}, eprinttype = {arXiv}, eprint = {2212.03858}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-03858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-06264, author = {Hanieh Hashemi and Wenjie Xiong and Liu Ke and Kiwan Maeng and Murali Annavaram and G. Edward Suh and Hsien{-}Hsin S. Lee}, title = {Data Leakage via Access Patterns of Sparse Features in Deep Learning-based Recommendation Systems}, journal = {CoRR}, volume = {abs/2212.06264}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.06264}, doi = {10.48550/ARXIV.2212.06264}, eprinttype = {arXiv}, eprint = {2212.06264}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-06264.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-07050, author = {Jongseong Jang and Daeun Kyung and Seung Hwan Kim and Honglak Lee and Kyunghoon Bae and Edward Choi}, title = {Significantly improving zero-shot X-ray pathology classification via fine-tuning pre-trained image-text encoders}, journal = {CoRR}, volume = {abs/2212.07050}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.07050}, doi = {10.48550/ARXIV.2212.07050}, eprinttype = {arXiv}, eprint = {2212.07050}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-07050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-07885, author = {Brian E. Jackson and Jeong Hun Lee and Kevin Tracy and Zachary Manchester}, title = {Data-Efficient Model Learning for Model Predictive Control with Jacobian-Regularized Dynamic Mode Decomposition}, journal = {CoRR}, volume = {abs/2212.07885}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.07885}, doi = {10.48550/ARXIV.2212.07885}, eprinttype = {arXiv}, eprint = {2212.07885}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-07885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/LeePV22, author = {Chin Ho Lee and Edward Pyne and Salil P. Vadhan}, title = {Fourier Growth of Regular Branching Programs}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR22-034}}, year = {2022}, url = {https://eccc.weizmann.ac.il/report/2022/034}, eprinttype = {ECCC}, eprint = {TR22-034}, timestamp = {Mon, 11 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/LeePV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/MarriottLBCEGHM21, author = {Kim Marriott and Bongshin Lee and Matthew Butler and Ed Cutrell and Kirsten Ellis and Cagatay Goncu and Marti A. Hearst and Kathleen F. McCoy and Danielle Albers Szafir}, title = {Inclusive data visualization for people with disabilities: a call to action}, journal = {Interactions}, volume = {28}, number = {3}, pages = {47--51}, year = {2021}, url = {https://doi.org/10.1145/3457875}, doi = {10.1145/3457875}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/MarriottLBCEGHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MorrisSLOCWTGHE21, author = {Alan H. Morris and Brian Stagg and Michael Lanspa and James Orme and Terry P. Clemmer and Lindell K. Weaver and Frank Thomas and Colin K. Grissom and Ellie Hirshberg and Thomas D. East and Carrie Jane Wallace and Michael P. Young and Dean F. Sittig and Antonio Pesenti and Michela Bombino and Eduardo Beck and Katherine A. Sward and Charlene R. Weir and Shobha S. Phansalkar and Gordon R. Bernard and B. Taylor Thompson and Roy Brower and Jonathon D. Truwit and Jay S. Steingrub and R. Duncan Hite and Douglas F. Willson and Jerry J. Zimmerman and Vinay M. Nadkarni and Adrienne Randolph and Martha A. Q. Curley and Christopher J. L. Newth and Jacques Lacroix and Michael S. D. Agus and Kang H. Lee and Bennett P. deBoisblanc and R. Scott Evans and Dean K. Sorenson and Anthony Wong and Michael V. Boland and David W. Grainger and Willard H. Dere and Alan S. Crandall and Julio C. Facelli and Stanley M. Huff and Peter J. Haug and Ulrike Pielmeier and Stephen Edward Rees and Dan S. Karbing and Steen Andreassen and Eddy Fan and Roberta M. Goldring and Kenneth I. Berger and Beno W. Oppenheimer and Eugene Wesley Ely and Ognjen Gajic and Brian W. Pickering and David A. Schoenfeld and Irena Tocino and Russell S. Gonnering and Peter J. Pronovost and Lucy A. Savitz and Didier Dreyfuss and Arthur S. Slutsky and James D. Crapo and Derek C. Angus and Michael R. Pinsky and Brent James and Donald M. Berwick}, title = {Enabling a learning healthcare system with automated computer protocols that produce replicable and personalized clinician actions}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {6}, pages = {1330--1344}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa294}, doi = {10.1093/JAMIA/OCAA294}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/MorrisSLOCWTGHE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeZCMHBKARKKHM21, author = {Edward H. Lee and Jimmy Zheng and Errol Colak and Maryam Mohammadzadeh and Golnaz Houshmand and Nicholas Bevins and Felipe Kitamura and Emre Altinmakas and Eduardo Pontes Reis and Jae{-}Kwang Kim and Chad Klochko and Michelle Han and Sadegh Moradian and Ali Mohammadzadeh and Hashem Sharifian and Hassan Hashemi and Kavous Firouznia and Hossein Ghanaati and Masoumeh Gity and Hakan Dogan and Hojjat Salehinejad and Henrique Alves and Jayne Seekins and Nitamar Abdala and {\c{C}}etin Atasoy and Hamidreza Pouraliakbar and Majid Maleki and S. Simon Wong and Kristen W. Yeom}, title = {Deep {COVID} DeteCT: an international experience on {COVID-19} lung detection and prognosis using chest {CT}}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-020-00369-1}, doi = {10.1038/S41746-020-00369-1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeZCMHBKARKKHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/BlairBBBBBDDEFF21, author = {Gordon S. Blair and Richard Bassett and Lucy Bastin and Lindsay Beevers and Maribel Isabel Borrajo and Mike Brown and Sarah L. Dance and Ada Dionescu and Liz Edwards and Maria Angela Ferrario and Rob Fraser and Harriet Fraser and Simon Gardner and Peter A. Henrys and Tony Hey and Stuart Homann and Chantal Huijbers and James Hutchison and Phil Jonathan and Rob Lamb and Sophie Laurie and Amber Leeson and David Leslie and Malcolm McMillan and Vatsala Nundloll and Oluwole K. Oyebamiji and Jordan Phillipson and Vicky Pope and Rachel Prudden and Stefan Reis and Maria Salama and Faiza Samreen and Dino Sejdinovic and Will Simm and Roger Street and Lauren Thornton and Ross Towe and Joshua Vande Hey and Massimo Vieno and Joanne A. Waller and John Watkins}, title = {The Role of Digital Technologies in Responding to the Grand Challenges of the Natural Environment: The Windermere Accord}, journal = {Patterns}, volume = {2}, number = {1}, pages = {100156}, year = {2021}, url = {https://doi.org/10.1016/j.patter.2020.100156}, doi = {10.1016/J.PATTER.2020.100156}, timestamp = {Sat, 25 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/BlairBBBBBDDEFF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/SehrLWUWNHNL21, author = {Martin A. Sehr and Marten Lohstroh and Matthew Weber and Ines Ugalde and Martin Witte and Joerg Neidig and Stephan Hoeme and Mehrdad Niknami and Edward A. Lee}, title = {Programmable Logic Controllers in the Context of Industry 4.0}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {5}, pages = {3523--3533}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3007764}, doi = {10.1109/TII.2020.3007764}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/SehrLWUWNHNL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aivr/MozgaiLKFRH21, author = {Sharon Mozgai and Andrew Leeds and David Kwok and Ed Fast and Albert Skip Rizzo and Arno Hartholt}, title = {Building {BRAVEMIND} Vietnam: User-Centered Design for Virtual Reality Exposure Therapy}, booktitle = {{IEEE} International Conference on Artificial Intelligence and Virtual Reality, {AIVR} 2021, Taichung, Taiwan, November 15-17, 2021}, pages = {247--250}, year = {2021}, crossref = {DBLP:conf/aivr/2021}, url = {https://doi.org/10.1109/AIVR52153.2021.00056}, doi = {10.1109/AIVR52153.2021.00056}, timestamp = {Wed, 29 Dec 2021 14:48:57 +0100}, biburl = {https://dblp.org/rec/conf/aivr/MozgaiLKFRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeHL21, author = {Youngwoon Lee and Edward S. Hu and Joseph J. Lim}, title = {{IKEA} Furniture Assembly Environment for Long-Horizon Complex Manipulation Tasks}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {6343--6349}, year = {2021}, crossref = {DBLP:conf/icra/2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9560986}, doi = {10.1109/ICRA48506.2021.9560986}, timestamp = {Fri, 22 Oct 2021 19:54:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoc/LeeYTT021, author = {Xian{-}Long Lee and Hong{-}Tzer Yang and Wen{-}jun Tang and Adel Nadjaran Toosi and Edward Lam}, title = {An Adaptive Charging Scheduling for Electric Vehicles Using Multiagent Reinforcement Learning}, booktitle = {Service-Oriented Computing - 19th International Conference, {ICSOC} 2021, Virtual Event, November 22-25, 2021, Proceedings}, pages = {273--286}, year = {2021}, crossref = {DBLP:conf/icsoc/2021}, url = {https://doi.org/10.1007/978-3-030-91431-8\_17}, doi = {10.1007/978-3-030-91431-8\_17}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsoc/LeeYTT021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/DoyleRECCPSTMMS21, author = {Philip R. Doyle and Daniel John Rough and Justin Edwards and Benjamin R. Cowan and Leigh Clark and Martin Porcheron and Stephan Schl{\"{o}}gl and Mar{\'{\i}}a In{\'{e}}s Torres and Cosmin Munteanu and Christine Murad and Jaisie Sin and Minha Lee and Matthew Peter Aylett and Heloisa Candello}, title = {[email protected]: Theoretical and Methodological Challenges in Intelligent Conversational User Interface Interactions}, booktitle = {{IUI} '21: 26th International Conference on Intelligent User Interfaces, College Station, TX, USA, April 13-17, 2021, Companion}, pages = {12--14}, year = {2021}, crossref = {DBLP:conf/iui/2021c}, url = {https://doi.org/10.1145/3397482.3450706}, doi = {10.1145/3397482.3450706}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/DoyleRECCPSTMMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iva/HartholtFLM21, author = {Arno Hartholt and Ed Fast and Andrew Leeds and Sharon Mozgai}, title = {Introducing VHMason: {A} Visual, Integrated, Multimodal Virtual Human Authoring Tool}, booktitle = {{IVA} '21: {ACM} International Conference on Intelligent Virtual Agents, Virtual Event, Japan, September 14-17, 2021}, pages = {109--111}, year = {2021}, crossref = {DBLP:conf/iva/2021}, url = {https://doi.org/10.1145/3472306.3478363}, doi = {10.1145/3472306.3478363}, timestamp = {Wed, 22 Sep 2021 14:37:08 +0200}, biburl = {https://dblp.org/rec/conf/iva/HartholtFLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlhc/ParkCLCC21, author = {Junwoo Park and Youngwoo Cho and Haneol Lee and Jaegul Choo and Edward Choi}, title = {Knowledge Graph-based Question Answering with Electronic Health Records}, booktitle = {Proceedings of the Machine Learning for Healthcare Conference, {MLHC} 2021, 6-7 August 2021, Virtual Event}, pages = {36--53}, year = {2021}, crossref = {DBLP:conf/mlhc/2021}, url = {https://proceedings.mlr.press/v149/park21a.html}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlhc/ParkCLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HambroMBBCGJDKK21, author = {Eric Hambro and Sharada P. Mohanty and Dmitrii Babaev and Minwoo Byeon and Dipam Chakraborty and Edward Grefenstette and Minqi Jiang and DaeJin Jo and Anssi Kanervisto and Jongmin Kim and Sungwoong Kim and Robert Kirk and Vitaly Kurin and Heinrich K{\"{u}}ttler and Taehwon Kwon and Donghoon Lee and Vegard Mella and Nantas Nardelli and Ivan Nazarov and Nikita Ovsov and Jack Parker{-}Holder and Roberta Raileanu and Karolis Ramanauskas and Tim Rockt{\"{a}}schel and Danielle Rothermel and Mikayel Samvelyan and Dmitry Sorokin and Maciej Sypetkowski and Michal Sypetkowski}, title = {Insights From the NeurIPS 2021 NetHack Challenge}, booktitle = {NeurIPS 2021 Competitions and Demonstrations Track, 6-14 December 2021, Online}, pages = {41--52}, year = {2021}, crossref = {DBLP:conf/nips/2021demo}, url = {https://proceedings.mlr.press/v176/hambro22a.html}, timestamp = {Sun, 22 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/HambroMBBCGJDKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/BilogrevicEPTHP21, author = {Igor Bilogrevic and Balazs Engedy and Judson L. Porter III and Nina Taft and Kamila Hasanbega and Andrew Paseltiner and Hwi Kyoung Lee and Edward Jung and Meggyn Watkins and P. J. McLachlan and Jason James}, title = {"Shhh...be quiet!" Reducing the Unwanted Interruptions of Notification Permission Prompts on Chrome}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {769--784}, year = {2021}, crossref = {DBLP:conf/uss/2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/bilogrevic}, timestamp = {Mon, 20 Nov 2023 08:57:49 +0100}, biburl = {https://dblp.org/rec/conf/uss/BilogrevicEPTHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11470, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Stegun Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Yuki Kubo and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: Quest for Robotic Autonomy in Challenging Environments; {TEAM} CoSTAR at the {DARPA} Subterranean Challenge}, journal = {CoRR}, volume = {abs/2103.11470}, year = {2021}, url = {https://arxiv.org/abs/2103.11470}, eprinttype = {arXiv}, eprint = {2103.11470}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-11333, author = {Jong Hak Moon and Hyungyung Lee and Woncheol Shin and Edward Choi}, title = {Multi-modal Understanding and Generation for Medical Images and Text via Vision-Language Pre-Training}, journal = {CoRR}, volume = {abs/2105.11333}, year = {2021}, url = {https://arxiv.org/abs/2105.11333}, eprinttype = {arXiv}, eprint = {2105.11333}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-11333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-03625, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Young{-}Hak Kim and Edward Choi}, title = {Unifying Heterogenous Electronic Health Records Systems via Text-Based Code Embedding}, journal = {CoRR}, volume = {abs/2108.03625}, year = {2021}, url = {https://arxiv.org/abs/2108.03625}, eprinttype = {arXiv}, eprint = {2108.03625}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-03625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02439, author = {Joy Tzung{-}yu Wu and Miguel {\'{A}}ngel Armengol de La Hoz and Po{-}Chih Kuo and Joseph Alexander Paguio and Jasper Seth Yao and Edward Christopher Dee and Wesley Yeung and Jerry Jurado and Achintya Moulick and Carmelo Milazzo and Paloma Peinado and Paula Villares and Antonio Cubillo and Jos{\'{e}} Felipe Varona and Hyung{-}Chul Lee and Alberto Estirado and Jos{\'{e}} Maria Castellano and Leo Anthony Celi}, title = {Developing and validating multi-modal models for mortality prediction in {COVID-19} patients: a multi-center retrospective study}, journal = {CoRR}, volume = {abs/2109.02439}, year = {2021}, url = {https://arxiv.org/abs/2109.02439}, eprinttype = {arXiv}, eprint = {2109.02439}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-12191, author = {Edward H. Lee and Mario Michael Krell and Alexander Tsyplikhin and Victoria Rege and Errol Colak and Kristen W. Yeom}, title = {NanoBatch {DPSGD:} Exploring Differentially Private learning on ImageNet with low batch sizes on the {IPU}}, journal = {CoRR}, volume = {abs/2109.12191}, year = {2021}, url = {https://arxiv.org/abs/2109.12191}, eprinttype = {arXiv}, eprint = {2109.12191}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-12191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-07711, author = {Pulkit Khandelwal and Shokufeh Sadaghiani and Sadhana Ravikumar and Sydney Lim and Sanaz Arezoumandan and Claire Peterson and Eunice Chung and Madigan Bedard and Noah Capp and Ranjit Ittyerah and Elyse Migdal and Grace Choi and Emily Kopp and Bridget Loja and Eusha Hasan and Jiacheng Li and Karthik Prabhakaran and Gabor Mizsei and Marianna Gabrielyan and Theresa Schuck and John L. Robinson and Daniel T. Ohm and Edward B. Lee and John Q. Trojanowski and Corey McMillan and Murray Grossman and David J. Irwin and M. Dylan Tisdall and Sandhitsu R. Das and Laura E. M. Wisse and David A. Wolk and Paul A. Yushkevich}, title = {Gray Matter Segmentation in Ultra High Resolution 7 Tesla ex vivo T2w {MRI} of Human Brain Hemispheres}, journal = {CoRR}, volume = {abs/2110.07711}, year = {2021}, url = {https://arxiv.org/abs/2110.07711}, eprinttype = {arXiv}, eprint = {2110.07711}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-07711.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-09098, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Young{-}Hak Kim and Edward Choi}, title = {Unifying Heterogenous Electronic Health Records Systems via Text-Based Code Embedding}, journal = {CoRR}, volume = {abs/2111.09098}, year = {2021}, url = {https://arxiv.org/abs/2111.09098}, eprinttype = {arXiv}, eprint = {2111.09098}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-09098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-13037, author = {Jonghyeon Lee and Edward De Brouwer and Boumediene Hamzi and Houman Owhadi}, title = {Learning dynamical systems from data: {A} simple cross-validation perspective, part {III:} Irregularly-Sampled Time Series}, journal = {CoRR}, volume = {abs/2111.13037}, year = {2021}, url = {https://arxiv.org/abs/2111.13037}, eprinttype = {arXiv}, eprint = {2111.13037}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-13037.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/XiongKJKWNYAWTS21, author = {Wenjie Xiong and Liu Ke and Dimitrije Jankov and Michael Kounavis and Xiaochen Wang and Eric Northup and Jie Amy Yang and Bilge Acun and Carole{-}Jean Wu and Ping Tak Peter Tang and G. Edward Suh and Xuan Zhang and Hsien{-}Hsin S. Lee}, title = {SecNDP: Secure Near-Data Processing with Untrusted Memory}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1642}, year = {2021}, url = {https://eprint.iacr.org/2021/1642}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/XiongKJKWNYAWTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/OchoaCBH20, author = {Gabriela Ochoa and Lee A. Christie and Alexander E. I. Brownlee and Andrew Hoyle}, title = {Multi-objective evolutionary design of antibiotic treatments}, journal = {Artif. Intell. Medicine}, volume = {102}, pages = {101759}, year = {2020}, url = {https://doi.org/10.1016/j.artmed.2019.101759}, doi = {10.1016/J.ARTMED.2019.101759}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/OchoaCBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/BrownleeWHLM20, author = {Alexander E. I. Brownlee and Jonathan A. Wright and Miaomiao He and Timothy Lee and Paul McMenemy}, title = {A novel encoding for separable large-scale multi-objective problems and its application to the optimisation of housing stock improvements}, journal = {Appl. Soft Comput.}, volume = {96}, pages = {106650}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106650}, doi = {10.1016/J.ASOC.2020.106650}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/BrownleeWHLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/PhanABCDGGHKLLM20, author = {Raphael C.{-}W. Phan and Masayuki Abe and Lynn Batten and Jung Hee Cheon and Ed Dawson and Steven D. Galbraith and Jian Guo and Lucas C. K. Hui and Kwangjo Kim and Xuejia Lai and Dong Hoon Lee and Mitsuru Matsui and Tsutomu Matsumoto and Shiho Moriai and Phong Q. Nguyen and Dingyi Pei and Duong Hieu Phan and Josef Pieprzyk and Huaxiong Wang and Hank Wolfe and Duncan S. Wong and Tzong{-}Chen Wu and Bo{-}Yin Yang and Siu{-}Ming Yiu and Yu Yu and Jianying Zhou}, title = {Advances in security research in the Asiacrypt region}, journal = {Commun. {ACM}}, volume = {63}, number = {4}, pages = {76--81}, year = {2020}, url = {https://doi.org/10.1145/3378428}, doi = {10.1145/3378428}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/PhanABCDGGHKLLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChaudhuriBPKMLK20, author = {Arjun Chaudhuri and Sanmitra Banerjee and Heechun Park and Jinwoo Kim and Gauthaman Murali and Edward Lee and Daehyun Kim and Sung Kyu Lim and Saibal Mukhopadhyay and Krishnendu Chakrabarty}, title = {Advances in Design and Test of Monolithic 3-D ICs}, journal = {{IEEE} Des. Test}, volume = {37}, number = {4}, pages = {92--100}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2020.2988657}, doi = {10.1109/MDAT.2020.2988657}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChaudhuriBPKMLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpem/HelmuthPS20, author = {Thomas Helmuth and Edward R. Pantridge and Lee Spector}, title = {On the importance of specialists for lexicase selection}, journal = {Genet. Program. Evolvable Mach.}, volume = {21}, number = {3}, pages = {349--373}, year = {2020}, url = {https://doi.org/10.1007/s10710-020-09377-2}, doi = {10.1007/S10710-020-09377-2}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpem/HelmuthPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenLOAN20, author = {Jiaqing Chen and Andy C. H. Lee and Edward B. O'Neil and Mura Abdul{-}Nabi and Matthias Niemeier}, title = {Mapping the anatomy of perceptual pseudoneglect. {A} multivariate approach}, journal = {NeuroImage}, volume = {207}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2019.116402}, doi = {10.1016/J.NEUROIMAGE.2019.116402}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenLOAN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimMLGWKOCLWEKK20, author = {Hyungjun Kim and Ishtiaq Mawla and Jeungchan Lee and Jessica Gerber and Kathryn Walker and Jieun Kim and Ana Ortiz and Suk{-}Tak Chan and Marco L. Loggia and Ajay D. Wasan and Robert R. Edwards and Jian Kong and Ted J. Kaptchuk and Randy L. Gollub and Bruce R. Rosen and Vitaly Napadow}, title = {Reduced tactile acuity in chronic low back pain is linked with structural neuroplasticity in primary somatosensory cortex and is modulated by acupuncture therapy}, journal = {NeuroImage}, volume = {217}, pages = {116899}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116899}, doi = {10.1016/J.NEUROIMAGE.2020.116899}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/KimMLGWKOCLWEKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WijesingheDMKDE20, author = {Udumbara Wijesinghe and Akash Neel Dey and Andrew Marshall and William Krenik and Can Duan and Hal Edwards and Mark Lee}, title = {Integrated Circuit Angular Displacement Sensor with On-chip Pinhole Aperture}, journal = {Sensors}, volume = {20}, number = {6}, pages = {1794}, year = {2020}, url = {https://doi.org/10.3390/s20061794}, doi = {10.3390/S20061794}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WijesingheDMKDE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiot/KimKBL20, author = {Hokeun Kim and Eunsuk Kang and David Broman and Edward A. Lee}, title = {Resilient Authentication and Authorization for the Internet of Things (IoT) Using Edge Computing}, journal = {{ACM} Trans. Internet Things}, volume = {1}, number = {1}, pages = {4:1--4:27}, year = {2020}, url = {https://doi.org/10.1145/3375837}, doi = {10.1145/3375837}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tiot/KimKBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/FeyginLFGLGWSB20, author = {Sidney A. Feygin and Jessica R. Lazarus and Edward H. Forscher and Valentine Golfier{-}Vetterli and Jonathan W. Lee and Abhishek Gupta and Rashid A. Waraich and Colin J. R. Sheppard and Alexandre M. Bayen}, title = {{BISTRO:} Berkeley Integrated System for Transportation Optimization}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {11}, number = {4}, pages = {38:1--38:27}, year = {2020}, url = {https://doi.org/10.1145/3384344}, doi = {10.1145/3384344}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tist/FeyginLFGLGWSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/FrancisFCHKFL20, author = {Anthony G. Francis and Aleksandra Faust and Hao{-}Tien Lewis Chiang and Jasmine Hsu and J. Chase Kew and Marek Fiser and Tsang{-}Wei Edward Lee}, title = {Long-Range Indoor Navigation With {PRM-RL}}, journal = {{IEEE} Trans. Robotics}, volume = {36}, number = {4}, pages = {1115--1134}, year = {2020}, url = {https://doi.org/10.1109/TRO.2020.2975428}, doi = {10.1109/TRO.2020.2975428}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/FrancisFCHKFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/0001L20, author = {Haris Aziz and Edward Lee}, title = {The Temporary Exchange Problem}, booktitle = {Proceedings of the 19th International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} '20, Auckland, New Zealand, May 9-13, 2020}, pages = {1753--1755}, year = {2020}, crossref = {DBLP:conf/atal/2020}, url = {https://dl.acm.org/doi/10.5555/3398761.3398971}, doi = {10.5555/3398761.3398971}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/0001L20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/AnSHGL0JJECWT20, author = {Winko W. An and Hakim Si{-}Mohammed and Nicholas Huang and Hannes Gamper and Adrian K. C. Lee and Christian Holz and David Johnston and Mihai Jalobeanu and Dimitra Emmanouilidou and Edward Cutrell and Andrew D. Wilson and Ivan Tashev}, title = {Decoding auditory and tactile attention for use in an EEG-based brain-computer interface}, booktitle = {8th International Winter Conference on Brain-Computer Interface, {BCI} 2020, Gangwon, Korea (South), February 26-28, 2020}, pages = {1--6}, year = {2020}, crossref = {DBLP:conf/bci3/2020}, url = {https://doi.org/10.1109/BCI48061.2020.9061623}, doi = {10.1109/BCI48061.2020.9061623}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/AnSHGL0JJECWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colt/WoodworthGLMSGS20, author = {Blake E. Woodworth and Suriya Gunasekar and Jason D. Lee and Edward Moroshko and Pedro Savarese and Itay Golan and Daniel Soudry and Nathan Srebro}, title = {Kernel and Rich Regimes in Overparametrized Models}, booktitle = {Conference on Learning Theory, {COLT} 2020, 9-12 July 2020, Virtual Event [Graz, Austria]}, pages = {3635--3673}, year = {2020}, crossref = {DBLP:conf/colt/2020}, url = {http://proceedings.mlr.press/v125/woodworth20a.html}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/colt/WoodworthGLMSGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/DowneyXMKCCNGGC20, author = {Brian P. Downey and Andy Xie and Shawn Mack and D. Scott Katzer and James G. Champlain and Yu Cao and Neeraj Nepal and Tyler A. Growden and Vikrant J. Gokhale and Robert L. Coffie and Matthew T. Hardy and Edward Beam and Cathy Lee and David J. Meyer}, title = {Micro-transfer Printing of GaN HEMTs for Heterogeneous Integration and Flexible {RF} Circuit Design}, booktitle = {2020 Device Research Conference, {DRC} 2020, Columbus, OH, USA, June 21-24, 2020}, pages = {1--2}, year = {2020}, crossref = {DBLP:conf/drc/2020}, url = {https://doi.org/10.1109/DRC50226.2020.9135179}, doi = {10.1109/DRC50226.2020.9135179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drc/DowneyXMKCCNGGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HelmuthPWS20, author = {Thomas Helmuth and Edward R. Pantridge and Grace Woolson and Lee Spector}, title = {Transfer Learning of Genetic Programming Instruction Sets}, booktitle = {{GECCO} '20: Genetic and Evolutionary Computation Conference, Companion Volume, Canc{\'{u}}n, Mexico, July 8-12, 2020}, pages = {241--242}, year = {2020}, crossref = {DBLP:conf/gecco/2020c}, url = {https://doi.org/10.1145/3377929.3389988}, doi = {10.1145/3377929.3389988}, timestamp = {Mon, 20 Jul 2020 07:42:25 +0200}, biburl = {https://dblp.org/rec/conf/gecco/HelmuthPWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HelmuthSP20, author = {Thomas Helmuth and Lee Spector and Edward R. Pantridge}, title = {Counterexample-driven genetic programming without formal specifications}, booktitle = {{GECCO} '20: Genetic and Evolutionary Computation Conference, Companion Volume, Canc{\'{u}}n, Mexico, July 8-12, 2020}, pages = {239--240}, year = {2020}, crossref = {DBLP:conf/gecco/2020c}, url = {https://doi.org/10.1145/3377929.3389983}, doi = {10.1145/3377929.3389983}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/HelmuthSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimMVLKCBCML20, author = {Jinwoo Kim and Gauthaman Murali and Pruek Vanna{-}Iampikul and Edward Lee and Daehyun Kim and Arjun Chaudhuri and Sanmitra Banerjee and Krishnendu Chakrabarty and Saibal Mukhopadhyay and Sung Kyu Lim}, title = {RTL-to-GDS Design Tools for Monolithic 3D ICs}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {126:1--126:8}, year = {2020}, crossref = {DBLP:conf/iccad/2020}, url = {https://doi.org/10.1145/3400302.3415780}, doi = {10.1145/3400302.3415780}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimMVLKCBCML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/MatukYPABBCHCCD20, author = {Camillia Matuk and Susan A. Yoon and Joseph L. Polman and Anna Amato and Jacob Barton and Nicole Bulalacao and Francesco Cafaro and Lina Chopra Haldar and Amanda M. Cottone and Krista Cortes and Kayla DesPortes and Tim Erickson and William Finzer and Katie Headrick Taylor and Beth Herbel{-}Eisenmann and Cynthia Graville and Kris D. Guti{\'{e}}rrez and Traci Higgins and Blanca E. Himes and Kathryn A. Lanouette and Hollylynne Lee and Vivian Lim and M. Lisette Lopez and Leilah Lyons and Dan Milz and Maria C. Olivares and Elizabeth Osche and Tapan S. Parikh and Thomas M. Philip and Laurie Rubel and Joey Shelley and Edward Rivero and Jessica Roberts and Collette Roberto and Tony Petrosino and Andee Rubin and Jooeun Shim and Megan Silander and Stephen Sommer and David Stokes and Marian Tes and Milka Trajkova and Ryan J. Urbanowicz and Ralph Vacca and Sarah Van Wart and Veena Vasudevan and Michelle Hoda Wilkerson and Peter J. Woods}, title = {Data Literacy for Social Justice}, booktitle = {Interdisciplinarity in the Learning Sciences: Proceedings of the 14th International Conference of the Learning Sciences, {ICLS} 2020, [Nashville, Tennessee, USA], Online Conference, June 19-23, 2020}, year = {2020}, crossref = {DBLP:conf/icls/2020}, url = {https://repository.isls.org/handle/1/6656}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icls/MatukYPABBCHCCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/EdwardsSLHJ0EMI20, author = {Ashley D. Edwards and Himanshu Sahni and Rosanne Liu and Jane Hung and Ankit Jain and Rui Wang and Adrien Ecoffet and Thomas Miconi and Charles Isbell and Jason Yosinski}, title = {Estimating Q(s,s') with Deep Deterministic Dynamics Gradients}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, pages = {2825--2835}, year = {2020}, crossref = {DBLP:conf/icml/2020}, url = {http://proceedings.mlr.press/v119/edwards20a.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/EdwardsSLHJ0EMI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isalalife/HelmuthPWS20, author = {Thomas Helmuth and Edward R. Pantridge and Grace Woolson and Lee Spector}, title = {Genetic Source Sensitivity and Transfer Learning in Genetic Programming}, booktitle = {2020 Conference on Artificial Life, {ALIFE} 2020, online, July 13-18, 2020}, pages = {303--311}, year = {2020}, crossref = {DBLP:conf/isalalife/2020}, url = {https://doi.org/10.1162/isal\_a\_00326}, doi = {10.1162/ISAL\_A\_00326}, timestamp = {Tue, 26 Dec 2023 17:13:21 +0100}, biburl = {https://dblp.org/rec/conf/isalalife/HelmuthPWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/HanLCYSDD20, author = {Shuo Han and Soonam Lee and Alain Chen and Changye Yang and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Three Dimensional Nuclei Segmentation and Classification of Fluorescence Microscopy Images}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {1--5}, year = {2020}, crossref = {DBLP:conf/isbi/2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098560}, doi = {10.1109/ISBI45749.2020.9098560}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/HanLCYSDD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YushkevichMILLW20, author = {Paul A. Yushkevich and Maria Mercedes I{\~{n}}iguez de Onzo{\~{n}}o Martin and Ranjit Ittyerah and Sydney Lim and Madigan Lavery and Jiancong Wang and Alex Ling Yu Hung and Nicolas Vergnet and Sadhana Ravikumar and Long Xie and Mengjin Dong and Robin DeFlores and Salena Cui and Lauren McCollum and Daniel T. Ohm and John L. Robinson and Theresa Schuck and Murray Grossman and M. Dylan Tisdall and Karthik Prabhakaran and Gabor Mizsei and Sandhitsu R. Das and Emilio Artacho{-}P{\'{e}}rula and Mar{\'{\i}}a del Mar Arroyo Jim{\'{e}}nez and M{\'{o}}nica Mu{\~{n}}oz L{\'{o}}pez and Maria del Pilar Marcos Rabal and Francisco Javier Molina Romero and Edward B. Lee and John Q. Trojanowski and Laura E. M. Wisse and David A. Wolk and David J. Irwin and Ricardo Insausti}, title = {3D Mapping of {TAU} Neurofibrillary Tangle Pathology in the Human Medial Temporal Lobe}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {1312--1316}, year = {2020}, crossref = {DBLP:conf/isbi/2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098462}, doi = {10.1109/ISBI45749.2020.9098462}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YushkevichMILLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/RinbergSBHKRS20, author = {Arik Rinberg and Alexander Spiegelman and Edward Bortnikov and Eshcar Hillel and Idit Keidar and Lee Rhodes and Hadar Serviansky}, title = {Fast concurrent data sketches}, booktitle = {PPoPP '20: 25th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, San Diego, California, USA, February 22-26, 2020}, pages = {117--129}, year = {2020}, crossref = {DBLP:conf/ppopp/2020}, url = {https://doi.org/10.1145/3332466.3374512}, doi = {10.1145/3332466.3374512}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/RinbergSBHKRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CotiDHLMSV20, author = {Camille Coti and Joel E. Denny and Kevin A. Huck and Seyong Lee and Allen D. Malony and Sameer Shende and Jeffrey S. Vetter}, title = {OpenACC Profiling Support for Clang and {LLVM} using Clacc and {TAU}}, booktitle = {{IEEE/ACM} International Workshop on {HPC} User Support Tools and Workshop on Programming and Performance Visualization Tools, HUST/ProTools@SC 2020, Atlanta, GA, USA, November 18, 2020}, pages = {38--48}, year = {2020}, crossref = {DBLP:conf/sc/2020hust}, url = {https://doi.org/10.1109/HUSTProtools51951.2020.00012}, doi = {10.1109/HUSTPROTOOLS51951.2020.00012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/CotiDHLMSV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-09277, author = {Blake E. Woodworth and Suriya Gunasekar and Jason D. Lee and Edward Moroshko and Pedro Savarese and Itay Golan and Daniel Soudry and Nathan Srebro}, title = {Kernel and Rich Regimes in Overparametrized Models}, journal = {CoRR}, volume = {abs/2002.09277}, year = {2020}, url = {https://arxiv.org/abs/2002.09277}, eprinttype = {arXiv}, eprint = {2002.09277}, timestamp = {Sun, 07 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-09277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-09505, author = {Ashley D. Edwards and Himanshu Sahni and Rosanne Liu and Jane Hung and Ankit Jain and Rui Wang and Adrien Ecoffet and Thomas Miconi and Charles Isbell and Jason Yosinski}, title = {Estimating Q(s, s') with Deep Deterministic Dynamics Gradients}, journal = {CoRR}, volume = {abs/2002.09505}, year = {2020}, url = {https://arxiv.org/abs/2002.09505}, eprinttype = {arXiv}, eprint = {2002.09505}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-09505.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-07113, author = {Dookun Park and Hao Yuan and Dongmin Kim and Yinglei Zhang and Spyros Matsoukas and Young{-}Bum Kim and Ruhi Sarikaya and Edward Guo and Yuan Ling and Kevin Quinn and Pham Hung and Benjamin Yao and Sungjin Lee}, title = {Large-scale Hybrid Approach for Predicting User Satisfaction with Conversational Agents}, journal = {CoRR}, volume = {abs/2006.07113}, year = {2020}, url = {https://arxiv.org/abs/2006.07113}, eprinttype = {arXiv}, eprint = {2006.07113}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-07113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-09394, author = {Junwoo Park and Youngwoo Cho and Haneol Lee and Jaegul Choo and Edward Choi}, title = {Knowledge Graph-based Question Answering with Electronic Health Records}, journal = {CoRR}, volume = {abs/2010.09394}, year = {2020}, url = {https://arxiv.org/abs/2010.09394}, eprinttype = {arXiv}, eprint = {2010.09394}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-09394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LohstrohKEJOL19, author = {Marten Lohstroh and Hokeun Kim and John C. Eidson and Chadlia Jerad and Beth Osyk and Edward A. Lee}, title = {On Enabling Technologies for the Internet of Important Things}, journal = {{IEEE} Access}, volume = {7}, pages = {27244--27256}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2901509}, doi = {10.1109/ACCESS.2019.2901509}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LohstrohKEJOL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GhaemiDCCNLLRMR19, author = {Mohammad Sajjad Ghaemi and Daniel B. DiGiulio and K{\'{e}}vin Contrepois and Benjamin J. Callahan and Thuy T. M. Ngo and Brittany Lee{-}McMullen and Benoit Lehallier and Anna Robaczewska and David Mcilwain and Yael Rosenberg{-}Hasson and Ronald J. Wong and Cecele Quaintance and Anthony Culos and Natalie Stanley and Athena Tanada and Amy Tsai and Dyani Gaudilliere and Edward Ganio and Xiaoyuan Han and Kazuo Ando and Leslie McNeil and Martha Tingle and Paul H. Wise and Ivana Maric and Marina Sirota and Tony Wyss{-}Coray and Virginia D. Winn and Maurice L. Druzin and Ronald Gibbs and Gary L. Darmstadt and David B. Lewis and Vahid Partovi Nia and Bruno Agard and Robert Tibshirani and Garry P. Nolan and Michael P. Snyder and David A. Relman and Stephen R. Quake and Gary M. Shaw and David K. Stevenson and Martin S. Angst and Brice Gaudilliere and Nima Aghaeepour}, title = {Multiomics modeling of the immunome, transcriptome, microbiome, proteome and metabolome adaptations during human pregnancy}, journal = {Bioinform.}, volume = {35}, number = {1}, pages = {95--103}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/bty537}, doi = {10.1093/BIOINFORMATICS/BTY537}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/GhaemiDCCNLLRMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KimLD19, author = {Hokeun Kim and Edward A. Lee and Schahram Dustdar}, title = {Creating a Resilient IoT With Edge Computing}, journal = {Computer}, volume = {52}, number = {8}, pages = {43--53}, year = {2019}, url = {https://doi.org/10.1109/MC.2018.2888768}, doi = {10.1109/MC.2018.2888768}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/KimLD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HwangKYKHML19, author = {Sohyun Hwang and Chan Yeong Kim and Sunmo Yang and Eiru Kim and G. Traver Hart and Edward M. Marcotte and Insuk Lee}, title = {HumanNet v2: human gene networks for disease research}, journal = {Nucleic Acids Res.}, volume = {47}, number = {Database-Issue}, pages = {D573--D580}, year = {2019}, url = {https://doi.org/10.1093/nar/gky1126}, doi = {10.1093/NAR/GKY1126}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HwangKYKHML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/YuanLYJMSB19, author = {Ting Yuan and Hyongki Lee and Hanwen Yu and Hahn Chul Jung and Austin Madson and Yongwei Sheng and Edward Beighley}, title = {Mapping Forested Floodplain Topography Using InSAR and Radar Altimetry}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {12}, number = {12}, pages = {5189--5198}, year = {2019}, url = {https://doi.org/10.1109/JSTARS.2019.2956400}, doi = {10.1109/JSTARS.2019.2956400}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/YuanLYJMSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenCBLELMS19, author = {Bryant Chen and Wilka Carvalho and Nathalie Baracaldo and Heiko Ludwig and Benjamin Edwards and Taesung Lee and Ian M. Molloy and Biplav Srivastava}, title = {Detecting Backdoor Attacks on Deep Neural Networks by Activation Clustering}, booktitle = {Workshop on Artificial Intelligence Safety 2019 co-located with the Thirty-Third {AAAI} Conference on Artificial Intelligence 2019 (AAAI-19), Honolulu, Hawaii, January 27, 2019}, year = {2019}, crossref = {DBLP:conf/aaai/2019safeai}, url = {https://ceur-ws.org/Vol-2301/paper\_18.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:15 +0100}, biburl = {https://dblp.org/rec/conf/aaai/ChenCBLELMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcicts/GongLYOGGNC19, author = {Yunyi Gong and Seokchul Lee and Hanbin Ying and Anup P. Omprakash and Edward Gebara and Huifang Gu and Charles Nicholls and John D. Cressler}, title = {A Broadband Logarithmic Power Detector Using 130 nm SiGe BiCMOS Technology}, booktitle = {2019 {IEEE} BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS), Nashville, TN, USA, November 3-6, 2019}, pages = {1--4}, year = {2019}, crossref = {DBLP:conf/bcicts/2019}, url = {https://doi.org/10.1109/BCICTS45179.2019.8972724}, doi = {10.1109/BCICTS45179.2019.8972724}, timestamp = {Fri, 16 Apr 2021 11:32:45 +0200}, biburl = {https://dblp.org/rec/conf/bcicts/GongLYOGGNC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/LeeHYL19, author = {Youngwoon Lee and Edward S. Hu and Zhengyu Yang and Joseph J. Lim}, title = {To Follow or not to Follow: Selective Imitation Learning from Observations}, booktitle = {3rd Annual Conference on Robot Learning, CoRL 2019, Osaka, Japan, October 30 - November 1, 2019, Proceedings}, pages = {11--23}, year = {2019}, crossref = {DBLP:conf/corl/2019}, url = {http://proceedings.mlr.press/v100/lee20a.html}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/corl/LeeHYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkCKKLKCBMCL19, author = {Heechun Park and Kyungwook Chang and Bon Woong Ku and Jinwoo Kim and Edward Lee and Daehyun Kim and Arjun Chaudhuri and Sanmitra Banerjee and Saibal Mukhopadhyay and Krishnendu Chakrabarty and Sung Kyu Lim}, title = {RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {101}, year = {2019}, crossref = {DBLP:conf/dac/2019}, url = {https://doi.org/10.1145/3316781.3323486}, doi = {10.1145/3316781.3323486}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkCKKLKCBMCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HelmuthPS19, author = {Thomas Helmuth and Edward R. Pantridge and Lee Spector}, title = {Lexicase selection of specialists}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, pages = {1030--1038}, year = {2019}, crossref = {DBLP:conf/gecco/2019}, url = {https://doi.org/10.1145/3321707.3321875}, doi = {10.1145/3321707.3321875}, timestamp = {Thu, 04 Jul 2019 11:07:32 +0200}, biburl = {https://dblp.org/rec/conf/gecco/HelmuthPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gptp/PantridgeHS19, author = {Edward R. Pantridge and Thomas Helmuth and Lee Spector}, title = {Comparison of Linear Genome Representations for Software Synthesis}, booktitle = {Genetic Programming Theory and Practice {XVII} {[GPTP} 2019, Michigan State University, East Lansing, Michigan, USA, May 16-19, 2019]}, pages = {255--274}, year = {2019}, crossref = {DBLP:conf/gptp/2019}, url = {https://doi.org/10.1007/978-3-030-39958-0\_13}, doi = {10.1007/978-3-030-39958-0\_13}, timestamp = {Tue, 12 May 2020 16:35:24 +0200}, biburl = {https://dblp.org/rec/conf/gptp/PantridgeHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YoshiokaLWH19, author = {Kentaro Yoshioka and Edward Lee and Simon Wong and Mark Horowitz}, title = {Dataset Culling: Towards Efficient Training of Distillation-Based Domain Specific Models}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {3237--3241}, year = {2019}, crossref = {DBLP:conf/icip/2019}, url = {https://doi.org/10.1109/ICIP.2019.8803462}, doi = {10.1109/ICIP.2019.8803462}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/YoshiokaLWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeSSHL19, author = {Youngwoon Lee and Shao{-}Hua Sun and Sriram Somasundaram and Edward S. Hu and Joseph J. Lim}, title = {Composing Complex Skills by Learning Transition Policies}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, year = {2019}, crossref = {DBLP:conf/iclr/2019}, url = {https://openreview.net/forum?id=rygrBhC5tQ}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeSSHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/EdwardsSSI19, author = {Ashley D. Edwards and Himanshu Sahni and Yannick Schroecker and Charles L. Isbell Jr.}, title = {Imitating Latent Policies from Observation}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, pages = {1755--1763}, year = {2019}, crossref = {DBLP:conf/icml/2019}, url = {http://proceedings.mlr.press/v97/edwards19a.html}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/EdwardsSSI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LeeHSDD19, author = {Soonam Lee and Shuo Han and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Three Dimensional Blind Image Deconvolution for Fluorescence Microscopy using Generative Adversarial Networks}, booktitle = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, pages = {538--542}, year = {2019}, crossref = {DBLP:conf/isbi/2019}, url = {https://doi.org/10.1109/ISBI.2019.8759250}, doi = {10.1109/ISBI.2019.8759250}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LeeHSDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LeeK0SA19, author = {Eojin Lee and Ingab Kang and Sukhan Lee and G. Edward Suh and Jung Ho Ahn}, title = {TWiCe: preventing row-hammering by exploiting time window counters}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {385--396}, year = {2019}, crossref = {DBLP:conf/isca/2019}, url = {https://doi.org/10.1145/3307650.3322232}, doi = {10.1145/3307650.3322232}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/LeeK0SA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeiKLCPVNHFN19, author = {Victor J. Lei and Edward H. Kennedy and ThaiBinh Luong and Xinwei Chen and Daniel E. Polsky and Kevin G. Volpp and Mark D. Neuman and John H. Holmes and Lee A. Fleisher and Amol S. Navathe}, title = {Model Performance Metrics in Assessing the Value of Adding Intraoperative Data for Death Prediction: Applications to Noncardiac Surgery}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, pages = {223--227}, year = {2019}, crossref = {DBLP:conf/medinfo/2019}, url = {https://doi.org/10.3233/SHTI190216}, doi = {10.3233/SHTI190216}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/LeiKLCPVNHFN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/BrewerCCDHMPW19, author = {E. Lee Brewer and Logan W. Clements and Jarrod A. Collins and Derek J. Doss and Jon S. Heiselman and Michael I. Miga and Chris D. Pavas and Edward H. Wisdom}, title = {The image-to-physical liver registration sparse data challenge}, booktitle = {Medical Imaging 2019: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, 16-21 February 2019}, pages = {109511F}, year = {2019}, crossref = {DBLP:conf/miigp/2019}, url = {https://doi.org/10.1117/12.2513952}, doi = {10.1117/12.2513952}, timestamp = {Tue, 07 Jul 2020 11:42:07 +0200}, biburl = {https://dblp.org/rec/conf/miigp/BrewerCCDHMPW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HanLFSDD19, author = {Shuo Han and Soonam Lee and Chichen Fu and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Nuclei counting in microscopy images with three dimensional generative adversarial networks}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, pages = {109492Y}, year = {2019}, crossref = {DBLP:conf/miip/2019}, url = {https://doi.org/10.1117/12.2512591}, doi = {10.1117/12.2512591}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/HanLFSDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KarlinPSWSBBCCC19, author = {Ian Karlin and Yoonho Park and Bronis R. de Supinski and Peng Wang and Bert Still and David Beckingsale and Robert Blake and Tong Chen and Guojing Cong and Carlos H. A. Costa and Johann Dahm and Giacomo Domeniconi and Thomas Epperly and Aaron Fisher and Sara Kokkila Schumacher and Steven H. Langer and Hai Le and Eun Kyung Lee and Naoya Maruyama and Xinyu Que and David F. Richards and Bj{\"{o}}rn Sj{\"{o}}green and Jonathan Wong and Carol S. Woodward and Ulrike Meier Yang and Xiaohua Zhang and Bob Anderson and David Appelhans and Levi Barnes and Peter D. Barnes Jr. and Sorin Bastea and David B{\"{o}}hme and Jamie A. Bramwell and James M. Brase and Jos{\'{e}} R. Brunheroto and Barry Chen and Charway R. Cooper and Tony Degroot and Robert D. Falgout and Todd Gamblin and David J. Gardner and James N. Glosli and John A. Gunnels and Max P. Katz and Tzanio V. Kolev and I{-}Feng W. Kuo and Matthew P. LeGendre and Ruipeng Li and Pei{-}Hung Lin and Shelby Lockhart and Kathleen McCandless and Claudia Misale and Jaime H. Moreno and Rob Neely and Jarom Nelson and Rao Nimmakayala and Kathryn M. O'Brien and Kevin O'Brien and Ramesh Pankajakshan and Roger Pearce and Slaven Peles and Phil Regier and Steven C. Rennich and Martin Schulz and Howard Scott and James C. Sexton and Kathleen Shoga and Shiv Sundram and Guillaume Thomas{-}Collignon and Brian Van Essen and Alexey Voronin and Bob Walkup and Lu Wang and Chris Ward and Hui{-}Fang Wen and Daniel A. White and Christopher Young and Cyril Zeller and Edward Zywicz}, title = {Preparation and optimization of a diverse workload for a large-scale heterogeneous system}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {32:1--32:17}, year = {2019}, crossref = {DBLP:conf/sc/2019}, url = {https://doi.org/10.1145/3295500.3356192}, doi = {10.1145/3295500.3356192}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/KarlinPSWSBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00173, author = {Kentaro Yoshioka and Edward Lee and Simon Wong and Mark Horowitz}, title = {Dataset Culling: Towards Efficient Training Of Distillation-Based Domain Specific Models}, journal = {CoRR}, volume = {abs/1902.00173}, year = {2019}, url = {http://arxiv.org/abs/1902.00173}, eprinttype = {arXiv}, eprint = {1902.00173}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00173.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-09458, author = {Anthony G. Francis and Aleksandra Faust and Hao{-}Tien Lewis Chiang and Jasmine Hsu and J. Chase Kew and Marek Fiser and Tsang{-}Wei Edward Lee}, title = {Long-Range Indoor Navigation with {PRM-RL}}, journal = {CoRR}, volume = {abs/1902.09458}, year = {2019}, url = {http://arxiv.org/abs/1902.09458}, eprinttype = {arXiv}, eprint = {1902.09458}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-09458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-09974, author = {Soonam Lee and Shuo Han and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Three dimensional blind image deconvolution for fluorescence microscopy using generative adversarial networks}, journal = {CoRR}, volume = {abs/1904.09974}, year = {2019}, url = {http://arxiv.org/abs/1904.09974}, eprinttype = {arXiv}, eprint = {1904.09974}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-09974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-09372, author = {Thomas Helmuth and Edward R. Pantridge and Lee Spector}, title = {Lexicase Selection of Specialists}, journal = {CoRR}, volume = {abs/1905.09372}, year = {2019}, url = {http://arxiv.org/abs/1905.09372}, eprinttype = {arXiv}, eprint = {1905.09372}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-09372.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-03821, author = {Sidney A. Feygin and Jessica R. Lazarus and Edward H. Forscher and Valentine Golfier{-}Vetterli and Jonathan W. Lee and Abhishek Gupta and Rashid A. Waraich and Colin J. R. Sheppard and Alexandre M. Bayen}, title = {{BISTRO:} Berkeley Integrated System for Transportation Optimization}, journal = {CoRR}, volume = {abs/1908.03821}, year = {2019}, url = {http://arxiv.org/abs/1908.03821}, eprinttype = {arXiv}, eprint = {1908.03821}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-03821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-07246, author = {Youngwoon Lee and Edward S. Hu and Zhengyu Yang and Alex Yin and Joseph J. Lim}, title = {{IKEA} Furniture Assembly Environment for Long-Horizon Complex Manipulation Tasks}, journal = {CoRR}, volume = {abs/1911.07246}, year = {2019}, url = {http://arxiv.org/abs/1911.07246}, eprinttype = {arXiv}, eprint = {1911.07246}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-07246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-07670, author = {Youngwoon Lee and Edward S. Hu and Zhengyu Yang and Joseph J. Lim}, title = {To Follow or not to Follow: Selective Imitation Learning from Observations}, journal = {CoRR}, volume = {abs/1912.07670}, year = {2019}, url = {http://arxiv.org/abs/1912.07670}, eprinttype = {arXiv}, eprint = {1912.07670}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-07670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LeeLSA18, author = {Eojin Lee and Sukhan Lee and G. Edward Suh and Jung Ho Ahn}, title = {TWiCe: Time Window Counter Based Row Refresh to Prevent Row-Hammering}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {1}, pages = {96--99}, year = {2018}, url = {https://doi.org/10.1109/LCA.2017.2787674}, doi = {10.1109/LCA.2017.2787674}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LeeLSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/WangTLW18, author = {Jiaqi Wang and Edward Talmage and Hyunyoung Lee and Jennifer L. Welch}, title = {Improved time bounds for linearizable implementations of abstract data types}, journal = {Inf. Comput.}, volume = {263}, pages = {1--30}, year = {2018}, url = {https://doi.org/10.1016/j.ic.2018.08.004}, doi = {10.1016/J.IC.2018.08.004}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iandc/WangTLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LeeWPRCAHCGWGC18, author = {KS Kylie Lee and Scott Wilson and Jimmy Perry and Robin Room and Sarah Callinan and Robert Assan and Noel E. Hayman and Tanya Chikritzhs and Dennis Gray and Edward Wilkes and Peter Jack and Katherine M. Conigrave}, title = {Developing a tablet computer-based application ('App') to measure self-reported alcohol consumption in Indigenous Australians}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {8:1--8:11}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0583-0}, doi = {10.1186/S12911-018-0583-0}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/LeeWPRCAHCGWGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LeeWPRCAHCGWJC18, author = {KS Kylie Lee and Scott Wilson and Jimmy Perry and Robin Room and Sarah Callinan and Robert Assan and Noel E. Hayman and Tanya Chikritzhs and Dennis Gray and Edward Wilkes and Peter Jack and Katherine M. Conigrave}, title = {Correction to: Developing a tablet computer-based application ('App') to measure self-reported alcohol consumption in Indigenous Australians}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {26}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0604-z}, doi = {10.1186/S12911-018-0604-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LeeWPRCAHCGWJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/Thavabalasingam18, author = {Sathesan Thavabalasingam and Edward B. O'Neil and Andy C. H. Lee}, title = {Multivoxel pattern similarity suggests the integration of temporal duration in hippocampal event sequence representations}, journal = {NeuroImage}, volume = {178}, pages = {136--146}, year = {2018}, url = {https://doi.org/10.1016/j.neuroimage.2018.05.036}, doi = {10.1016/J.NEUROIMAGE.2018.05.036}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/Thavabalasingam18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BrooksJKLLNOW18, author = {Christopher X. Brooks and Chadlia Jerad and Hokeun Kim and Edward A. Lee and Marten Lohstroh and Victor Nouvelletz and Beth Osyk and Matthew Weber}, title = {A Component Architecture for the Internet of Things}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1527--1542}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2812598}, doi = {10.1109/JPROC.2018.2812598}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BrooksJKLLNOW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/ChooKCLLLLKSSP18, author = {Jaegul Choo and Hannah Kim and Edward Clarkson and Zhicheng Liu and Changhyun Lee and Fuxin Li and Hanseung Lee and Ramakrishnan Kannan and Charles D. Stolper and John T. Stasko and Haesun Park}, title = {VisIRR: {A} Visual Analytics System for Information Retrieval and Recommendation for Large-Scale Document Data}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {12}, number = {1}, pages = {8:1--8:20}, year = {2018}, url = {https://doi.org/10.1145/3070616}, doi = {10.1145/3070616}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkdd/ChooKCLLLLKSSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/0001GLN18, author = {Haris Aziz and Serge Gaspers and Edward J. Lee and Kamran Najeebullah}, title = {Defender Stackelberg Game with Inverse Geodesic Length as Utility Metric}, booktitle = {Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems, {AAMAS} 2018, Stockholm, Sweden, July 10-15, 2018}, pages = {694--702}, year = {2018}, crossref = {DBLP:conf/atal/2018}, url = {http://dl.acm.org/citation.cfm?id=3237486}, timestamp = {Sat, 30 Sep 2023 09:34:53 +0200}, biburl = {https://dblp.org/rec/conf/atal/0001GLN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/FuLHHSDD18, author = {Chichen Fu and Soonam Lee and David Joon Ho and Shuo Han and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Three Dimensional Fluorescence Microscopy Image Synthesis and Segmentation}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {2221--2229}, year = {2018}, crossref = {DBLP:conf/cvpr/2018w}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w44/html/Fu\_Three\_Dimensional\_Fluorescence\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/FuLHHSDD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/LewisELKMLCH18, author = {Racheida Lewis and Cherie Edwards and Walter C. Lee and David B. Knight and Kayla Maxey and Jessica Rush Leeker and Monica E. Cardella and Morgan M. Hynes}, title = {Examining the Value of Mentoring in Youth Engineering Programs: What Motivates a Mentor to Mentor?}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2018, San Jose, CA, USA, October 3-6, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/fie/2018}, url = {https://doi.org/10.1109/FIE.2018.8658860}, doi = {10.1109/FIE.2018.8658860}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/LewisELKMLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/PantridgeHMS18, author = {Edward R. Pantridge and Thomas Helmuth and Nicholas Freitag McPhee and Lee Spector}, title = {Specialization and elitism in lexicase and tournament selection}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2018, Kyoto, Japan, July 15-19, 2018}, pages = {1914--1917}, year = {2018}, crossref = {DBLP:conf/gecco/2018c}, url = {https://doi.org/10.1145/3205651.3208220}, doi = {10.1145/3205651.3208220}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/gecco/PantridgeHMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/EstebanGLCBB18, author = {Pablo G{\'{o}}mez Esteban and Daniel Hern{\'{a}}ndez Garc{\'{\i}}a and Hee Rin Lee and Pauline Chevalier and Paul Baxter and Cindy L. Bethel}, title = {Social Robots in Therapy: Focusing on Autonomy and Ethical Challenges}, booktitle = {Companion of the 2018 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2018, Chicago, IL, USA, March 05-08, 2018}, pages = {391--392}, year = {2018}, crossref = {DBLP:conf/hri/2018c}, url = {https://doi.org/10.1145/3173386.3173562}, doi = {10.1145/3173386.3173562}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/EstebanGLCBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YanLSG18, author = {Yu Yan and Hoileong Lee and Edward Somer and Vicente Grau}, title = {Generation of Amyloid {PET} Images via Conditional Adversarial Training for Predicting Progression to Alzheimer's Disease}, booktitle = {PRedictive Intelligence in MEdicine - First International Workshop, {PRIME} 2018, Held in Conjunction with {MICCAI} 2018, Granada, Spain, September 16, 2018, Proceedings}, pages = {26--33}, year = {2018}, crossref = {DBLP:conf/miccai/2018prime}, url = {https://doi.org/10.1007/978-3-030-00320-3\_4}, doi = {10.1007/978-3-030-00320-3\_4}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/miccai/YanLSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-07198, author = {Chichen Fu and Soonam Lee and David Joon Ho and Shuo Han and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, title = {Fluorescence Microscopy Image Segmentation Using Convolutional Neural Network With Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1801.07198}, year = {2018}, url = {http://arxiv.org/abs/1801.07198}, eprinttype = {arXiv}, eprint = {1801.07198}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-07198.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-07914, author = {Ashley D. Edwards and Himanshu Sahni and Yannick Schroecker and Charles L. Isbell Jr.}, title = {Imitating Latent Policies from Observation}, journal = {CoRR}, volume = {abs/1805.07914}, year = {2018}, url = {http://arxiv.org/abs/1805.07914}, eprinttype = {arXiv}, eprint = {1805.07914}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-07914.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-05514, author = {Haris Aziz and Edward Lee}, title = {The Temporary Exchange Problem}, journal = {CoRR}, volume = {abs/1807.05514}, year = {2018}, url = {http://arxiv.org/abs/1807.05514}, eprinttype = {arXiv}, eprint = {1807.05514}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-05514.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-02689, author = {Kentaro Yoshioka and Edward Lee and Mark Horowitz}, title = {Training Domain Specific Models for Energy-Efficient Object Detection}, journal = {CoRR}, volume = {abs/1811.02689}, year = {2018}, url = {http://arxiv.org/abs/1811.02689}, eprinttype = {arXiv}, eprint = {1811.02689}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-02689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-03728, author = {Bryant Chen and Wilka Carvalho and Nathalie Baracaldo and Heiko Ludwig and Benjamin Edwards and Taesung Lee and Ian M. Molloy and Biplav Srivastava}, title = {Detecting Backdoor Attacks on Deep Neural Networks by Activation Clustering}, journal = {CoRR}, volume = {abs/1811.03728}, year = {2018}, url = {http://arxiv.org/abs/1811.03728}, eprinttype = {arXiv}, eprint = {1811.03728}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-03728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-07102, author = {Liyue Shen and Katie S. Shpanskaya and Edward H. Lee and Emily McKenna and Maryam Maleki and Quin Lu and Safwan Halabi and John M. Pauly and Kristen W. Yeom}, title = {Deep Learning with Attention to Predict Gestational Age of the Fetal Brain}, journal = {CoRR}, volume = {abs/1812.07102}, year = {2018}, url = {http://arxiv.org/abs/1812.07102}, eprinttype = {arXiv}, eprint = {1812.07102}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-07102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-07613, author = {Pablo G{\'{o}}mez Esteban and Daniel Hern{\'{a}}ndez Garc{\'{\i}}a and Hee Rin Lee and Pauline Chevalier and Paul Baxter and Cindy L. Bethel and Jainendra Shukla and Joan Oliver and Domenec Puig and Jason R. Wilson and Linda Tickle{-}Degnen and Madeleine Bartlett and Tony Belpaeme and Serge Thill and Kim Baraka and Francisco S. Melo and Manuela Veloso and David Becerra and Maja J. Mataric and Eduard Fosch{-}Villaronga and Jordi Albo{-}Canals and Gloria Beraldo and Emanuele Menegatti and Valentina De Tommasi and Roberto Mancin and Franca Benini and Zachary Henkel and Kenna Baugus and David C. May and Lucile Dupuy and Wendy A. Rogers and Ronit Feingold Polak and Shelly Levy{-}Tzedek and Dagoberto Cruz{-}Sandoval and Jes{\'{u}}s Favela and Michelle J. Johnson and Mayumi Mohan and Rochelle Mendonca}, title = {Proceedings of the Workshop on Social Robots in Therapy: Focusing on Autonomy and Ethical Challenges}, journal = {CoRR}, volume = {abs/1812.07613}, year = {2018}, url = {http://arxiv.org/abs/1812.07613}, eprinttype = {arXiv}, eprint = {1812.07613}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-07613.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YuanBLE17, author = {Yuxuan Yuan and Philipp E. Bayer and Huey{-}Tyng Lee and David Edwards}, title = {runBNG: a software package for BioNano genomic analysis on the command line}, journal = {Bioinform.}, volume = {33}, number = {19}, pages = {3107--3109}, year = {2017}, url = {https://doi.org/10.1093/bioinformatics/btx366}, doi = {10.1093/BIOINFORMATICS/BTX366}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/YuanBLE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csm/UlemaRNJRMWAAPL17, author = {Mehmet Ulema and Giovanni Romano and Satoshi Nagata and Jacob John and Max Riegel and Andrew Myles and Haiming Wang and Osama Aboul{-}Magd and Edward Au and Minyoung Park and Nam Tuan Le and Marco Hernandez and Mung Lee and Volker Jungnickel and Thomas K{\"{u}}rner}, title = {Standards News}, journal = {{IEEE} Commun. Stand. Mag.}, volume = {1}, number = {3}, pages = {13--19}, year = {2017}, url = {https://doi.org/10.1109/MCOMSTD.2017.8082575}, doi = {10.1109/MCOMSTD.2017.8082575}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csm/UlemaRNJRMWAAPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/HamiltonCLC17, author = {Liberty S. Hamilton and David L. Chang and Morgan B. Lee and Edward F. Chang}, title = {Semi-automated Anatomical Labeling and Inter-subject Warping of High-Density Intracranial Recording Electrodes in Electrocorticography}, journal = {Frontiers Neuroinformatics}, volume = {11}, pages = {62}, year = {2017}, url = {https://doi.org/10.3389/fninf.2017.00062}, doi = {10.3389/FNINF.2017.00062}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/HamiltonCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itpro/KimL17, author = {Hokeun Kim and Edward A. Lee}, title = {Authentication and Authorization for the Internet of Things}, journal = {{IT} Prof.}, volume = {19}, number = {5}, pages = {27--33}, year = {2017}, url = {https://doi.org/10.1109/MITP.2017.3680960}, doi = {10.1109/MITP.2017.3680960}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itpro/KimL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeW17, author = {Edward H. Lee and S. Simon Wong}, title = {Analysis and Design of a Passive Switched-Capacitor Matrix Multiplier for Approximate Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {261--271}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2599536}, doi = {10.1109/JSSC.2016.2599536}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ShimBYLHKSML17, author = {Jung Eun Shim and Changbae Bang and Sunmo Yang and Tak Lee and Sohyun Hwang and Chan Yeong Kim and Ulf Martin Singh{-}Blom and Edward M. Marcotte and Insuk Lee}, title = {{GWAB:} a web server for the network-based boosting of human genome-wide association data}, journal = {Nucleic Acids Res.}, volume = {45}, number = {Webserver-Issue}, pages = {W154--W161}, year = {2017}, url = {https://doi.org/10.1093/nar/gkx284}, doi = {10.1093/NAR/GKX284}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ShimBYLHKSML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhangAABDGHKLLL17, author = {Yun Zhang and Brian D. Aevermann and Tavis K. Anderson and David F. Burke and Gwenaelle Dauphin and Zhiping Gu and Sherry He and Sanjeev Kumar and Christopher N. Larsen and Alexandra J. Lee and Xiaomei Li and Catherine Macken and Colin Mahaffey and Brett E. Pickett and Brian Reardon and Thomas Smith and Lucy Stewart and Christian Suloway and Guangyu Sun and Lei Tong and Amy L. Vincent and Bryan Walters and Sam Zaremba and Hongtao Zhao and Liwei Zhou and Christian M. Zmasek and Edward B. Klem and Richard H. Scheuermann}, title = {Influenza Research Database: An integrated bioinformatics resource for influenza virus research}, journal = {Nucleic Acids Res.}, volume = {45}, number = {Database-Issue}, pages = {D466--D474}, year = {2017}, url = {https://doi.org/10.1093/nar/gkw857}, doi = {10.1093/NAR/GKW857}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ZhangAABDGHKLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeeBF17, author = {Won Hee Lee and Ed Bullmore and Sophia Frangou}, title = {Quantitative evaluation of simulated functional brain networks in graph theoretical analysis}, journal = {NeuroImage}, volume = {146}, pages = {724--733}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2016.08.050}, doi = {10.1016/J.NEUROIMAGE.2016.08.050}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/LeeBF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/KreningHFIRT17, author = {Samantha Krening and Brent Harrison and Karen M. Feigh and Charles Lee Isbell Jr. and Mark O. Riedl and Andrea Thomaz}, title = {Learning From Explanations Using Sentiment and Advice in {RL}}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {9}, number = {1}, pages = {44--55}, year = {2017}, url = {https://doi.org/10.1109/TCDS.2016.2628365}, doi = {10.1109/TCDS.2016.2628365}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/KreningHFIRT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ShiaoCLVPBW17, author = {Han{-}Tai Shiao and Vladimir Cherkassky and Jieun Lee and Brandon Veber and Edward E. Patterson and Benjamin H. Brinkmann and Gregory A. Worrell}, title = {SVM-Based System for Prediction of Epileptic Seizures From iEEG Signal}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {5}, pages = {1011--1022}, year = {2017}, url = {https://doi.org/10.1109/TBME.2016.2586475}, doi = {10.1109/TBME.2016.2586475}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ShiaoCLVPBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/HuangWLZLZZ17, author = {Edward W. Huang and Sheng Wang and Doris J. Lee and Runshun Zhang and Baoyan Liu and Xuezhong Zhou and ChengXiang Zhai}, title = {Framing Electronic Medical Records as Polylingual Documents in Query Expansion}, booktitle = {{AMIA} 2017, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 4-8, 2017}, year = {2017}, crossref = {DBLP:conf/amia/2017}, url = {https://knowledge.amia.org/65881-amiab-1.4254737/t003-1.4258387/f003-1.4258388/2730191-1.4258704/2732176-1.4258701}, timestamp = {Wed, 17 Apr 2024 11:47:24 +0200}, biburl = {https://dblp.org/rec/conf/amia/HuangWLZLZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyphy/KimWL17, author = {Hokeun Kim and Armin Wasicek and Edward A. Lee}, title = {An Integrated Simulation Tool for Computer Architecture and Cyber-Physical Systems}, booktitle = {Cyber Physical Systems. Design, Modeling, and Evaluation - 7th International Workshop, CyPhy 2017, Seoul, South Korea, October 15-20, 2017, Revised Selected Papers}, pages = {83--93}, year = {2017}, crossref = {DBLP:conf/cyphy/2017}, url = {https://doi.org/10.1007/978-3-030-17910-6\_7}, doi = {10.1007/978-3-030-17910-6\_7}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/cyphy/KimWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/LohstrohKL17, author = {Marten Lohstroh and Hokeun Kim and Edward A. Lee}, title = {Contextual callbacks for resource discovery and trust negotiation on the internet of things: work-in-progress}, booktitle = {Proceedings of the Thirteenth {ACM} International Conference on Embedded Software 2017 Companion, {EMSOFT} 2017 Companion, Seoul, Republic of Korea, October 15-20, 2017}, pages = {14:1--14:2}, year = {2017}, crossref = {DBLP:conf/emsoft/2017c}, url = {https://doi.org/10.1145/3125503.3125629}, doi = {10.1145/3125503.3125629}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/LohstrohKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/HelmuthMPS17, author = {Thomas Helmuth and Nicholas Freitag McPhee and Edward R. Pantridge and Lee Spector}, title = {Improving generalization of evolved programs through automatic simplification}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2017, Berlin, Germany, July 15-19, 2017}, pages = {937--944}, year = {2017}, crossref = {DBLP:conf/gecco/2017}, url = {https://doi.org/10.1145/3071178.3071330}, doi = {10.1145/3071178.3071330}, timestamp = {Tue, 06 Nov 2018 11:06:34 +0100}, biburl = {https://dblp.org/rec/conf/gecco/HelmuthMPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/PantridgeHMS17, author = {Edward R. Pantridge and Thomas Helmuth and Nicholas Freitag McPhee and Lee Spector}, title = {On the difficulty of benchmarking inductive program synthesis methods}, booktitle = {Genetic and Evolutionary Computation Conference, Berlin, Germany, July 15-19, 2017, Companion Material Proceedings}, pages = {1589--1596}, year = {2017}, crossref = {DBLP:conf/gecco/2017c}, url = {https://doi.org/10.1145/3067695.3082533}, doi = {10.1145/3067695.3082533}, timestamp = {Tue, 06 Nov 2018 11:06:39 +0100}, biburl = {https://dblp.org/rec/conf/gecco/PantridgeHMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gptp/SpectorCSHP17, author = {Lee Spector and William G. La Cava and Saul Shanabrook and Thomas Helmuth and Edward R. Pantridge}, title = {Relaxations of Lexicase Parent Selection}, booktitle = {Genetic Programming Theory and Practice XV, {[GPTP} 2017, University of Michigan, Ann Arbor, USA, May 18-20, 2017]}, pages = {105--120}, year = {2017}, crossref = {DBLP:conf/gptp/2017}, url = {https://doi.org/10.1007/978-3-319-90512-9\_7}, doi = {10.1007/978-3-319-90512-9\_7}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gptp/SpectorCSHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeMCMW17, author = {Edward H. Lee and Daisuke Miyashita and Elaina Chai and Boris Murmann and S. Simon Wong}, title = {LogNet: Energy-efficient neural networks using logarithmic computation}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {5900--5904}, year = {2017}, crossref = {DBLP:conf/icassp/2017}, url = {https://doi.org/10.1109/ICASSP.2017.7953288}, doi = {10.1109/ICASSP.2017.7953288}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeMCMW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/KimKLB17, author = {Hokeun Kim and Eunsuk Kang and Edward A. Lee and David Broman}, title = {A Toolkit for Construction of Authorization Service Infrastructure for the Internet of Things}, booktitle = {Proceedings of the Second International Conference on Internet-of-Things Design and Implementation, IoTDI 2017, Pittsburgh, PA, USA, April 18-21, 2017}, pages = {147--158}, year = {2017}, crossref = {DBLP:conf/iotdi/2017}, url = {https://doi.org/10.1145/3054977.3054980}, doi = {10.1145/3054977.3054980}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iotdi/KimKLB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/KimKBL17, author = {Hokeun Kim and Eunsuk Kang and David Broman and Edward A. Lee}, title = {An Architectural Mechanism for Resilient IoT Services}, booktitle = {Proceedings of the 1st {ACM} Workshop on the Internet of Safe Things, SafeThings@SenSys 2017, Delft, The Netherlands, November 5, 2017}, pages = {8--13}, year = {2017}, crossref = {DBLP:conf/sensys/2017safethings}, url = {https://doi.org/10.1145/3137003.3137010}, doi = {10.1145/3137003.3137010}, timestamp = {Tue, 06 Nov 2018 16:57:58 +0100}, biburl = {https://dblp.org/rec/conf/sensys/KimKBL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tacas/ChengLR17, author = {Chih{-}Hong Cheng and Edward A. Lee and Harald Ruess}, title = {autoCode4: Structural Controller Synthesis}, booktitle = {Tools and Algorithms for the Construction and Analysis of Systems - 23rd International Conference, {TACAS} 2017, Held as Part of the European Joint Conferences on Theory and Practice of Software, {ETAPS} 2017, Uppsala, Sweden, April 22-29, 2017, Proceedings, Part {I}}, pages = {398--404}, year = {2017}, crossref = {DBLP:conf/tacas/2017-1}, url = {https://doi.org/10.1007/978-3-662-54577-5\_23}, doi = {10.1007/978-3-662-54577-5\_23}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/tacas/ChengLR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alife/TaylorBCABBDFHI16, author = {Tim Taylor and Mark A. Bedau and Alastair Channon and David H. Ackley and Wolfgang Banzhaf and Guillaume Beslon and Emily L. Dolson and Tom Froese and Simon J. Hickinbotham and Takashi Ikegami and Barry McMullin and Norman H. Packard and Steen Rasmussen and Nathaniel Virgo and Eran Agmon and Edward Clark and Simon McGregor and Charles Ofria and Glen E. P. Ropella and Lee Spector and Kenneth O. Stanley and Adam Stanton and Christopher Steven Timperley and Anya E. Vostinar and Michael J. Wiser}, title = {Open-Ended Evolution: Perspectives from the {OEE} Workshop in York}, journal = {Artif. Life}, volume = {22}, number = {3}, pages = {408--423}, year = {2016}, url = {https://doi.org/10.1162/ARTL\_a\_00210}, doi = {10.1162/ARTL\_A\_00210}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/alife/TaylorBCABBDFHI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/XuZHCLC16, author = {Jie Xu and Si Zhang and Edward Huang and Chun{-}Hung Chen and Loo Hay Lee and Nurcin Celik}, title = {MO\({}^{\mbox{2}}\)TOS: Multi-Fidelity Optimization with Ordinal Transformation and Optimal Sampling}, journal = {Asia Pac. J. Oper. Res.}, volume = {33}, number = {3}, pages = {1650017:1--1650017:26}, year = {2016}, url = {https://doi.org/10.1142/S0217595916500172}, doi = {10.1142/S0217595916500172}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/XuZHCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jos/XuHHLJC16, author = {Jie Xu and Edward Huang and Liam Y. Hsieh and Loo Hay Lee and Qing{-}Shan Jia and Chun{-}Hung Chen}, title = {Simulation optimization in the era of Industrial 4.0 and the Industrial Internet}, journal = {J. Simulation}, volume = {10}, number = {4}, pages = {310--320}, year = {2016}, url = {https://doi.org/10.1057/s41273-016-0037-6}, doi = {10.1057/S41273-016-0037-6}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jos/XuHHLJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/KimHKSKYSSML16, author = {Eiru Kim and Sohyun Hwang and Hyojin Kim and Hongseok Shim and Byunghee Kang and Sunmo Yang and Jae Ho Shim and Seung Yeon Shin and Edward M. Marcotte and Insuk Lee}, title = {MouseNet v2: a database of gene networks for studying the laboratory mouse and eight other model vertebrates}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {848--854}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1155}, doi = {10.1093/NAR/GKV1155}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/KimHKSKYSSML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MontuschiMCCRDE16, author = {Paolo Montuschi and Edward J. McCluskey and Samarjit Chakraborty and Jason Cong and Ram{\'{o}}n M. Rodr{\'{\i}}guez{-}Dagnino and Fred Douglis and Lieven Eeckhout and Gernot Heiser and Sushil Jajodia and Ruby B. Lee and Dinesh Manocha and Tom{\'{a}}s F. Pena and Isabelle Puaut and Hanan Samet and Donatella Sciuto}, title = {State of the Journal}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {7}, pages = {2014--2018}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2568358}, doi = {10.1109/TC.2016.2568358}, timestamp = {Thu, 27 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MontuschiMCCRDE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LeeLYCKC16, author = {Jinsung Lee and Hojin Lee and Yung Yi and Song Chong and Edward W. Knightly and Mung Chiang}, title = {Making 802.11 {DCF} Near-Optimal: Design, Implementation, and Evaluation}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {24}, number = {3}, pages = {1745--1758}, year = {2016}, url = {https://doi.org/10.1109/TNET.2015.2432053}, doi = {10.1109/TNET.2015.2432053}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/LeeLYCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HuangZLCC16, author = {Edward Huang and Si Zhang and Loo Hay Lee and Ek Peng Chew and Chun{-}Hung Chen}, title = {Improving Analytic Hierarchy Process Expert Allocation Using Optimal Computing Budget Allocation}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {46}, number = {8}, pages = {1140--1147}, year = {2016}, url = {https://doi.org/10.1109/TSMC.2015.2478754}, doi = {10.1109/TSMC.2015.2478754}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HuangZLCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/HoussineauCILF16, author = {Jeremie Houssineau and Daniel E. Clark and Spela Ivekovic and Chee Sing Lee and Jose Franco}, title = {A Unified Approach for Multi-Object Triangulation, Tracking and Camera Calibration}, journal = {{IEEE} Trans. Signal Process.}, volume = {64}, number = {11}, pages = {2934--2948}, year = {2016}, url = {https://doi.org/10.1109/TSP.2016.2523454}, doi = {10.1109/TSP.2016.2523454}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/HoussineauCILF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/KreningHFIT16, author = {Samantha Krening and Brent Harrison and Karen M. Feigh and Charles L. Isbell Jr. and Andrea Thomaz}, title = {Object-Focused Advice in Reinforcement Learning}, booktitle = {Proceedings of the 2016 International Conference on Autonomous Agents {\&} Multiagent Systems, Singapore, May 9-13, 2016}, pages = {1447--1448}, year = {2016}, crossref = {DBLP:conf/atal/2016}, url = {http://dl.acm.org/citation.cfm?id=2937203}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/KreningHFIT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/SahniHSCIT16, author = {Himanshu Sahni and Brent Harrison and Kaushik Subramanian and Thomas Cederborg and Charles L. Isbell Jr. and Andrea Thomaz}, title = {Policy Shaping in Domains with Multiple Optimal Policies: (Extended Abstract)}, booktitle = {Proceedings of the 2016 International Conference on Autonomous Agents {\&} Multiagent Systems, Singapore, May 9-13, 2016}, pages = {1455--1456}, year = {2016}, crossref = {DBLP:conf/atal/2016}, url = {http://dl.acm.org/citation.cfm?id=2937207}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/SahniHSCIT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cri/DanaheyORVAYLL16, author = {Keith Danahey and Peter H. O'Donnell and Mark J. Ratain and Samuel L. Volchenboum and Emanuele Agolini and Kiang{-}Teck J. Yeo and Edward K. Leung and Yee Ming Lee}, title = {Integration of Cytochrome {P450} 2D6 {(CYP2D6)} Gene-Drug Results into a Clinical Decision Support System}, booktitle = {Summit on Clinical Research Informatics, {CRI} 2016, San Francisco, CA, USA, March 21-24, 2016}, year = {2016}, crossref = {DBLP:conf/cri/2016}, url = {http://knowledge.amia.org/amia-59309-cri2016-1.3011827/t005-1.3012400/f005-1.3012401/a151-1.3012596/a153-1.3012591}, timestamp = {Wed, 20 Jun 2018 17:09:02 +0200}, biburl = {https://dblp.org/rec/conf/cri/DanaheyORVAYLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MullerFSLPC16, author = {Leah Muller and Sarah H. Felix and Kedar G. Shah and Kye Young Lee and Satinderpall Pannu and Edward F. Chang}, title = {Thin-film, high-density micro-electrocorticographic decoding of a human cortical gyrus}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1528--1531}, year = {2016}, crossref = {DBLP:conf/embc/2016}, url = {https://doi.org/10.1109/EMBC.2016.7591001}, doi = {10.1109/EMBC.2016.7591001}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/MullerFSLPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficloud/KimWML16, author = {Hokeun Kim and Armin Wasicek and Benjamin Mehne and Edward A. Lee}, title = {A Secure Network Architecture for the Internet of Things Based on Local Authorization Entities}, booktitle = {4th {IEEE} International Conference on Future Internet of Things and Cloud, FiCloud 2016, Vienna, Austria, August 22-24, 2016}, pages = {114--122}, year = {2016}, crossref = {DBLP:conf/ficloud/2016}, url = {https://doi.org/10.1109/FiCloud.2016.24}, doi = {10.1109/FICLOUD.2016.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ficloud/KimWML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeW16, author = {Edward H. Lee and S. Simon Wong}, title = {24.2 {A} 2.5GHz 7.7TOPS/W switched-capacitor matrix multiplier with co-designed local memory in 40nm}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {418--419}, year = {2016}, crossref = {DBLP:conf/isscc/2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418085}, doi = {10.1109/ISSCC.2016.7418085}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/MonaghanHAMTKMO16, author = {David S. Monaghan and Freddie Honohan and Amin Ahmadi and Troy McDaniel and Ramin Tadayon and Ajay Karpur and Kieran Moran and Noel E. O'Connor and Sethuraman Panchanathan}, title = {A Multimodal Gamified Platform for Real-Time User Feedback in Sports Performance}, booktitle = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, pages = {708--710}, year = {2016}, crossref = {DBLP:conf/mm/2016}, url = {https://doi.org/10.1145/2964284.2973815}, doi = {10.1145/2964284.2973815}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/MonaghanHAMTKMO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/HalloranWBO16, author = {Edward J. Halloran and John M. Welton and H. Lee Bastin and Kennedy Onori}, title = {Rationale for Quantification in Nursing Services Using the International Council of Nurses Nurse-Patient Summary}, booktitle = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, pages = {476--480}, year = {2016}, crossref = {DBLP:conf/ni/2016}, url = {https://doi.org/10.3233/978-1-61499-658-3-476}, doi = {10.3233/978-1-61499-658-3-476}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/HalloranWBO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeeW16a, author = {Edward H. Lee and S. Simon Wong}, title = {Analysis and Design of a Passive Switched-Capacitor Matrix Multiplier for Approximate Computing}, journal = {CoRR}, volume = {abs/1612.00933}, year = {2016}, url = {http://arxiv.org/abs/1612.00933}, eprinttype = {arXiv}, eprint = {1612.00933}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeeW16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MiyashitaLM16, author = {Daisuke Miyashita and Edward H. Lee and Boris Murmann}, title = {Convolutional Neural Networks using Logarithmic Data Representation}, journal = {CoRR}, volume = {abs/1603.01025}, year = {2016}, url = {http://arxiv.org/abs/1603.01025}, eprinttype = {arXiv}, eprint = {1603.01025}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MiyashitaLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/XuHCL15, author = {Jie Xu and Edward Huang and Chun{-}Hung Chen and Loo Hay Lee}, title = {Simulation Optimization: {A} Review and Exploration in the New Era of Cloud Computing and Big Data}, journal = {Asia Pac. J. Oper. Res.}, volume = {32}, number = {3}, pages = {1550019:1--1550019:34}, year = {2015}, url = {https://doi.org/10.1142/S0217595915500190}, doi = {10.1142/S0217595915500190}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apjor/XuHCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/ONeilWDLL15, author = {Edward B. O'Neil and Hilary C. Watson and Sonya Dhillon and Nancy J. Lobaugh and Andy C. H. Lee}, title = {Multivariate fMRI and Eye Tracking Reveal Differential Effects of Visual Interference on Recognition Memory Judgments for Objects and Scenes}, journal = {J. Cogn. Neurosci.}, volume = {27}, number = {9}, pages = {1708--1722}, year = {2015}, url = {https://doi.org/10.1162/jocn\_a\_00816}, doi = {10.1162/JOCN\_A\_00816}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/ONeilWDLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZihajehzadehLLHP15, author = {Shaghayegh Zihajehzadeh and Tien Jung Lee and Jung{-}Keun Lee and Reynald Hoskinson and Edward J. Park}, title = {Integration of {MEMS} Inertial and Pressure Sensors for Vertical Trajectory Determination}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {64}, number = {3}, pages = {804--814}, year = {2015}, url = {https://doi.org/10.1109/TIM.2014.2359813}, doi = {10.1109/TIM.2014.2359813}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZihajehzadehLLHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZimmerHL15, author = {Michael Zimmer and J. Karl Hedrick and Edward A. Lee}, title = {Ramifications of software implementation and deployment: {A} case study on yaw moment controller design}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {2014--2019}, year = {2015}, crossref = {DBLP:conf/amcc/2015}, url = {https://doi.org/10.1109/ACC.2015.7171029}, doi = {10.1109/ACC.2015.7171029}, timestamp = {Fri, 03 Dec 2021 13:03:59 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ZimmerHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WasicekLKGIA15, author = {Armin Wasicek and Edward A. Lee and Hokeun Kim and Lev Greenberg and Akihito Iwai and Ilge Akkaya}, title = {System simulation from operational data}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {3:1--3:6}, year = {2015}, crossref = {DBLP:conf/dac/2015}, url = {https://doi.org/10.1145/2744769.2747944}, doi = {10.1145/2744769.2747944}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WasicekLKGIA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKCH15, author = {Jeon Lee and Seung{-}Jun Kim and Rong Chen and Edward H. Herskovits}, title = {Brain tumor image segmentation using kernel dictionary learning}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {658--661}, year = {2015}, crossref = {DBLP:conf/embc/2015}, url = {https://doi.org/10.1109/EMBC.2015.7318448}, doi = {10.1109/EMBC.2015.7318448}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeKCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LohLZHP15, author = {Darrell Loh and Tien Jung Lee and Shaghayegh Zihajehzadeh and Reynald Hoskinson and Edward J. Park}, title = {Fitness activity classification by using multiclass support vector machines on head-worn sensors}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {502--505}, year = {2015}, crossref = {DBLP:conf/embc/2015}, url = {https://doi.org/10.1109/EMBC.2015.7318409}, doi = {10.1109/EMBC.2015.7318409}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LohLZHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChowEKLMW15, author = {Richard Chow and Serge Egelman and Raghudeep Kannavara and Hosub Lee and Suyash Misra and Edward Wang}, title = {{HCI} in Business: {A} Collaboration with Academia in IoT Privacy}, booktitle = {{HCI} in Business - Second International Conference, {HCIB} 2015, Held as Part of {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015, Proceedings}, pages = {679--687}, year = {2015}, crossref = {DBLP:conf/hci/2015-23}, url = {https://doi.org/10.1007/978-3-319-20895-4\_63}, doi = {10.1007/978-3-319-20895-4\_63}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChowEKLMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/AlluhaidanLACHD15, author = {Ala Saleh D. Alluhaidan and Edward Lee and Nagla Alnosayan and Samir Chatterjee and Linda Houston{-}Feenstra and Wayne Dysinger and Mercy Kagoda}, title = {Designing Patient-Centered mHealth Technology Intervention to Reduce Hospital Readmission for Heart-Failure Patients}, booktitle = {48th Hawaii International Conference on System Sciences, {HICSS} 2015, Kauai, Hawaii, USA, January 5-8, 2015}, pages = {2886--2895}, year = {2015}, crossref = {DBLP:conf/hicss/2015}, url = {https://doi.org/10.1109/HICSS.2015.349}, doi = {10.1109/HICSS.2015.349}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/AlluhaidanLACHD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeUW15, author = {Edward H. Lee and Madeleine Udell and S. Simon Wong}, title = {Factorization for analog-to-digital matrix multiplication}, booktitle = {2015 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia, April 19-24, 2015}, pages = {1061--1065}, year = {2015}, crossref = {DBLP:conf/icassp/2015}, url = {https://doi.org/10.1109/ICASSP.2015.7178132}, doi = {10.1109/ICASSP.2015.7178132}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeUW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/KimBLZSO15, author = {Hokeun Kim and David Broman and Edward A. Lee and Michael Zimmer and Aviral Shrivastava and Junkwang Oh}, title = {A predictable and command-level priority-based {DRAM} controller for mixed-criticality systems}, booktitle = {21st {IEEE} Real-Time and Embedded Technology and Applications Symposium, Seattle, WA, USA, April 13-16, 2015}, pages = {317--326}, year = {2015}, crossref = {DBLP:conf/rtas/2015}, url = {https://doi.org/10.1109/RTAS.2015.7108455}, doi = {10.1109/RTAS.2015.7108455}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/KimBLZSO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HemphillLLMN14, author = {Edward Hemphill and James Lindsay and Chih Lee and Ion I. Mandoiu and Craig E. Nelson}, title = {Feature selection and classifier performance on diverse bio- logical datasets}, journal = {{BMC} Bioinform.}, volume = {15}, number = {{S-13}}, pages = {S4}, year = {2014}, url = {https://doi.org/10.1186/1471-2105-15-S13-S4}, doi = {10.1186/1471-2105-15-S13-S4}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HemphillLLMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14, author = {Edward A. Lee and Bj{\"{o}}rn Hartmann and John Kubiatowicz and Tajana Simunic Rosing and John Wawrzynek and David Wessel and Jan M. Rabaey and Kris Pister and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and David T. Blaauw and Prabal Dutta and Kevin Fu and Carlos Guestrin and Ben Taskar and Roozbeh Jafari and Douglas L. Jones and Vijay Kumar and Rahul Mangharam and George J. Pappas and Richard M. Murray and Anthony Rowe}, title = {The Swarm at the Edge of the Cloud}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {8--20}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314600}, doi = {10.1109/MDAT.2014.2314600}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/GonzalezLDJJLHCRLBEHK14, author = {Avelino J. Gonzalez and Jason Leigh and Ronald F. DeMara and Andrew E. Johnson and Steven Jones and Sangyoon Lee and Victor Chou Hung and Gordon S. Carlson and Luc Renambot and Carlos Leon{-}Barth and Maxine D. Brown and Miguel Elvir and James Hollister and Steven Kobosko}, title = {Passing an Enhanced Turing Test - Interacting with Lifelike Computer Representations of Specific Individuals}, journal = {J. Intell. Syst.}, volume = {23}, number = {3}, pages = {357}, year = {2014}, url = {https://doi.org/10.1515/jisys-2014-0085}, doi = {10.1515/JISYS-2014-0085}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jois/GonzalezLDJJLHCRLBEHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HwangKYML14, author = {Sohyun Hwang and Eiru Kim and Sunmo Yang and Edward M. Marcotte and Insuk Lee}, title = {{MORPHIN:} a web tool for human disease research by projecting model organism biology onto a human integrated gene network}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Webserver-Issue}, pages = {147--153}, year = {2014}, url = {https://doi.org/10.1093/nar/gku434}, doi = {10.1093/NAR/GKU434}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/HwangKYML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/FordeRSSNHLTRFJDMBMNC14, author = {Natalie J. Forde and Lisa Ronan and John Suckling and Cathy Scanlon and Simon Neary and Laurena Holleran and Alexander Leemans and Roger Tait and Catarina Rua and Paul C. Fletcher and Ben Jeurissen and Chris M. Dodds and Sam R. Miller and Edward T. Bullmore and Colm McDonald and Pradeep J. Nathan and Dara M. Cannon}, title = {Structural neuroimaging correlates of allelic variation of the {BDNF} val66met polymorphism}, journal = {NeuroImage}, volume = {90}, pages = {280--289}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2013.12.050}, doi = {10.1016/J.NEUROIMAGE.2013.12.050}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/FordeRSSNHLTRFJDMBMNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/XuZHCLC14, author = {Jie Xu and Si Zhang and Edward Huang and Chun{-}Hung Chen and Loo Hay Lee and Nurcin Celik}, title = {An ordinal transformation framework for multi-fidelity simulation optimization}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {385--390}, year = {2014}, crossref = {DBLP:conf/case/2014}, url = {https://doi.org/10.1109/CoASE.2014.6899354}, doi = {10.1109/COASE.2014.6899354}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/XuZHCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZihajehzadehLLH14, author = {Shaghayegh Zihajehzadeh and Darrell Loh and Matthew Lee and Reynald Hoskinson and Edward J. Park}, title = {A cascaded two-step Kalman filter for estimation of human body segment orientation using {MEMS-IMU}}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {6270--6273}, year = {2014}, crossref = {DBLP:conf/embc/2014}, url = {https://doi.org/10.1109/EMBC.2014.6945062}, doi = {10.1109/EMBC.2014.6945062}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ZihajehzadehLLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeCK14, author = {Changki Lee and Edward Choi and Hyunki Kim}, title = {Balanced Korean Word Spacing with Structural {SVM}}, booktitle = {Proceedings of the 2014 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2014, October 25-29, 2014, Doha, Qatar, {A} meeting of SIGDAT, a Special Interest Group of the {ACL}}, pages = {875--879}, year = {2014}, crossref = {DBLP:conf/emnlp/2014}, url = {https://doi.org/10.3115/v1/d14-1094}, doi = {10.3115/V1/D14-1094}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/AlnosayanLACHKD14, author = {Nagla Alnosayan and Edward Lee and Ala Saleh D. Alluhaidan and Samir Chatterjee and Linda Houston{-}Feenstra and Mercy Kagoda and Wayne Dysinger}, title = {MyHeart: An intelligent mHealth home monitoring system supporting heart failure self-care}, booktitle = {16th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2014, Natal-RN, Brazil, October 15-18, 2014}, pages = {311--316}, year = {2014}, crossref = {DBLP:conf/healthcom/2014}, url = {https://doi.org/10.1109/HealthCom.2014.7001860}, doi = {10.1109/HEALTHCOM.2014.7001860}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/AlnosayanLACHKD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/0001FHC14, author = {Chee Sing Lee and Jose Franco and Jeremie Houssineau and Daniel E. Clark}, title = {Accelerating the Single Cluster {PHD} Filter with a {GPU} implementation}, booktitle = {The International Conference on Control, Automation and Information Sciences, {ICCAIS} 2014, Gwangju, South Korea, December 2-5, 2014}, pages = {53--58}, year = {2014}, crossref = {DBLP:conf/iccais/2014}, url = {https://doi.org/10.1109/ICCAIS.2014.7020567}, doi = {10.1109/ICCAIS.2014.7020567}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccais/0001FHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indocrypt/KimLN14, author = {Kwang Ho Kim and Chol Ok Lee and Christophe N{\`{e}}gre}, title = {Binary Edwards Curves Revisited}, booktitle = {Progress in Cryptology - {INDOCRYPT} 2014 - 15th International Conference on Cryptology in India, New Delhi, India, December 14-17, 2014, Proceedings}, pages = {393--408}, year = {2014}, crossref = {DBLP:conf/indocrypt/2014}, url = {https://doi.org/10.1007/978-3-319-13039-2\_23}, doi = {10.1007/978-3-319-13039-2\_23}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/KimLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WangTLW14, author = {Jiaqi Wang and Edward Talmage and Hyunyoung Lee and Jennifer L. Welch}, title = {Improved Time Bounds for Linearizable Implementations of Abstract Data Types}, booktitle = {2014 {IEEE} 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}, pages = {691--701}, year = {2014}, crossref = {DBLP:conf/ipps/2014}, url = {https://doi.org/10.1109/IPDPS.2014.77}, doi = {10.1109/IPDPS.2014.77}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/WangTLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/NicolDKMBEEGHLMRS14, author = {Emma Nicol and Mark D. Dunlop and Andreas Komninos and Marilyn Rose McGee{-}Lennon and Lynne Baillie and Alistair D. N. Edwards and Parisa Eslambolchilar and Joy Goodman{-}Deane and Lilit Hakobyan and Jo Lumsden and Ingrid Mulder and Pei{-}Luen Patrick Rau and Katie A. Siek}, title = {Re-imagining commonly used mobile interfaces for older adults}, booktitle = {Proceedings of the 16th international conference on Human-computer interaction with mobile devices {\&} services, MobileHCI 2014, Toronto, ON, Canada, September 23-26, 2014}, pages = {585--588}, year = {2014}, crossref = {DBLP:conf/mhci/2014}, url = {https://doi.org/10.1145/2628363.2634261}, doi = {10.1145/2628363.2634261}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/NicolDKMBEEGHLMRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sui/ZhangCTDLLH14, author = {Ben Zhang and Yu{-}Hsiang Chen and Claire Tuna and Achal Dave and Yang Li and Edward A. Lee and Bj{\"{o}}rn Hartmann}, title = {{HOBS:} head orientation-based selection in physical spaces}, booktitle = {Proceedings of the 2nd {ACM} Symposium on Spatial User Interaction, {SUI} 2014, Honolulu, HI, USA, October 4-5, 2014}, pages = {17--25}, year = {2014}, crossref = {DBLP:conf/sui/2014}, url = {https://doi.org/10.1145/2659766.2659773}, doi = {10.1145/2659766.2659773}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sui/ZhangCTDLLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vast/RitsosWMWTLGEMK14, author = {Panagiotis D. Ritsos and Andrew T. Wilson and Helen C. Miles and Lee F. Williams and Bernard Tiddeman and Fr{\'{e}}d{\'{e}}ric Labrosse and Seren Griffiths and Ben Edwards and Katharina M{\"{o}}ller and Raimund Karl and Jonathan C. Roberts}, title = {Community-driven Generation of 3D and Augmented Web Content for Archaeology}, booktitle = {12th Eurographics Workshop on Graphics and Cultural Heritage, {GCH} 2014 - Short and Project Papers, Darmstadt, Germany, October 6-8, 2014}, year = {2014}, crossref = {DBLP:conf/vast/2014s}, url = {https://doi.org/10.2312/gch.20141321}, doi = {10.2312/GCH.20141321}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vast/RitsosWMWTLGEMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/XuZHCLC14, author = {Jie Xu and Si Zhang and Edward Huang and Chun{-}Hung Chen and Loo Hay Lee and Nurcin Celik}, title = {Efficient multi-fidelity simulation optimization}, booktitle = {Proceedings of the 2014 Winter Simulation Conference, Savannah, GA, USA, December 7-10, 2014}, pages = {3940--3951}, year = {2014}, crossref = {DBLP:conf/wsc/2014}, url = {https://doi.org/10.1109/WSC.2014.7020219}, doi = {10.1109/WSC.2014.7020219}, timestamp = {Thu, 11 Apr 2024 20:25:47 +0200}, biburl = {https://dblp.org/rec/conf/wsc/XuZHCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2014-1, editor = {Cathal Gurrin and Frank Hopfgartner and Wolfgang H{\"{u}}rst and H{\aa}vard D. Johansen and Hyowon Lee and Noel E. O'Connor}, title = {MultiMedia Modeling - 20th Anniversary International Conference, {MMM} 2014, Dublin, Ireland, January 6-10, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8325}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-04114-8}, doi = {10.1007/978-3-319-04114-8}, isbn = {978-3-319-04113-1}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2014-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2014-2, editor = {Cathal Gurrin and Frank Hopfgartner and Wolfgang H{\"{u}}rst and H{\aa}vard D. Johansen and Hyowon Lee and Noel E. O'Connor}, title = {MultiMedia Modeling - 20th Anniversary International Conference, {MMM} 2014, Dublin, Ireland, January 6-10, 2014, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8326}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-04117-9}, doi = {10.1007/978-3-319-04117-9}, isbn = {978-3-319-04116-2}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2014-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HoussineauCILF14, author = {Jeremie Houssineau and Daniel E. Clark and Spela Ivekovic and Chee Sing Lee and Jose Franco}, title = {A unified approach for multi-object triangulation, tracking and camera calibration}, journal = {CoRR}, volume = {abs/1410.2535}, year = {2014}, url = {http://arxiv.org/abs/1410.2535}, eprinttype = {arXiv}, eprint = {1410.2535}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HoussineauCILF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JamesWS14, author = {Doug James and Nancy Wilkins{-}Diehr and Victoria Stodden and Dirk Colbry and Carlos Rosales and Mark R. Fahey and Justin Shi and Rafael Ferreira da Silva and Kyo Lee and Ralph Roskies and Laurence Loewe and Susan Lindsey and Rob Kooper and Lorena A. Barba and David H. Bailey and Jonathan M. Borwein and {\'{O}}scar Corcho and Ewa Deelman and Michael C. Dietze and Benjamin Gilbert and Jan Harkes and Seth Keele and Praveen Kumar and Jong Lee and Erika Linke and Richard Marciano and Luigi Marini and Chris Mattmann and Dave Mattson and Kenton McHenry and Robert T. McLay and Sheila Miguez and Barbara S. Minsker and Mar{\'{\i}}a S. P{\'{e}}rez{-}Hern{\'{a}}ndez and Dan Ryan and Mats Rynge and Idafen Santana{-}P{\'{e}}rez and Mahadev Satyanarayanan and Gloriana St. Clair and Keith Webster and Eivind Hovig and Daniel S. Katz and Sophie Kay and Geir Kjetil Sandve and David Skinner and Gabrielle Allen and John Cazes and Kym Won Cho and Jim Fonseca and Lorraine J. Hwang and Lars Koesterke and Pragnesh Patel and Line Pouchard and Edward Seidel and Isuru Suriarachchi}, title = {Standing Together for Reproducibility in Large-Scale Computing: Report on reproducibility@XSEDE}, journal = {CoRR}, volume = {abs/1412.5557}, year = {2014}, url = {http://arxiv.org/abs/1412.5557}, eprinttype = {arXiv}, eprint = {1412.5557}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/JamesWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/GonzalezLDJJLHRLBEHK13, author = {Avelino J. Gonzalez and Jason Leigh and Ronald F. DeMara and Andrew E. Johnson and Steven Jones and Sangyoon Lee and Victor Chou Hung and Luc Renambot and Carlos Leon{-}Barth and Maxine D. Brown and Miguel Elvir and James Hollister and Steven Kobosko}, title = {Passing an Enhanced Turing Test - Interacting with Lifelike Computer Representations of Specific Individuals}, journal = {J. Intell. Syst.}, volume = {22}, number = {4}, pages = {365--415}, year = {2013}, url = {https://doi.org/10.1515/jisys-2013-0016}, doi = {10.1515/JISYS-2013-0016}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jois/GonzalezLDJJLHRLBEHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsna/KimGLS13, author = {Hokeun Kim and Liangpeng Guo and Edward A. Lee and Alberto L. Sangiovanni{-}Vincentelli}, title = {A tool integration approach for architectural exploration of aircraft electric power systems}, booktitle = {1st {IEEE} International Conference on Cyber-Physical Systems, Networks, and Applications, {CPSNA} 2013, Taipei, Taiwan, August 19-20, 2013}, pages = {38--43}, year = {2013}, crossref = {DBLP:conf/cpsna/2013}, url = {https://doi.org/10.1109/CPSNA.2013.6614244}, doi = {10.1109/CPSNA.2013.6614244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsna/KimGLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscram/YangCLLCWKSSF13, author = {Seungwon Yang and Haeyong Chung and Xiao Lin and Sunshin Lee and Liangzhe Chen and Andrew Wood and Andrea L. Kavanaugh and Steven D. Sheetz and Donald J. Shoemaker and Edward A. Fox}, title = {PhaseVis1: What, when, where, and who in visualizing the four phases of emergency management through the lens of social media}, booktitle = {10th Proceedings of the International Conference on Information Systems for Crisis Response and Management, Baden-Baden, Germany, May 12-15, 2013}, year = {2013}, crossref = {DBLP:conf/iscram/2013}, url = {http://idl.iscram.org/files/yang/2013/1122\_Yang\_etal2013.pdf}, timestamp = {Thu, 10 Nov 2022 16:58:41 +0100}, biburl = {https://dblp.org/rec/conf/iscram/YangCLLCWKSSF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeRGABRKKBRKSS13, author = {Benjamin G. Lee and Alexander V. Rylyakov and William M. J. Green and Solomon Assefa and Christian W. Baks and Renato Rimolo{-}Donadio and Daniel M. Kuchta and Marwan H. Khater and Tymon Barwicz and Carol Reinholm and Edward Kiewra and Steven M. Shank and Clint L. Schow and Yurii A. Vlasov}, title = {Four- and eight-port photonic switches monolithically integrated with digital {CMOS} logic and driver circuits}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, year = {2013}, crossref = {DBLP:conf/ofc/2013}, url = {https://ieeexplore.ieee.org/document/6533242}, timestamp = {Thu, 26 Sep 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeRGABRKKBRKSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/LeeBCMMSK12, author = {Hyunkyu Lee and Pauline L. Baniqued and Joshua Cosman and Sean Mullen and Edward McAuley and Joan Severson and Arthur F. Kramer}, title = {Examining cognitive function across the lifespan using a mobile application}, journal = {Comput. Hum. Behav.}, volume = {28}, number = {5}, pages = {1934--1946}, year = {2012}, url = {https://doi.org/10.1016/j.chb.2012.05.013}, doi = {10.1016/J.CHB.2012.05.013}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/LeeBCMMSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/BaeOFLT12, author = {Kyungmin Bae and Peter Csaba {\"{O}}lveczky and Thomas Huining Feng and Edward A. Lee and Stavros Tripakis}, title = {Verifying hierarchical Ptolemy {II} discrete-event models using Real-Time Maude}, journal = {Sci. Comput. Program.}, volume = {77}, number = {12}, pages = {1235--1271}, year = {2012}, url = {https://doi.org/10.1016/j.scico.2010.10.002}, doi = {10.1016/J.SCICO.2010.10.002}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/BaeOFLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/AbdelhamidAABBBFFHHJKKLLMMMQRSSSSTVZ12, author = {Sherif Elmeligy Abdelhamid and Richard A. Al{\'{o}} and S. M. Arifuzzaman and Peter H. Beckman and Md Hasanuzzaman Bhuiyan and Keith R. Bisset and Edward A. Fox and Geoffrey Charles Fox and Kevin Hall and S. M. Shamimul Hasan and Anurodh Joshi and Maleq Khan and Chris J. Kuhlman and Spencer J. Lee and Jonathan Leidig and Hemanth Makkapati and Madhav V. Marathe and Henning S. Mortveit and Judy Qiu and S. S. Ravi and Zalia Shams and Ongard Sirisaengtaksin and Rajesh Subbiah and Samarth Swarup and Nick Trebon and Anil Vullikanti and Zhao Zhao}, title = {{CINET:} {A} cyberinfrastructure for network science}, booktitle = {8th {IEEE} International Conference on E-Science, e-Science 2012, Chicago, IL, USA, October 8-12, 2012}, pages = {1--8}, year = {2012}, crossref = {DBLP:conf/eScience/2012}, url = {https://doi.org/10.1109/eScience.2012.6404422}, doi = {10.1109/ESCIENCE.2012.6404422}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/AbdelhamidAABBBFFHHJKKLLMMMQRSSSSTVZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/LiuLVWA12, author = {Isaac Liu and Edward A. Lee and Matthew Viele and Guoqiang Wang and Hugo A. Andrade}, title = {A Heterogeneous Architecture for Evaluating Real-Time One-Dimensional Computational Fluid Dynamics on FPGAs}, booktitle = {2012 {IEEE} 20th Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2012, 29 April - 1 May 2012, Toronto, Ontario, Canada}, pages = {125--132}, year = {2012}, crossref = {DBLP:conf/fccm/2012}, url = {https://doi.org/10.1109/FCCM.2012.31}, doi = {10.1109/FCCM.2012.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/LiuLVWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/WangLYSLC12, author = {Hung{-}Yu Wang and Tsair{-}Fwu Lee and Cing{-}Wen Yang and Edward Soto and Wei{-}Chun Lin and Shun{-}Hsyung Chang}, title = {Symbolic Small-Signal Analysis of Various Amplifiers}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {233--236}, year = {2012}, crossref = {DBLP:conf/icgec/2012}, url = {https://doi.org/10.1109/ICGEC.2012.137}, doi = {10.1109/ICGEC.2012.137}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/WangLYSLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangLLCLCHCSYKCPPSKYCPH12, author = {Se{-}Hyun Yang and Seogjun Lee and Jae Young Lee and Jeonglae Cho and Hoi{-}Jin Lee and Dongsik Cho and Junghun Heo and Sunghoon Cho and Youngmin Shin and Sunghee Yun and Euiseok Kim and Ukrae Cho and Edward Pyo and Man Hyuk Park and Jae{-}Cheol Son and Chinhyun Kim and Jeongnam Youn and Youngki Chung and Sungho Park and Seung Ho Hwang}, title = {A 32nm high-k metal gate application processor with GHz multi-core {CPU}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {214--216}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176980}, doi = {10.1109/ISSCC.2012.6176980}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YangLLCLCHCSYKCPPSKYCPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KeezerCGCKLY12, author = {David C. Keezer and Te{-}Hui Chen and Carl Edward Gray and Hyun Woo Choi and Sungyeol Kim and Seongkwan Lee and Hosun Yoo}, title = {Multi-gigahertz arbitrary timing generator and data pattern serializer/formatter}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--11}, year = {2012}, crossref = {DBLP:conf/itc/2012}, url = {https://doi.org/10.1109/TEST.2012.6401544}, doi = {10.1109/TEST.2012.6401544}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KeezerCGCKLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/monterey/HanxledenLMF12, author = {Reinhard von Hanxleden and Edward A. Lee and Christian Motika and Hauke Fuhrmann}, title = {Multi-view Modeling and Pragmatics in 2020 - Position Paper on Designing Complex Cyber-Physical Systems}, booktitle = {Large-Scale Complex {IT} Systems. Development, Operation and Management - 17th Monterey Workshop 2012, Oxford, UK, March 19-21, 2012, Revised Selected Papers}, pages = {209--223}, year = {2012}, crossref = {DBLP:conf/monterey/2012}, url = {https://doi.org/10.1007/978-3-642-34059-8\_11}, doi = {10.1007/978-3-642-34059-8\_11}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/monterey/HanxledenLMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/isrl/2011-8, author = {Edward H. Y. Lim and James N. K. Liu and Raymond S. T. Lee}, title = {Knowledge Seeker - Ontology Modelling for Information Search and Management - {A} Compendium}, series = {Intelligent Systems Reference Library}, volume = {8}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-17916-7}, doi = {10.1007/978-3-642-17916-7}, isbn = {978-3-642-17915-0}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/isrl/2011-8.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HemphillDLJGKN11, author = {Edward Hemphill and Asav P. Dharia and Chih Lee and Caroline M. Jakuba and Jason D. Gibson and Frederick W. Kolling IV and Craig E. Nelson}, title = {{SCLD:} a stem cell lineage database for the annotation of cell types and developmental lineages}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {525--533}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq941}, doi = {10.1093/NAR/GKQ941}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HemphillDLJGKN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nms/Herman11, author = {Bill D. Herman}, title = {Review article: New media law and policy: Helen Nissenbaum, Privacy in Context: Technology, Policy, and the Integrity of Social Life. Stanford, {CA:} Stanford Law Books, 2009. xiv + 288 pp. {ISBN} 9780804752374, {\textdollar}24.95 (pbk) Thomas Gibbons (ed.) Free Speech in the New Media. Farnham, Surrey, {UK:} Ashgate, 2009. xxiii + 557 pp. {ISBN} 9780754627913, {\textdollar}300 (hbk) Edward Lee Lamoureux, Steven L. Baron, and Claire Stewart, Intellectual Property Law and Interactive Media: Free for a Fee. New York: Peter Lang, 2009. xii + 298 pp. {ISBN} 9780820481609, {\textdollar}32.95 (pbk)}, journal = {New Media Soc.}, volume = {13}, number = {2}, pages = {350--356}, year = {2011}, url = {https://doi.org/10.1177/1461444811401256}, doi = {10.1177/1461444811401256}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nms/Herman11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FayDBCFHKLMOPQZ11, author = {Cormac D. Fay and Aiden R. Doherty and Stephen Beirne and Fiachra Collins and Colum Foley and John P. Healy and Breda M. Kiernan and Hyowon Lee and Damien Maher and Dylan Orpen and Thomas Phelan and Zhengwei Qiu and Kirk Zhang and Cathal Gurrin and Brian Corcoran and Noel E. O'Connor and Alan F. Smeaton and Dermot Diamond}, title = {Remote Real-Time Monitoring of Subsurface Landfill Gas Migration}, journal = {Sensors}, volume = {11}, number = {7}, pages = {6603--6628}, year = {2011}, url = {https://doi.org/10.3390/s110706603}, doi = {10.3390/S110706603}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/FayDBCFHKLMOPQZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/TripakisLHL11, author = {Stavros Tripakis and Ben Lickly and Thomas A. Henzinger and Edward A. Lee}, title = {A Theory of Synchronous Relational Interfaces}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {33}, number = {4}, pages = {14:1--14:41}, year = {2011}, url = {https://doi.org/10.1145/1985342.1985345}, doi = {10.1145/1985342.1985345}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/TripakisLHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChuLHK11, author = {Edward T.{-}H. Chu and Hsin{-}Ju Lee and Tai{-}Yi Huang and Chung{-}Ta King}, title = {Sample Assignment for Ensuring Sensing Quality and Balancing Energy in Wireless Sensor Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {9}, pages = {1578--1584}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.78}, doi = {10.1109/TPDS.2011.78}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChuLHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tslp/WardCBBSVWZB11, author = {Wayne H. Ward and Ronald A. Cole and Daniel Bola{\~{n}}os and Cindy Buchenroth{-}Martin and Edward Svirsky and Sarel van Vuuren and Timothy J. Weston and Jing Zheng and Lee Becker}, title = {My science tutor: {A} conversational multimedia virtual tutor for elementary school science}, journal = {{ACM} Trans. Speech Lang. Process.}, volume = {7}, number = {4}, pages = {18:1--18:29}, year = {2011}, url = {https://doi.org/10.1145/1998384.1998392}, doi = {10.1145/1998384.1998392}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tslp/WardCBBSVWZB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ReinekeLPKL11, author = {Jan Reineke and Isaac Liu and Hiren D. Patel and Sungjun Kim and Edward A. Lee}, title = {{PRET} {DRAM} controller: bank privatization for predictability and temporal isolation}, booktitle = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, pages = {99--108}, year = {2011}, crossref = {DBLP:conf/codes/2011}, url = {https://doi.org/10.1145/2039370.2039388}, doi = {10.1145/2039370.2039388}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/ReinekeLPKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BuiLLPR11, author = {Dai N. Bui and Edward A. Lee and Isaac Liu and Hiren D. Patel and Jan Reineke}, title = {Temporal isolation on multiprocessing architectures}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {274--279}, year = {2011}, crossref = {DBLP:conf/dac/2011}, url = {https://doi.org/10.1145/2024724.2024787}, doi = {10.1145/2024724.2024787}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BuiLLPR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ChuahLTKHHMB11, author = {Edward Chuah and Gary Kee Khoon Lee and William{-}Chandra Tjhi and Shyh{-}Hao Kuo and Terence Hung and John L. Hammond and Tommy Minyard and James C. Browne}, title = {Establishing Hypothesis for Recurrent System Failures from Cluster Log Files}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {15--22}, year = {2011}, crossref = {DBLP:conf/dasc/2011}, url = {https://doi.org/10.1109/DASC.2011.27}, doi = {10.1109/DASC.2011.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/ChuahLTKHHMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeDKP11, author = {Jung Keun Lee and Geoffrey T. Desmoulin and Aslam H. Khan and Edward J. Park}, title = {A portable inertial sensing-based spinal motion measurement system for low back pain assessment}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {4737--4740}, year = {2011}, crossref = {DBLP:conf/embc/2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091173}, doi = {10.1109/IEMBS.2011.6091173}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeDKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/BaxterBCDDKLLS11, author = {Meredith Baxter and Byungki Byun and Edward J. Coyle and Tuan Dang and Thomas Dwyer and Ilseo Kim and Chin{-}Hui Lee and Ross Llewallyn and Nashlie H. Sephus}, title = {On project-based learning through the vertically-integrated projects program}, booktitle = {2011 Frontiers in Education Conference, {FIE} 2011, Rapid City, SD, USA, October 12-15, 2011}, pages = {1}, year = {2011}, crossref = {DBLP:conf/fie/2011}, url = {https://doi.org/10.1109/FIE.2011.6143064}, doi = {10.1109/FIE.2011.6143064}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/BaxterBCDDKLLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/JensenCL11, author = {Jeff C. Jensen and Danica H. Chang and Edward A. Lee}, title = {A model-based design methodology for cyber-physical systems}, booktitle = {Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2011, Istanbul, Turkey, 4-8 July, 2011}, pages = {1666--1671}, year = {2011}, crossref = {DBLP:conf/iwcmc/2011}, url = {https://doi.org/10.1109/IWCMC.2011.5982785}, doi = {10.1109/IWCMC.2011.5982785}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/JensenCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/SadlierFZOL11, author = {David A. Sadlier and Paul Ferguson and Dian Zhang and Noel E. O'Connor and Hyowon Lee}, title = {InSPeCT: integrated surveillance for port container traffic}, booktitle = {Proceedings of the 19th International Conference on Multimedia 2011, Scottsdale, AZ, USA, November 28 - December 1, 2011}, pages = {767--768}, year = {2011}, crossref = {DBLP:conf/mm/2011}, url = {https://doi.org/10.1145/2072298.2072447}, doi = {10.1145/2072298.2072447}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/SadlierFZOL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/CoorayLO11, author = {Saman Cooray and Hyowon Lee and Noel E. O'Connor}, title = {A User-Centric System for Home Movie Summarisation}, booktitle = {Advances in Multimedia Modeling - 17th International Multimedia Modeling Conference, {MMM} 2011, Taipei, Taiwan, January 5-7, 2011, Proceedings, Part {I}}, pages = {424--434}, year = {2011}, crossref = {DBLP:conf/mmm/2011-1}, url = {https://doi.org/10.1007/978-3-642-17832-0\_40}, doi = {10.1007/978-3-642-17832-0\_40}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/mmm/CoorayLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/McGuinnessACFK011, author = {Kevin McGuinness and Robin Aly and Shu Chen and Mathieu Frappier and Martijn Kleppe and Hyowon Lee and Roeland Ordelman and Relja Arandjelovic and Mayank Juneja and C. V. Jawahar and Andrea Vedaldi and Jochen Schwenninger and Sebastian Tsch{\"{o}}pel and Daniel Schneider and Noel E. O'Connor and Andrew Zisserman and Alan F. Smeaton and Henri Beunders}, title = {{AXES} at {TRECVID} 2011}, booktitle = {2011 {TREC} Video Retrieval Evaluation, {TRECVID} 2011, Gaithersburg, MD, USA, December 5-7, 2011}, year = {2011}, crossref = {DBLP:conf/trecvid/2011}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv11.papers/axes-dcu.pdf}, timestamp = {Sat, 04 Apr 2020 23:36:05 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/McGuinnessACFK011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dagstuhl/2007rt, editor = {Holger Giese and Gabor Karsai and Edward Lee and Bernhard Rumpe and Bernhard Sch{\"{a}}tz}, title = {Model-Based Engineering of Embedded Real-Time Systems - International Dagstuhl Workshop, Dagstuhl Castle, Germany, November 4-9, 2007. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6100}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-16277-0}, doi = {10.1007/978-3-642-16277-0}, isbn = {978-3-642-16276-3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/2007rt.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bell/RaybonWSACGKFDKDCSGTDBXWLLHFBKGKHP10, author = {Gregory Raybon and Peter J. Winzer and Haoyu Song and Andrew Adamiecki and Stephen Corteselli and Alan H. Gnauck and Thomas Kissell and Daniel A. Fishman and Nat M. Denkin and Yuan{-}Hua Claire Kao and Terry L. Downs and Anthony Carenza and Stephan Scrudato and Edward H. Goode and William A. Thompson and Christopher R. Doerr and Lawrence L. Buhl and Tiejun Xia and Glenn Wellbrock and Wang Lee and Greg Lyons and Peter Hofmann and Tina T. Fisk and Bert Basch and William J. Kluge and Johnny R. Gatewood and Tetsuya Kawanishi and Kaoru Higuma and Yves Painchaud}, title = {100 Gb/s {DQPSK} field trial: Live video transmission over an operating LambdaXtreme{\textregistered} network}, journal = {Bell Labs Tech. J.}, volume = {14}, number = {4}, pages = {85--113}, year = {2010}, url = {https://doi.org/10.1002/bltj.20406}, doi = {10.1002/BLTJ.20406}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bell/RaybonWSACGKFDKDCSGTDBXWLLHFBKGKHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/UnluKMMLBLCF10, author = {Mehmet Z. Unlu and Andrzej Kr{\'{o}}l and Alphonso Magri and James A. Mandel and Wei Lee and Karl G. Baum and Edward Lipson and Ioana Coman and David H. Feiglin}, title = {Computerized method for nonrigid MR-to-PET breast-image registration}, journal = {Comput. Biol. Medicine}, volume = {40}, number = {1}, pages = {37--53}, year = {2010}, url = {https://doi.org/10.1016/j.compbiomed.2009.10.010}, doi = {10.1016/J.COMPBIOMED.2009.10.010}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/UnluKMMLBLCF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/FanfaniASABBBSBBa10, author = {Alessandra Fanfani and M. Anzar Afaq and Jose Afonso Sanches and Julia Andreeva and Giuseppe Bagliesi and Lothar Bauerdick and Stefano Belforte and Patricia Bittencourt Sampaio and Kenneth Bloom and Barry Blumenfeld and Daniele Bonacorsi and Chris Brew and Marco Calloni and Daniele Cesini and Mattia Cinquilli and Giuseppe Codispoti and Jorgen D'Hondt and Liang Dong and Danilo N. Dongiovanni and Giacinto Donvito and David Dykstra and Erik Edelmann and Ricky Egeland and Peter Elmer and Giulio Eulisse and Dave Evans and Federica Fanzago and Fabio Farina and Derek Feichtinger and Ian Fisk and Josep Flix and Claudio Grandi and Yuyi Guo and Kalle Happonen and Jos{\'{e}} M. Hern{\'{a}}ndez and Chih{-}Hao Huang and Kejing Kang and Edward Karavakis and Matthias Kasemann and Carlos Kavka and Akram Khan and Bockjoo Kim and Jukka Klem and Jesper Koivum{\"{a}}ki and Thomas Kress and Peter Kreuzer and Tibor Kurca and Valentin Kuznetsov and Stefano Lacaprara and Kati Lassila{-}Perini and James Letts and Tomas Lind{\'{e}}n and Lee Lueking and Joris Maes and Nicol{\`{o}} Magini and Gerhild Maier and Patricia McBride and Simon Metson and Vincenzo Miccio and Sanjay Padhi and Haifeng Pi and Hassen Riahi and Daniel Riley and Paul Rossman and Pablo Saiz and Andrea Sartirana and Andrea Sciab{\`{a}} and Vijay Sekhri and Daniele Spiga and Lassi A. Tuura and Eric Vaandering and Lukas Vanelderen and Petra Van Mulders and Aresh Vedaee and Ilaria Villella and Eric Wicklund and Tony Wildish and Christoph Wissing and Frank W{\"{u}}rthwein}, title = {Distributed Analysis in {CMS}}, journal = {J. Grid Comput.}, volume = {8}, number = {2}, pages = {159--179}, year = {2010}, url = {https://doi.org/10.1007/s10723-010-9152-1}, doi = {10.1007/S10723-010-9152-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/grid/FanfaniASABBBSBBa10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ElkinLBCWBLBFBFKHFB10, author = {Peter L. Elkin and Mark Liebow and Brent A. Bauer and Swarna Chaliki and Dietlind Wahner{-}Roedler and John Bundrick and Mark Lee and Steven H. Brown and David Froehling and Kent R. Bailey and Kathleen Famiglietti and Richard J. Kim and Edward P. Hoffer and Mitchell J. Feldman and G. Octo Barnett}, title = {The introduction of a diagnostic decision support system (DXplain\({}^{\mbox{TM}}\)) into the workflow of a teaching hospital service can decrease the cost of service for diagnostically challenging Diagnostic Related Groups (DRGs)}, journal = {Int. J. Medical Informatics}, volume = {79}, number = {11}, pages = {772--777}, year = {2010}, url = {https://doi.org/10.1016/j.ijmedinf.2010.09.004}, doi = {10.1016/J.IJMEDINF.2010.09.004}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/ElkinLBCWBLBFBFKHFB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/DangLAHCCL10, author = {Edward K. F. Dang and Robert W. P. Luk and James Allan and Kei Shiu Ho and Stephen C. F. Chan and Korris Fu{-}Lai Chung and Dik Lun Lee}, title = {A new context-dependent term weight computed by boost and discount using relevance information}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {61}, number = {12}, pages = {2514--2530}, year = {2010}, url = {https://doi.org/10.1002/asi.21425}, doi = {10.1002/ASI.21425}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/DangLAHCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeeHRTKGHM10, author = {James N. Lee and Edward W. Hsu and Esther Rashkin and John W. Thatcher and Sebastian Kreitschitz and Phillip Gale and Lindsey Healy and William R. Marchand}, title = {Reliability of fMRI motor tasks in structures of the corticostriatal circuitry: Implications for future studies and circuit function}, journal = {NeuroImage}, volume = {49}, number = {2}, pages = {1282--1288}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.09.072}, doi = {10.1016/J.NEUROIMAGE.2009.09.072}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/LeeHRTKGHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ReinsbergerTCLDBHBGMS10, author = {Claus Reinsberger and Naoaki Tanaka and Andrew J. Cole and Jong Woo Lee and Barbara A. Dworetzky and Edward Bromfield and Lorie Hamiwka and Blaise F. Bourgeois and Alexandra J. Golby and Joseph R. Madsen and Steven M. Stufflebeam}, title = {Current dipole orientation and distribution of epileptiform activity correlates with cortical thinning in left mesiotemporal epilepsy}, journal = {NeuroImage}, volume = {52}, number = {4}, pages = {1238--1242}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.04.264}, doi = {10.1016/J.NEUROIMAGE.2010.04.264}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ReinsbergerTCLDBHBGMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/CapraniDLSOG10, author = {Niamh Caprani and Aiden R. Doherty and Hyowon Lee and Alan F. Smeaton and Noel E. O'Connor and Cathal Gurrin}, title = {Designing a touch-screen sensecam browser to support an aging population}, booktitle = {Proceedings of the 28th International Conference on Human Factors in Computing Systems, {CHI} 2010, Extended Abstracts Volume, Atlanta, Georgia, USA, April 10-15, 2010}, pages = {4291--4296}, year = {2010}, crossref = {DBLP:conf/chi/2010a}, url = {https://doi.org/10.1145/1753846.1754141}, doi = {10.1145/1753846.1754141}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/CapraniDLSOG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChenLHLJR10, author = {Yu{-}Chung Chen and Sangyoon Lee and Hyejung Hur and Jason Leigh and Andrew E. Johnson and Luc Renambot}, title = {Case study: designing an advanced visualization system for geological core drilling expeditions}, booktitle = {Proceedings of the 28th International Conference on Human Factors in Computing Systems, {CHI} 2010, Extended Abstracts Volume, Atlanta, Georgia, USA, April 10-15, 2010}, pages = {4645--4660}, year = {2010}, crossref = {DBLP:conf/chi/2010a}, url = {https://doi.org/10.1145/1753846.1754206}, doi = {10.1145/1753846.1754206}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChenLHLJR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/GrochowSFLHL10, author = {Keith Grochow and Mark Stoermer and James Fogarty and Charlotte Lee and Bill Howe and Edward D. Lazowska}, title = {{COVE:} {A} Visual Environment for Multidisciplinary Ocean Science Collaboration}, booktitle = {Sixth International Conference on e-Science, e-Science 2010, 7-10 December 2010, Brisbane, QLD, Australia}, pages = {269--276}, year = {2010}, crossref = {DBLP:conf/eScience/2010}, url = {https://doi.org/10.1109/eScience.2010.13}, doi = {10.1109/ESCIENCE.2010.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/GrochowSFLHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/FengLS10, author = {Thomas Huining Feng and Edward A. Lee and Lee W. Shruben}, title = {Ptera: an event-oriented model of computation for heterogeneous systems}, booktitle = {Proceedings of the 10th International conference on Embedded software, {EMSOFT} 2010, Scottsdale, Arizona, USA, October 24-29, 2010}, pages = {219--228}, year = {2010}, crossref = {DBLP:conf/emsoft/2010}, url = {https://doi.org/10.1145/1879021.1879050}, doi = {10.1145/1879021.1879050}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/FengLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ercimdl/VersfeldLFSW10, author = {Rizmari Versfeld and Spencer J. Lee and Edward A. Fox and Hussein Suleman and Kyle Williams}, title = {Digital Library in a 3D Virtual World: The Digital Bleek and Lloyd Collection in Second Life}, booktitle = {Research and Advanced Technology for Digital Libraries, 14th European Conference, {ECDL} 2010, Glasgow, UK, September 6-10, 2010. Proceedings}, pages = {550--553}, year = {2010}, crossref = {DBLP:conf/ercimdl/2010}, url = {https://doi.org/10.1007/978-3-642-15464-5\_79}, doi = {10.1007/978-3-642-15464-5\_79}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ercimdl/VersfeldLFSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/HuynhSWCM10, author = {Kristina Huynh and Cara E. Stepp and Lee W. White and J. Edward Colgate and Yoky Matsuoka}, title = {Finding a feature on a 3D object through single-digit haptic exploration}, booktitle = {2010 {IEEE} Haptics Symposium, {HAPTICS} 2010, Waltham, MA, USA, March 25-26, 2010}, pages = {83--89}, year = {2010}, crossref = {DBLP:conf/haptics/2010}, url = {https://doi.org/10.1109/HAPTIC.2010.5444672}, doi = {10.1109/HAPTIC.2010.5444672}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/haptics/HuynhSWCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/ChuahKHTLHMHB10, author = {Edward Chuah and Shyh{-}Hao Kuo and Paul Hiew and William{-}Chandra Tjhi and Gary Kee Khoon Lee and John L. Hammond and Marek T. Michalewicz and Terence Hung and James C. Browne}, title = {Diagnosing the root-causes of failures from cluster log files}, booktitle = {2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010}, pages = {1--10}, year = {2010}, crossref = {DBLP:conf/hipc/2010}, url = {https://doi.org/10.1109/HIPC.2010.5713159}, doi = {10.1109/HIPC.2010.5713159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/ChuahKHTLHMHB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/CarpenterFSHPFLBCD10, author = {B. Stephen Carpenter II and Richard Furuta and Frank M. Shipman III and Allison Huie and Daniel Pogue and Edward A. Fox and Spencer J. Lee and Peter Brusilovsky and Lillian N. Cassel and Lois M. L. Delcambre}, title = {Multiple sources with multiple portals: a demonstration of the ensemble computing portal in second life}, booktitle = {Proceedings of the 2010 Joint International Conference on Digital Libraries, {JCDL} 2010, Gold Coast, Queensland, Australia, June 21-25, 2010}, pages = {397--398}, year = {2010}, crossref = {DBLP:conf/jcdl/2010}, url = {https://doi.org/10.1145/1816123.1816199}, doi = {10.1145/1816123.1816199}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/CarpenterFSHPFLBCD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeFLSC10, author = {Huai{-}Ping Lee and Mark Foskey and Joshua H. Levy and Rohit R. Saboo and Edward L. Chaney}, title = {Image Estimation from Marker Locations for Dose Calculation in Prostate Radiation Therapy}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2010, 13th International Conference, Beijing, China, September 20-24, 2010, Proceedings, Part {III}}, pages = {335--342}, year = {2010}, crossref = {DBLP:conf/miccai/2010-3}, url = {https://doi.org/10.1007/978-3-642-15711-0\_42}, doi = {10.1007/978-3-642-15711-0\_42}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeFLSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/GurrinLFSOCP10, author = {Cathal Gurrin and Hyowon Lee and Paul Ferguson and Alan F. Smeaton and Noel E. O'Connor and Yoon{-}Hee Choi and Heeseon Park}, title = {Social recommendation and visual analysis on the {TV}}, booktitle = {Proceedings of the 18th International Conference on Multimedia 2010, Firenze, Italy, October 25-29, 2010}, pages = {1513--1514}, year = {2010}, crossref = {DBLP:conf/mm/2010}, url = {https://doi.org/10.1145/1873951.1874266}, doi = {10.1145/1873951.1874266}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/GurrinLFSOCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/GurrinLCZOC10, author = {Cathal Gurrin and Hyowon Lee and Niamh Caprani and Zhenxing Zhang and Noel E. O'Connor and Denise Carthy}, title = {Browsing Large Personal Multimedia Archives in a Lean-Back Environment}, booktitle = {Advances in Multimedia Modeling, 16th International Multimedia Modeling Conference, {MMM} 2010, Chongqing, China, January 6-8, 2010. Proceedings}, pages = {98--109}, year = {2010}, crossref = {DBLP:conf/mmm/2010}, url = {https://doi.org/10.1007/978-3-642-11301-7\_13}, doi = {10.1007/978-3-642-11301-7\_13}, timestamp = {Mon, 19 Aug 2024 08:37:55 +0200}, biburl = {https://dblp.org/rec/conf/mmm/GurrinLCZOC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/BuiPL10, author = {Dai N. Bui and Hiren D. Patel and Edward A. Lee}, title = {Deploying Hard Real-Time Control Software on Chip-Multiprocessors}, booktitle = {16th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2010, Macau, SAR, China, 23-25 August 2010}, pages = {283--292}, year = {2010}, crossref = {DBLP:conf/rtcsa/2010}, url = {https://doi.org/10.1109/RTCSA.2010.43}, doi = {10.1109/RTCSA.2010.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/BuiPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LeeLTJC09, author = {Jungwon Lee and Hui{-}Ling Lou and Dimitris Toumpakaris and Edward W. Jang and John M. Cioffi}, title = {Transceiver design for {MIMO} wireless systems incorporating hybrid {ARQ}}, journal = {{IEEE} Commun. Mag.}, volume = {47}, number = {1}, pages = {32--40}, year = {2009}, url = {https://doi.org/10.1109/MCOM.2009.4752674}, doi = {10.1109/MCOM.2009.4752674}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LeeLTJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/DangLLHC09, author = {Edward K. F. Dang and Robert W. P. Luk and Dik Lun Lee and Edward Kei Shiu Ho and Stephen C. F. Chan}, title = {Optimal Combination of Nested Clusters by a Greedy Approximation Algorithm}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {31}, number = {11}, pages = {2083--2087}, year = {2009}, url = {https://doi.org/10.1109/TPAMI.2009.75}, doi = {10.1109/TPAMI.2009.75}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/DangLLHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeMGHNSLW09, author = {Edward K. Lee and Eusebiu Matei and John Gord and Phil Hess and Patrick Nercessian and Howard Stover and Taihu Li and James Wolfe}, title = {A Biomedical Implantable {FES} Battery-Powered Micro-Stimulator}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {12}, pages = {2583--2596}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2009.2034052}, doi = {10.1109/TCSI.2009.2034052}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeMGHNSLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LeeAHP09, author = {Hoojin Lee and Jeffrey G. Andrews and Robert W. Heath Jr. and Edward J. Powers}, title = {The performance of space-time block codes from coordinate interleaved orthogonal designs over nakagami-m fading channels}, journal = {{IEEE} Trans. Commun.}, volume = {57}, number = {3}, pages = {653--664}, year = {2009}, url = {https://doi.org/10.1109/TCOMM.2009.03.060716}, doi = {10.1109/TCOMM.2009.03.060716}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/LeeAHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/JangLLC09, author = {Edward W. Jang and Jungwon Lee and Hui{-}Ling Lou and John M. Cioffi}, title = {On the combining schemes for {MIMO} systems with hybrid {ARQ}}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {2}, pages = {836--842}, year = {2009}, url = {https://doi.org/10.1109/TWC.2009.071064}, doi = {10.1109/TWC.2009.071064}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/JangLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/HoyleDKSCB09, author = {David C. Hoyle and Mark Delderfield and Lee Kitching and Gareth Smith and Peter Crowther and Iain E. Buchan}, title = {Shared genomics: {A} platform for emerging interpretation of genetic epidemiology}, booktitle = {Proceedings of the Twenty-Second {IEEE} International Symposium on Computer-Based Medical Systems, August 3-4, 2009, Albuquerque, New Mexico, {USA}}, pages = {1--6}, year = {2009}, crossref = {DBLP:conf/cbms/2009}, url = {https://doi.org/10.1109/CBMS.2009.5255387}, doi = {10.1109/CBMS.2009.5255387}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/HoyleDKSCB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChenLHLJR09, author = {Yu{-}Chung Chen and Sangyoon Lee and Hyejung Hur and Jason Leigh and Andrew E. Johnson and Luc Renambot}, title = {Design an interactive visualization system for core drilling expeditions using immersive empathic method}, booktitle = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009}, pages = {2671--2674}, year = {2009}, crossref = {DBLP:conf/chi/2009a}, url = {https://doi.org/10.1145/1520340.1520382}, doi = {10.1145/1520340.1520382}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChenLHLJR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/TripakisLHL09, author = {Stavros Tripakis and Ben Lickly and Thomas A. Henzinger and Edward A. Lee}, title = {On relational interfaces}, booktitle = {Proceedings of the 9th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2009, Grenoble, France, October 12-16, 2009}, pages = {67--76}, year = {2009}, crossref = {DBLP:conf/emsoft/2009}, url = {https://doi.org/10.1145/1629335.1629346}, doi = {10.1145/1629335.1629346}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/TripakisLHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LimTWLL09, author = {Edward H. Y. Lim and Hillman W. K. Tam and Sandy W. K. Wong and James N. K. Liu and Raymond S. T. Lee}, title = {Collaborative content and user-based web ontology learning system}, booktitle = {{FUZZ-IEEE} 2009, {IEEE} International Conference on Fuzzy Systems, Jeju Island, Korea, 20-24 August 2009, Proceedings}, pages = {1050--1055}, year = {2009}, crossref = {DBLP:conf/fuzzIEEE/2009}, url = {https://doi.org/10.1109/FUZZY.2009.5277201}, doi = {10.1109/FUZZY.2009.5277201}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LimTWLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/WongTLLL09, author = {Sandy W. K. Wong and Hillman W. K. Tam and Edward H. Y. Lim and James N. K. Liu and Raymond S. T. Lee}, title = {The multi-audiences intelligent online presentation system}, booktitle = {{FUZZ-IEEE} 2009, {IEEE} International Conference on Fuzzy Systems, Jeju Island, Korea, 20-24 August 2009, Proceedings}, pages = {1863--1868}, year = {2009}, crossref = {DBLP:conf/fuzzIEEE/2009}, url = {https://doi.org/10.1109/FUZZY.2009.5277315}, doi = {10.1109/FUZZY.2009.5277315}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/WongTLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthgrid/HoyleDKSB09, author = {David C. Hoyle and Mark Delderfield and Lee Kitching and Gareth Smith and Iain E. Buchan}, title = {Shared Genomics: High Performance Computing for distributed insights in genomic medical research}, booktitle = {Healthgrid Research, Innovation and Business Case - Proceedings of HealthGrid 2009, Berlin, Germany, 29 June - 1 July 2009}, pages = {232--241}, year = {2009}, crossref = {DBLP:conf/healthgrid/2009}, url = {https://doi.org/10.3233/978-1-60750-027-8-232}, doi = {10.3233/978-1-60750-027-8-232}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthgrid/HoyleDKSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/EdwardsKLLPS09, author = {Stephen A. Edwards and Sungjun Kim and Edward A. Lee and Isaac Liu and Hiren D. Patel and Martin Schoeberl}, title = {A disruptive computer design idea: Architectures with repeatable timing}, booktitle = {27th International Conference on Computer Design, {ICCD} 2009, Lake Tahoe, CA, USA, October 4-7, 2009}, pages = {54--59}, year = {2009}, crossref = {DBLP:conf/iccd/2009}, url = {https://doi.org/10.1109/ICCD.2009.5413177}, doi = {10.1109/ICCD.2009.5413177}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/EdwardsKLLPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/FergusonGLSSOCP09, author = {Paul Ferguson and Cathal Gurrin and Hyowon Lee and Sorin Sav and Alan F. Smeaton and Noel E. O'Connor and Yoon{-}Hee Choi and Heeseon Park}, title = {Enhancing the Functionality of Interactive {TV} with Content-Based Multimedia Analysis}, booktitle = {11th {IEEE} International Symposium on Multimedia, {ISM} 2009, San Diego, California, USA, December 14-16, 2009}, pages = {495--500}, year = {2009}, crossref = {DBLP:conf/ism/2009}, url = {https://doi.org/10.1109/ISM.2009.70}, doi = {10.1109/ISM.2009.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/FergusonGLSSOCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/KuoLCWSCH09, author = {Yen{-}Ling Kuo and Jong{-}Chuan Lee and Kai{-}yang Chiang and Rex Wang and Edward Shen and Cheng{-}wei Chan and Jane Yung{-}jen Hsu}, title = {Community-based game design: experiments on social games for commonsense data collection}, booktitle = {Proceedings of the {ACM} {SIGKDD} Workshop on Human Computation, Paris, France, June 28, 2009}, pages = {15--22}, year = {2009}, crossref = {DBLP:conf/kdd/2009hcomp}, url = {https://doi.org/10.1145/1600150.1600154}, doi = {10.1145/1600150.1600154}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/KuoLCWSCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/ZouMLFD09, author = {Jia Zou and Slobodan Matic and Edward A. Lee and Thomas Huining Feng and Patricia Derler}, title = {Execution Strategies for PTIDES, a Programming Model for Distributed Embedded Systems}, booktitle = {15th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2009, San Francisco, CA, USA, 13-16 April 2009}, pages = {77--86}, year = {2009}, crossref = {DBLP:conf/rtas/2009}, url = {https://doi.org/10.1109/RTAS.2009.39}, doi = {10.1109/RTAS.2009.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/ZouMLFD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/ox/09/BygraveSTLP09, author = {Lee A. Bygrave and Susan Schiavetta and Hilde Thunem and Annebeth B. Lange and Edward Phillips}, title = {The Naming Game: Governance of the Domain Name System}, booktitle = {Internet Governance - Infrastructure and Institutions}, pages = {147--212}, year = {2009}, crossref = {DBLP:books/ox/09/BB2009}, timestamp = {Wed, 06 May 2020 15:34:31 +0200}, biburl = {https://dblp.org/rec/books/ox/09/BygraveSTLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dagstuhl/2009P9481, editor = {Albert Benveniste and Stephen A. Edwards and Edward A. Lee and Klaus Schneider and Reinhard von Hanxleden}, title = {{SYNCHRON} 2009, 22.11. - 27.11.2009}, series = {Dagstuhl Seminar Proceedings}, volume = {09481}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik, Germany}, year = {2009}, url = {http://drops.dagstuhl.de/portals/09481/}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/2009P9481.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/BenvenisteEL0H09, author = {Albert Benveniste and Stephen A. Edwards and Edward A. Lee and Klaus Schneider and Reinhard von Hanxleden}, title = {09481 Abstracts Collection - {SYNCHRON} 2009}, booktitle = {{SYNCHRON} 2009, 22.11. - 27.11.2009}, year = {2009}, crossref = {DBLP:conf/dagstuhl/2009P9481}, url = {http://drops.dagstuhl.de/opus/volltexte/2010/2434/}, timestamp = {Thu, 10 Jun 2021 13:02:05 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/BenvenisteEL0H09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeAP08, author = {Hoojin Lee and Jeffrey G. Andrews and Edward J. Powers}, title = {Full-Rate STBCs from Coordinate Interleaved Orthogonal Designs in Time-Selective Fading Channels}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {4}, pages = {1185--1189}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.4.1185}, doi = {10.1093/IETCOM/E91-B.4.1185}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeP08a, author = {Hoojin Lee and Edward J. Powers}, title = {A Simple and Accurate Approximation to the Average Achievable Rate of Stacked {OSTBC} in Rayleigh Fading Channels}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {12}, pages = {4038--4041}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.12.4038}, doi = {10.1093/IETCOM/E91-B.12.4038}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeP08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/DangLHCL08, author = {Edward K. F. Dang and Robert Wing Pong Luk and Lai Kuen Ho and Stephen Chi{-}fai Chan and Dik Lun Lee}, title = {A new measure of clustering effectiveness: Algorithms and experimental studies}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {59}, number = {3}, pages = {390--406}, year = {2008}, url = {https://doi.org/10.1002/asi.20745}, doi = {10.1002/ASI.20745}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/DangLHCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jps/ChronicleMLOH08, author = {Edward P. Chronicle and James N. MacGregor and Michael D. Lee and Thomas C. Ormerod and Peter Hughes}, title = {Individual Differences in Optimization Problem Solving: Reconciling Conflicting Results}, journal = {J. Probl. Solving}, volume = {2}, number = {1}, year = {2008}, url = {https://doi.org/10.7771/1932-6246.1030}, doi = {10.7771/1932-6246.1030}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jps/ChronicleMLOH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/LeeSOJBBDG08, author = {Hyowon Lee and Alan F. Smeaton and Noel E. O'Connor and Gareth J. F. Jones and Michael Blighe and Daragh Byrne and Aiden R. Doherty and Cathal Gurrin}, title = {Constructing a SenseCam visual diary as a media process}, journal = {Multim. Syst.}, volume = {14}, number = {6}, pages = {341--349}, year = {2008}, url = {https://doi.org/10.1007/s00530-008-0129-x}, doi = {10.1007/S00530-008-0129-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/LeeSOJBBDG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/PiersonANMFVTKGMLPHPSMFH08, author = {M. Edward Pierson and Jan Andersson and Svante Nyberg and Dennis J. McCarthy and Sjoerd J. Finnema and Katarina Varn{\"{a}}s and Akihiro Takano and Per Karlsson and Bal{\'{a}}zs Guly{\'{a}}s and Amy M. Medd and Chi{-}Ming Lee and Mark E. Powell and J. Richard Heys and William Potts and Nicholas Seneca and Ladislav Mrzljak and Lars Farde and Christer Halldin}, title = {[\({}^{\mbox{11}}\)C]AZ10419369: {A} selective 5-HT\({}_{\mbox{1B}}\) receptor radioligand suitable for positron emission tomography {(PET).} Characterization in the primate brain}, journal = {NeuroImage}, volume = {41}, number = {3}, pages = {1075--1085}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2008.02.063}, doi = {10.1016/J.NEUROIMAGE.2008.02.063}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/PiersonANMFVTKGMLPHPSMFH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeHP08, author = {Hoojin Lee and Robert W. Heath Jr. and Edward J. Powers}, title = {Information Outage Probability and Diversity Order of Alamouti Transmit Diversity in Time-Selective Fading Channels}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {57}, number = {6}, pages = {3890--3895}, year = {2008}, url = {https://doi.org/10.1109/TVT.2008.919985}, doi = {10.1109/TVT.2008.919985}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeHP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeAP08, author = {Hoojin Lee and Jeffrey G. Andrews and Edward J. Powers}, title = {Information outage probability and diversity order of symmetric coordinate interleaved orthogonal designs}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {7}, number = {5-1}, pages = {1501--1506}, year = {2008}, url = {https://doi.org/10.1109/TWC.2008.061057}, doi = {10.1109/TWC.2008.061057}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcshci/KoLHCL08, author = {P. Y. Ko and Robert Wing Pong Luk and Edward Kei Shiu Ho and Fu{-}Lai Chung and Dik Lun Lee}, title = {Are concepts useful for organizing search results?}, booktitle = {Proceedings of the 22nd British {HCI} Group Annual Conference on {HCI} 2008: People and Computers {XXII:} Culture, Creativity, Interaction - Volume 2, {BCS} {HCI} 2008, Liverpool, United Kingdom, 1-5 September 2008}, pages = {153--154}, year = {2008}, crossref = {DBLP:conf/bcshci/2008-2}, url = {https://dl.acm.org/citation.cfm?id=1531867}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcshci/KoLHCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/LicklyLKPEL08, author = {Ben Lickly and Isaac Liu and Sungjun Kim and Hiren D. Patel and Stephen A. Edwards and Edward A. Lee}, title = {Predictable programming on a precision timed architecture}, booktitle = {Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {137--146}, year = {2008}, crossref = {DBLP:conf/cases/2008}, url = {https://doi.org/10.1145/1450095.1450117}, doi = {10.1145/1450095.1450117}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/LicklyLKPEL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCSHLJ08, author = {Chia{-}Hsun Jackie Lee and Yi{-}Hsiang Daniel Chao and Edward Yu{-}Te Shen and Anna Huang and Wu{-}Hsi Li and Marisa Jahn}, title = {Nightmarket workshops: art {\&} science in action}, booktitle = {Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, {CHI} 2008, Florence, Italy, April 5-10, 2008}, pages = {2601--2604}, year = {2008}, crossref = {DBLP:conf/chi/2008a}, url = {https://doi.org/10.1145/1358628.1358721}, doi = {10.1145/1358628.1358721}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/LeeCSHLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MateiLGNHSLW08, author = {Eusebiu Matei and Edward K. Lee and John Gord and Patrick Nercessian and Phil Hess and Howard Stover and Taihu Li and James Wolfe}, title = {A biomedical implantable {FES} battery-powered micro-stimulator}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {317--324}, year = {2008}, crossref = {DBLP:conf/cicc/2008}, url = {https://doi.org/10.1109/CICC.2008.4672086}, doi = {10.1109/CICC.2008.4672086}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MateiLGNHSLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsrt/ForbesPLA08, author = {Shanna{-}Shaye Forbes and Hiren D. Patel and Edward A. Lee and Hugo A. Andrade}, title = {An Automated Mapping of Timed Functional Specification to a Precision Timed Architecture}, booktitle = {12th {IEEE/ACM} International Symposium on Distributed Simulation and Real-Time Applications, 27-29 October 2008, Vancouver, BC, Canada, Proceedings}, pages = {322--325}, year = {2008}, crossref = {DBLP:conf/dsrt/2008}, url = {https://doi.org/10.1109/DS-RT.2008.45}, doi = {10.1109/DS-RT.2008.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsrt/ForbesPLA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/DelderfieldKSHB08, author = {Mark Delderfield and Lee Kitching and Gareth Smith and David C. Hoyle and Iain E. Buchan}, title = {Shared Genomics: Accessible High Performance Computing for Genomic Medical Research}, booktitle = {Fourth International Conference on e-Science, e-Science 2008, 7-12 December 2008, Indianapolis, IN, {USA}}, pages = {404--405}, year = {2008}, crossref = {DBLP:conf/eScience/2008}, url = {https://doi.org/10.1109/eScience.2008.132}, doi = {10.1109/ESCIENCE.2008.132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/DelderfieldKSHB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LimLL08, author = {Edward H. Y. Lim and Raymond S. T. Lee and James N. K. Liu}, title = {KnowledgeSeeker - an ontological agent-based system for retrieving and analyzing Chinese web articles}, booktitle = {{FUZZ-IEEE} 2008, {IEEE} International Conference on Fuzzy Systems, Hong Kong, China, 1-6 June, 2008, Proceedings}, pages = {1034--1041}, year = {2008}, crossref = {DBLP:conf/fuzzIEEE/2008}, url = {https://doi.org/10.1109/FUZZY.2008.4630497}, doi = {10.1109/FUZZY.2008.4630497}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LimLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeTJL08, author = {Jungwon Lee and Dimitris Toumpakaris and Edward W. Jang and Hui{-}Ling Lou}, title = {DFE-Based Receiver Implementation for {MIMO} Systems Employing Hybrid {ARQ}}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {3360--3364}, year = {2008}, crossref = {DBLP:conf/globecom/2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.645}, doi = {10.1109/GLOCOM.2008.ECP.645}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LeeTJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/BligheSLO08, author = {Michael Blighe and Sorin Sav and Hyowon Lee and Noel E. O'Connor}, title = {Mo M{\'{u}}saem F{\'{\i}}or{\'{u}}il: {A} Web-Based Search and Information Service for Museum Visitors}, booktitle = {Image Analysis and Recognition, 5th International Conference, {ICIAR} 2008, P{\'{o}}voa de Varzim, Portugal, June 25-27, 2008. Proceedings}, pages = {485--496}, year = {2008}, crossref = {DBLP:conf/iciar/2008}, url = {https://doi.org/10.1007/978-3-540-69812-8\_48}, doi = {10.1007/978-3-540-69812-8\_48}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/BligheSLO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcf/HughesRWLGRMB08, author = {Danny Hughes and Paul Rayson and James Walkerdine and Kevin Lee and Phil Greenwood and Awais Rashid and Corinne May{-}Chahal and Margaret Brennan}, title = {Supporting Law Enforcement in Digital Communities through Natural Language Analysis}, booktitle = {Computational Forensics, Second International Workshop, {IWCF} 2008, Washington, DC, USA, August 7-8, 2008, Proceedings}, pages = {122--134}, year = {2008}, crossref = {DBLP:conf/iwcf/2008}, url = {https://doi.org/10.1007/978-3-540-85303-9\_12}, doi = {10.1007/978-3-540-85303-9\_12}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/iwcf/HughesRWLGRMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/BredinBLOJ08, author = {Herv{\'{e}} Bredin and Daragh Byrne and Hyowon Lee and Noel E. O'Connor and Gareth J. F. Jones}, title = {Dublin City University at the TRECVid 2008 {BBC} rushes summarisation task}, booktitle = {Proceedings of the 2nd {ACM} Workshop on Video Summarization, {TVS} 2008, Vancouver, British Columbia, Canada, October 31, 2008}, pages = {45--49}, year = {2008}, crossref = {DBLP:conf/mm/2008tvs}, url = {https://doi.org/10.1145/1463563.1463570}, doi = {10.1145/1463563.1463570}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/BredinBLOJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/FengL08, author = {Thomas Huining Feng and Edward A. Lee}, title = {Real-Time Distributed Discrete-Event Execution with Fault Tolerance}, booktitle = {Proceedings of the 14th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2008, April 22-24, 2008, St. Louis, Missouri, {USA}}, pages = {205--214}, year = {2008}, crossref = {DBLP:conf/rtas/2008}, url = {https://doi.org/10.1109/RTAS.2008.22}, doi = {10.1109/RTAS.2008.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/FengL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/WilkinsBJLKMOOSATABDHMTSAPMKMSBCIGHSCSPHHHVPGJ08, author = {Peter Wilkins and Daragh Byrne and Gareth J. F. Jones and Hyowon Lee and Gordon Keenan and Kevin McGuinness and Noel E. O'Connor and Neil O'Hare and Alan F. Smeaton and Tomasz Adamek and Rapha{\"{e}}l Troncy and Alia Amin and Rachid Benmokhtar and Emilie Dumont and Benoit Huet and Bernard M{\'{e}}rialdo and Giorgos Tolias and Evaggelos Spyrou and Yannis Avrithis and Georgios Th. Papadopoulos and Vasileios Mezaris and Ioannis Kompatsiaris and Roland M{\"{o}}rzinger and Peter Schallauer and Werner Bailer and Krishna Chandramouli and Ebroul Izquierdo and Lutz Goldmann and Martin Haller and Amjad Samour and Andreas Cobet and Thomas Sikora and Pavel Praks and David Hannah and Martin Halvey and Frank Hopfgartner and Robert Villa and P. Punitha and Anuj Goyal and Joemon M. Jose}, title = {K-Space at TRECVid 2008}, booktitle = {{TRECVID} 2008 workshop participants notebook papers, Gaithersburg, MD, USA, November 2008}, year = {2008}, crossref = {DBLP:conf/trecvid/2008}, url = {http://www-nlpir.nist.gov/projects/tvpubs/tv8.papers/kspace.pdf}, timestamp = {Thu, 15 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/WilkinsBJLKMOOSATABDHMTSAPMKMSBCIGHSCSPHHHVPGJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LeeHT08, author = {Johnny C. Lee and Scott E. Hudson and Edward Tse}, title = {Foldable interactive displays}, booktitle = {Proceedings of the 21st Annual {ACM} Symposium on User Interface Software and Technology, Monterey, CA, USA, October 19-22, 2008}, pages = {287--290}, year = {2008}, crossref = {DBLP:conf/uist/2008}, url = {https://doi.org/10.1145/1449715.1449763}, doi = {10.1145/1449715.1449763}, timestamp = {Tue, 06 Nov 2018 16:58:07 +0100}, biburl = {https://dblp.org/rec/conf/uist/LeeHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uxtv/LeeFGSOP08, author = {Hyowon Lee and Paul Ferguson and Cathal Gurrin and Alan F. Smeaton and Noel E. O'Connor and Heeseon Park}, title = {Balancing the power of multimedia information retrieval and usability in designing interactive tv}, booktitle = {Proceeding of the 1st International Conference on Designing Interactive User Experiences for {TV} and Video, {UXTV} 2008, Silicon Valley, California, USA, October 22-24, 2008}, pages = {105--114}, year = {2008}, crossref = {DBLP:conf/uxtv/2008}, url = {https://doi.org/10.1145/1453805.1453827}, doi = {10.1145/1453805.1453827}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uxtv/LeeFGSOP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiamis/SadlierLGSO08, author = {David A. Sadlier and Hyowon Lee and Cathal Gurrin and Alan F. Smeaton and Noel E. O'Connor}, title = {User-Feedback on a Feature-Rich Photo Organiser}, booktitle = {Ninth International Workshop on Image Analysis for Multimedia Interactive Services, {WIAMIS} 2008, Klagenfurt, Austria, May 7-9, 2008}, pages = {215--218}, year = {2008}, crossref = {DBLP:conf/wiamis/2008}, url = {https://doi.org/10.1109/WIAMIS.2008.16}, doi = {10.1109/WIAMIS.2008.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiamis/SadlierLGSO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HartLM07, author = {G. Traver Hart and Insuk Lee and Edward M. Marcotte}, title = {A high-accuracy consensus map of yeast protein complexes reveals modular nature of gene essentiality}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-236}, doi = {10.1186/1471-2105-8-236}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HartLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/LehaneOLS07, author = {Bart Lehane and Noel E. O'Connor and Hyowon Lee and Alan F. Smeaton}, title = {Indexing of Fictional Video Content for Event Detection and Summarisation}, journal = {{EURASIP} J. Image Video Process.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/14615}, doi = {10.1155/2007/14615}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/LehaneOLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeHP07, author = {Hoojin Lee and Robert W. Heath Jr. and Edward J. Powers}, title = {Coordinate Interleaved Orthogonal Design with Two Transmit Antennas in Spatially Correlated Rayleigh Fading Channels: Symbol-Error Rate and Diversity Order}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {11}, pages = {3294--3297}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.11.3294}, doi = {10.1093/IETCOM/E90-B.11.3294}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeHP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKP07, author = {Hoojin Lee and Joonhyuk Kang and Edward J. Powers}, title = {Adaptive Linear Symbol Detection for {OFDM} Systems in Time-Frequency-Selective Fading Channels}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {3}, pages = {685--688}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.3.685}, doi = {10.1093/IETCOM/E90-B.3.685}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeP07, author = {Hoojin Lee and Edward J. Powers}, title = {Low Peak-to-Minimum Power Ratio Transmission Scheme for Coordinate Interleaved Orthogonal Design with Two Transmit Antennas over Time-Selective Fading Channels}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {8}, pages = {2172--2174}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.8.2172}, doi = {10.1093/IETCOM/E90-B.8.2172}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/XuTSLNFIFINSTTK07, author = {Edward Xu and Olivier Trescases and I{-}Shan Michael Sun and Dora Lee and Wai Tung Ng and Kenji Fukumoto and Akira Ishikawa and Yuichi Furukawa and Hisaya Imai and Takashi Naito and Nobuyuki Sato and Satoru Tamura and Kaoru Takasuka and Teiichiro Kohno}, title = {Design of a rugged 60 {V} {VDMOS} transistor}, journal = {{IET} Circuits Devices Syst.}, volume = {1}, number = {5}, pages = {327--331}, year = {2007}, url = {https://doi.org/10.1049/iet-cds:20070008}, doi = {10.1049/IET-CDS:20070008}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/XuTSLNFIFINSTTK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeHGSN07, author = {Edward K. Lee and Phil Hess and John Gord and Howard Stover and Patrick Nercessian}, title = {A 400MHz {RF} Transceiver for Implantable Biomedical Micro-Stimulators}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {173--176}, year = {2007}, crossref = {DBLP:conf/cicc/2007}, url = {https://doi.org/10.1109/CICC.2007.4405706}, doi = {10.1109/CICC.2007.4405706}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeHGSN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/LeeZ07, author = {Edward A. Lee and Haiyang Zheng}, title = {Leveraging synchronous language principles for heterogeneous modeling and design of embedded systems}, booktitle = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007, Salzburg, Austria}, pages = {114--123}, year = {2007}, crossref = {DBLP:conf/emsoft/2007}, url = {https://doi.org/10.1145/1289927.1289949}, doi = {10.1145/1289927.1289949}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/LeeZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsr/BualatEFBFLPTUVKM07, author = {Maria Bualat and Laurence J. Edwards and Terrence Fong and Michael Broxton and Lorenzo Flueckiger and Susan Y. Lee and Eric Park and Vinh To and Hans Utz and Vandi Verma and Clayton Kunz and Matt MacMahon}, title = {Autonomous Robotic Inspection for Lunar Surface Operations}, booktitle = {Field and Service Robotics, Results of the 6th International Conference, {FSR} 2007, Chamonix, France, 9th - 12th July 2007}, pages = {169--178}, year = {2007}, crossref = {DBLP:conf/fsr/2007}, url = {https://doi.org/10.1007/978-3-540-75404-6\_16}, doi = {10.1007/978-3-540-75404-6\_16}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fsr/BualatEFBFLPTUVKM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/JangLLC07, author = {Edward W. Jang and Jungwon Lee and Hui{-}Ling Lou and John M. Cioffi}, title = {Optimal Combining Schemes for {MIMO} Systems with Hybrid {ARQ}}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2007, Nice, France, June 24-29, 2007}, pages = {2286--2290}, year = {2007}, crossref = {DBLP:conf/isit/2007}, url = {https://doi.org/10.1109/ISIT.2007.4557560}, doi = {10.1109/ISIT.2007.4557560}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/JangLLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/MagriKULMMLCF07, author = {Alphonso Magri and Andrzej Kr{\'{o}}l and Mehmet Z. Unlu and Edward Lipson and James A. Mandel and Wendy McGraw and Wei Lee and Ioana Coman and David H. Feiglin}, title = {Nonrigid registration of dynamic breast {F-18-FDG} {PET/CT} images using deformable {FEM} model and {CT} image warping}, booktitle = {Medical Imaging 2007: Image Processing, San Diego, CA, United States, 17-22 February 2007}, pages = {65120D}, year = {2007}, crossref = {DBLP:conf/miip/2007}, url = {https://doi.org/10.1117/12.710014}, doi = {10.1117/12.710014}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/MagriKULMMLCF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ByrneKLCSOJ07, author = {Daragh Byrne and Peter Kehoe and Hyowon Lee and Ciar{\'{a}}n {\'{O}} Conaire and Alan F. Smeaton and Noel E. O'Connor and Gareth J. F. Jones}, title = {A user-centered approach to rushes summarisation via highlight-detected keyframes}, booktitle = {Proceedings of the 1st {ACM} Workshop on Video Summarization, {TVS} 2007, Augsburg, Bavaria, Germany, September 28, 2007}, pages = {35--39}, year = {2007}, crossref = {DBLP:conf/mm/2007tvs}, url = {https://doi.org/10.1145/1290031.1290037}, doi = {10.1145/1290031.1290037}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ByrneKLCSOJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/OHareGJLOS07, author = {Neil O'Hare and Cathal Gurrin and Gareth J. F. Jones and Hyowon Lee and Noel E. O'Connor and Alan F. Smeaton}, title = {Using text search for personal photo collections with the MediAssist system}, booktitle = {Proceedings of the 2007 {ACM} Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007}, pages = {880--881}, year = {2007}, crossref = {DBLP:conf/sac/2007}, url = {https://doi.org/10.1145/1244002.1244195}, doi = {10.1145/1244002.1244195}, timestamp = {Sun, 02 Jun 2019 21:18:37 +0200}, biburl = {https://dblp.org/rec/conf/sac/OHareGJLOS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/WilkinsABJLKMOSAOBGHELVPVMKSAMSBPCIHGSCSP07, author = {Peter Wilkins and Tomasz Adamek and Daragh Byrne and Gareth J. F. Jones and Hyowon Lee and Gordon Keenan and Kevin McGuinness and Noel E. O'Connor and Alan F. Smeaton and Alia Amin and Zeljko Obrenovic and Rachid Benmokhtar and Eric Galmar and Benoit Huet and Slim Essid and R{\'{e}}mi Landais and F{\'{e}}licien Vallet and Georgios Th. Papadopoulos and Stefanos Vrochidis and Vasileios Mezaris and Ioannis Kompatsiaris and Evaggelos Spyrou and Yannis Avrithis and Roland M{\"{o}}rzinger and Peter Schallauer and Werner Bailer and Tomas Piatrik and Krishna Chandramouli and Ebroul Izquierdo and Martin Haller and Lutz Goldmann and Amjad Samour and Andreas Cobet and Thomas Sikora and Pavel Praks}, title = {K-Space at {TRECVID} 2007}, booktitle = {{TRECVID} 2007 workshop participants notebook papers, Gaithersburg, MD, USA, November 2007}, year = {2007}, crossref = {DBLP:conf/trecvid/2007}, url = {http://www-nlpir.nist.gov/projects/tvpubs/tv7.papers/kspace.pdf}, timestamp = {Wed, 11 Mar 2020 17:00:44 +0100}, biburl = {https://dblp.org/rec/conf/trecvid/WilkinsABJLKMOSAOBGHELVPVMKSAMSBPCIHGSCSP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/LimL07, author = {Edward H. Y. Lim and Raymond S. T. Lee}, title = {iJADE InfoSeeker: On Using Intelligent Context-Aware Agents for Retrieving and Analyzing Chinese Web Articles}, booktitle = {Computational Intelligence for Agent-based Systems}, pages = {127--153}, year = {2007}, crossref = {DBLP:series/sci/2007-72}, url = {https://doi.org/10.1007/978-3-540-73177-1\_5}, doi = {10.1007/978-3-540-73177-1\_5}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/LimL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dagstuhl/2007P7451, editor = {Holger Giese and Gabor Karsai and Edward Lee and Bernhard Rumpe and Bernhard Sch{\"{a}}tz}, title = {Model-Based Engineering of Embedded Real-Time Systems, 4.11. - 9.11.2007}, series = {Dagstuhl Seminar Proceedings}, volume = {07451}, publisher = {Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany}, year = {2007}, url = {http://drops.dagstuhl.de/portals/07451/}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/2007P7451.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/GieseKLRS07, author = {Holger Giese and Gabor Karsai and Edward Lee and Bernhard Rumpe and Bernhard Sch{\"{a}}tz}, title = {07451 Summary - Model-Based Engineering of Embedded Real-Time Systems}, booktitle = {Model-Based Engineering of Embedded Real-Time Systems, 4.11. - 9.11.2007}, year = {2007}, crossref = {DBLP:conf/dagstuhl/2007P7451}, url = {http://drops.dagstuhl.de/opus/volltexte/2007/1272}, timestamp = {Thu, 10 Jun 2021 13:02:10 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/GieseKLRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/GieseKLRS07a, author = {Holger Giese and Gabor Karsai and Edward Lee and Bernhard Rumpe and Bernhard Sch{\"{a}}tz}, title = {07451 Abstracts Collection - Model-Based Engineering of Embedded Real-Time Systems}, booktitle = {Model-Based Engineering of Embedded Real-Time Systems, 4.11. - 9.11.2007}, year = {2007}, crossref = {DBLP:conf/dagstuhl/2007P7451}, url = {http://drops.dagstuhl.de/opus/volltexte/2007/1271}, timestamp = {Thu, 23 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/GieseKLRS07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/LudascherABHJJLTZ06, author = {Bertram Lud{\"{a}}scher and Ilkay Altintas and Chad Berkley and Dan Higgins and Efrat Jaeger and Matthew B. Jones and Edward A. Lee and Jing Tao and Yang Zhao}, title = {Scientific workflow management and the Kepler system}, journal = {Concurr. Comput. Pract. Exp.}, volume = {18}, number = {10}, pages = {1039--1065}, year = {2006}, url = {https://doi.org/10.1002/cpe.994}, doi = {10.1002/CPE.994}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/LudascherABHJJLTZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKP06a, author = {Hoojin Lee and Joonhyuk Kang and Edward J. Powers}, title = {Iterative Sequential {OFDM} Symbol Estimation Algorithm over Time-Frequency-Selective Fading Channels}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {6}, pages = {1922--1925}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.6.1922}, doi = {10.1093/IETCOM/E89-B.6.1922}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKP06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/HudsonDL06, author = {Tina A. Hudson and Edward R. Doering and Gwen Lee{-}Thomas}, title = {Teaching mixed-signal integrated circuit design to undergraduates}, journal = {{IEEE} Trans. Educ.}, volume = {49}, number = {1}, pages = {39--48}, year = {2006}, url = {https://doi.org/10.1109/TE.2005.853072}, doi = {10.1109/TE.2005.853072}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/HudsonDL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/LeeSOS06, author = {Hyowon Lee and Alan F. Smeaton and Noel E. O'Connor and Barry Smyth}, title = {User evaluation of F{\'{\i}}schl{\'{a}}r-News: An automatic broadcast news delivery system}, journal = {{ACM} Trans. Inf. Syst.}, volume = {24}, number = {2}, pages = {145--189}, year = {2006}, url = {https://doi.org/10.1145/1148020.1148021}, doi = {10.1145/1148020.1148021}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/LeeSOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhs/LeeZ06, author = {Edward A. Lee and Haiyang Zheng}, title = {Hyvisual: a Hybrid System Modeling Framework based on Ptolemy {II}}, booktitle = {2nd {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2006, Alghero, Italy, June 7-9, 2006}, pages = {270--271}, year = {2006}, crossref = {DBLP:conf/adhs/2006}, url = {https://doi.org/10.3182/20060607-3-IT-3902.00050}, doi = {10.3182/20060607-3-IT-3902.00050}, timestamp = {Fri, 12 Oct 2018 12:38:52 +0200}, biburl = {https://dblp.org/rec/conf/adhs/LeeZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/DangLLHC06, author = {Edward K. F. Dang and Robert W. P. Luk and Dik Lun Lee and Kei Shiu Ho and Stephen Chi{-}fai Chan}, title = {Query-specific clustering of search results based on document-context similarity scores}, booktitle = {Proceedings of the 2006 {ACM} {CIKM} International Conference on Information and Knowledge Management, Arlington, Virginia, USA, November 6-11, 2006}, pages = {886--887}, year = {2006}, crossref = {DBLP:conf/cikm/2006}, url = {https://doi.org/10.1145/1183614.1183780}, doi = {10.1145/1183614.1183780}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/DangLLHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/civr/OHareLCGJMOSU06, author = {Neil O'Hare and Hyowon Lee and Saman Cooray and Cathal Gurrin and Gareth J. F. Jones and Jovanka Malobabic and Noel E. O'Connor and Alan F. Smeaton and Bartlomiej Uscilowski}, title = {MediAssist: Using Content-Based Analysis and Context to Manage Personal Photo Collections}, booktitle = {Image and Video Retrieval, 5th International Conference, {CIVR} 2006, Tempe, AZ, USA, July 13-15, 2006, Proceedings}, pages = {529--532}, year = {2006}, crossref = {DBLP:conf/civr/2006}, url = {https://doi.org/10.1007/11788034\_61}, doi = {10.1007/11788034\_61}, timestamp = {Sat, 19 Oct 2019 19:59:00 +0200}, biburl = {https://dblp.org/rec/conf/civr/OHareLCGJMOSU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/civr/SavJLOS06, author = {Sorin Sav and Gareth J. F. Jones and Hyowon Lee and Noel E. O'Connor and Alan F. Smeaton}, title = {Interactive Experiments in Object-Based Retrieval}, booktitle = {Image and Video Retrieval, 5th International Conference, {CIVR} 2006, Tempe, AZ, USA, July 13-15, 2006, Proceedings}, pages = {1--10}, year = {2006}, crossref = {DBLP:conf/civr/2006}, url = {https://doi.org/10.1007/11788034\_1}, doi = {10.1007/11788034\_1}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/civr/SavJLOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/SmeatonJLOS06, author = {Alan F. Smeaton and Gareth J. F. Jones and Hyowon Lee and Noel E. O'Connor and Sorin Sav}, title = {Object-Based Access to {TV} Rushes Video}, booktitle = {Advances in Information Retrieval, 28th European Conference on {IR} Research, {ECIR} 2006, London, UK, April 10-12, 2006, Proceedings}, pages = {476--479}, year = {2006}, crossref = {DBLP:conf/ecir/2006}, url = {https://doi.org/10.1007/11735106\_45}, doi = {10.1007/11735106\_45}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecir/SmeatonJLOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeP06, author = {Hoojin Lee and Edward J. Powers}, title = {Low-Complexity Mutual Information-Based Antenna Grouping Scheme for a {D-STTD} System}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, year = {2006}, crossref = {DBLP:conf/globecom/2006}, url = {https://doi.org/10.1109/GLOCOM.2006.609}, doi = {10.1109/GLOCOM.2006.609}, timestamp = {Fri, 21 Feb 2020 18:38:36 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpc/CatlettAA06, author = {Charlie Catlett and William E. Allcock and Phil Andrews and Ruth A. Aydt and Ray Bair and Natasha Balac and Bryan Banister and Trish Barker and Mark Bartelt and Peter H. Beckman and Francine Berman and Gary R. Bertoline and Alan Blatecky and Jay Boisseau and Jim Bottum and Sharon Brunett and Julian J. Bunn and Michelle Butler and David Carver and John Cobb and Tim Cockerill and Peter Couvares and Maytal Dahan and Diana Diehl and Thom H. Dunning and Ian T. Foster and Kelly P. Gaither and Dennis Gannon and Sebastien Goasguen and Michael Grobe and David L. Hart and Matt Heinzel and Chris Hempel and Wendy Huntoon and Joseph A. Insley and Christopher T. Jordan and Ivan R. Judson and Anke Kamrath and Nicholas T. Karonis and Carl Kesselman and Patricia A. Kovatch and Lex Lane and Scott A. Lathrop and Michael J. Levine and David Lifka and Lee Liming and Miron Livny and Rich Loft and Doru Marcusiu and Jim Marsteller and Stuart Martin and D. Scott McCaulay and John McGee and Laura McGinnis and Michael A. McRobbie and Paul Messina and Reagan W. Moore and Richard Lee Moore and John{-}Paul Navarro and Jeff Nichols and Michael E. Papka and Rob Pennington and Greg Pike and Jim Pool and Raghurama Reddy and Daniel A. Reed and Tony Rimovsky and Eric Roberts and Ralph Roskies and Sergiu Sanielevici and J. Ray Scott and Anurag Shankar and Mark Sheddon and Mike Showerman and Derek Simmel and Abe Singer and Dane Skow and Shava Smallen and Warren Smith and Carol X. Song and Rick L. Stevens and Craig A. Stewart and Robert B. Stock and Nathan Stone and John Towns and Tomislav Urban and Mike Vildibill and Edward Walker and Von Welch and Nancy Wilkins{-}Diehr and Roy Williams and Linda Winkler and Lan Zhao and Ann Zimmerman}, title = {TeraGrid: Analysis of Organization, System Architecture, and Middleware Enabling New Types of Applications}, booktitle = {High Performance Computing and Grids in Action - Selected Papers from the 2006 International Advanced Research Workshop on High Performance Computing and Grids, Cetraro, Italy, 2006}, pages = {225--249}, year = {2006}, crossref = {DBLP:conf/hpc/2006}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpc/CatlettAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/ZhengLA06, author = {Haiyang Zheng and Edward A. Lee and Aaron D. Ames}, title = {Beyond Zeno: Get on with It!}, booktitle = {Hybrid Systems: Computation and Control, 9th International Workshop, {HSCC} 2006, Santa Barbara, CA, USA, March 29-31, 2006, Proceedings}, pages = {568--582}, year = {2006}, crossref = {DBLP:conf/hybrid/2006}, url = {https://doi.org/10.1007/11730637\_42}, doi = {10.1007/11730637\_42}, timestamp = {Wed, 30 Aug 2023 16:03:58 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/ZhengLA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/PaganoCATLOLFIM06, author = {Thomas S. Pagano and Moustafa T. Chahine and Hartmut Aumann and Baijun Tian and Sung{-}Yung Lee and Edward Olsen and Bjorn Lambrigtsen and Eric J. Fetzer and F. W. Irion and W. Wallace McMillan and Larrabee L. Strow and Xiouhua Fu and Chris Barnet and Mitchell D. Goldberg and Joel Susskind and John M. Blaisdell}, title = {Remote Sensing of Atmospheric Climate Parameters from the Atmospheric Infrared Sounder}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, pages = {2386--2389}, year = {2006}, crossref = {DBLP:conf/igarss/2006}, url = {https://doi.org/10.1109/IGARSS.2006.618}, doi = {10.1109/IGARSS.2006.618}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/PaganoCATLOLFIM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OConnorLSJCBG06, author = {Noel E. O'Connor and Hyowon Lee and Alan F. Smeaton and Gareth J. F. Jones and Eddie Cooke and Herv{\'{e}} Le Borgne and Cathal Gurrin}, title = {Fischlar-TRECVid-2004: combined text- and image-based searching of video archives}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, year = {2006}, crossref = {DBLP:conf/iscas/2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693029}, doi = {10.1109/ISCAS.2006.1693029}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OConnorLSJCBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KrolMUFLMTLCS06, author = {Andrzej Kr{\'{o}}l and Alphonso Magri and Mehmet Z. Unlu and David H. Feiglin and Edward Lipson and James A. Mandel and Gwen Tillapaugh{-}Fay and Wei Lee and Ioana Coman and Nikolaus M. Szeverenyi}, title = {Motion correction via nonrigid coregistration of dynamic {MR} mammography series}, booktitle = {Medical Imaging 2006: Image Processing, {MEDICAL} IMAGING, San Diego, California, United States, 11-16 February 2006}, pages = {614439}, year = {2006}, crossref = {DBLP:conf/miip/2006}, url = {https://doi.org/10.1117/12.654680}, doi = {10.1117/12.654680}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/KrolMUFLMTLCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/UnluKMFMLCLT06, author = {Mehmet Z. Unlu and Andrzej Kr{\'{o}}l and Alphonso Magri and David H. Feiglin and James A. Mandel and Edward D. Lipson and Ioana L. Coman and Wei Lee and Gwen Tillapaugh{-}Fay}, title = {Iterative deformable {FEM} model for nonrigid {PET/MRI} breast image coregistration}, booktitle = {Medical Imaging 2006: Image Processing, {MEDICAL} IMAGING, San Diego, California, United States, 11-16 February 2006}, pages = {614435}, year = {2006}, crossref = {DBLP:conf/miip/2006}, url = {https://doi.org/10.1117/12.654223}, doi = {10.1117/12.654223}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/UnluKMFMLCLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samt/OHareLCGJMOSU06, author = {Neil O'Hare and Hyowon Lee and Saman Cooray and Cathal Gurrin and Gareth J. F. Jones and Jovanka Malobabic and Noel E. O'Connor and Alan F. Smeaton and Bartlomiej Uscilowski}, title = {Automatic Text Searching For Personal Photos}, booktitle = {Poster and Demo Proceedings of the 1st International Conference on Semantic and Digital Media Technologies, Athens, Greece, December 6-8, 2006}, year = {2006}, crossref = {DBLP:conf/samt/2006p}, url = {https://ceur-ws.org/Vol-233/p43.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:57 +0100}, biburl = {https://dblp.org/rec/conf/samt/OHareLCGJMOSU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmap/LehaneOL06, author = {Bart Lehane and Noel E. O'Connor and Hyowon Lee}, title = {Searching Movies Based on User Defined Semantic Events}, booktitle = {{SIGMAP} 2006 - Proceedings of the International Conference on Signal Processing and Multimedia Applications, Set{\'{u}}bal, Portugal, August 7-10, 2006, {SIGMAP} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {232--239}, year = {2006}, crossref = {DBLP:conf/sigmap/2006}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmap/LehaneOL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tidse/LehaneOSL06, author = {Bart Lehane and Noel E. O'Connor and Alan F. Smeaton and Hyowon Lee}, title = {A System for Event-Based Film Browsing}, booktitle = {Technologies for Interactive Digital Storytelling and Entertainment, Third International Conference, {TIDSE} 2006, Darmstadt, Germany, December 4-6, 2006}, pages = {334--345}, year = {2006}, crossref = {DBLP:conf/tidse/2006}, url = {https://doi.org/10.1007/11944577\_33}, doi = {10.1007/11944577\_33}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/tidse/LehaneOSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeP06, author = {Hoojin Lee and Edward J. Powers}, title = {Adaptive Double Space-Time Transmit Diversity System with Linear {ZF} Receiver}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--5}, year = {2006}, crossref = {DBLP:conf/vtc/2006f}, url = {https://doi.org/10.1109/VTCF.2006.307}, doi = {10.1109/VTCF.2006.307}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/FengL06, author = {Thomas Huining Feng and Edward A. Lee}, title = {Incremental checkpointing with application to distributed discrete event simulation}, booktitle = {Proceedings of the Winter Simulation Conference {WSC} 2006, Monterey, California, USA, December 3-6, 2006}, pages = {1004--1011}, year = {2006}, crossref = {DBLP:conf/wsc/2006}, url = {https://doi.org/10.1109/WSC.2006.323188}, doi = {10.1109/WSC.2006.323188}, timestamp = {Mon, 29 Apr 2024 16:19:40 +0200}, biburl = {https://dblp.org/rec/conf/wsc/FengL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiangDLSOH05, author = {Patrick Chiang and William J. Dally and Ming{-}Ju Edward Lee and Ramesh Senthinathan and Yangjin Oh and Mark A. Horowitz}, title = {A 20-Gb/s 0.13-{\(\mu\)}m {CMOS} serial link transmitter using an {LC-PLL} to directly drive the output multiplexer}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {4}, pages = {1004--1011}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.842841}, doi = {10.1109/JSSC.2004.842841}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChiangDLSOH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcdl/WardBPCCCGHKKLL05, author = {Jewel Ward and Johan Bollen and Jeffrey Pearson and Shing{-}Cheung Chan and Hui{-}Hsien Chi and Marie Chi and Kristine Guevara and Hsiao{-}han Huang and Genesan Kim and Maks Krivokon and Bo H. Lee and Pei{-}Han Li and Fenny Muliawan and Vu Nguyen and Barry W. Boehm and A. Winsor Brown and Edward Colbert and Alexander Lam and Mayur K. Patel}, title = {Supporting Collection Development Decisions by Mining and Analyzing Digital Archive Usage Data}, journal = {Bull. {IEEE} Tech. Comm. Digit. Libr.}, volume = {2}, number = {1}, year = {2005}, url = {https://bulletin.jcdl.org/Bulletin/v2n1/ward/ward.html}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcdl/WardBPCCCGHKKLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WalshBCSVWJL05, author = {Edward J. Walsh and Michael L. Banner and James H. Churnside and Joseph A. Shaw and Douglas C. Vandemark and C. Wayne Wright and Jorgen B. Jensen and Sunhee Lee}, title = {Visual demonstration of three-scale sea-surface roughness under light wind conditions}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {43}, number = {8}, pages = {1751--1762}, year = {2005}, url = {https://doi.org/10.1109/TGRS.2005.851633}, doi = {10.1109/TGRS.2005.851633}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WalshBCSVWJL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/SavLOS05, author = {Sorin Sav and Hyowon Lee and Noel E. O'Connor and Alan F. Smeaton}, title = {Interactive Object-Based Retrieval Using Relevance Feedback}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 7th International Conference, {ACIVS} 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings}, pages = {260--267}, year = {2005}, crossref = {DBLP:conf/acivs/2005}, url = {https://doi.org/10.1007/11558484\_33}, doi = {10.1007/11558484\_33}, timestamp = {Fri, 27 Dec 2019 21:26:39 +0100}, biburl = {https://dblp.org/rec/conf/acivs/SavLOS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amr/SavLSO05, author = {Sorin Sav and Hyowon Lee and Alan F. Smeaton and Noel E. O'Connor}, title = {Using Segmented Objects in Ostensive Video Shot Retrieval}, booktitle = {Adaptive Multimedia Retrieval: User, Context, and Feedback, Third International Workshop, {AMR} 2005, Glasgow, UK, July 28-29, 2005, Revised Selected Papers}, pages = {155--167}, year = {2005}, crossref = {DBLP:conf/amr/2005}, url = {https://doi.org/10.1007/11670834\_13}, doi = {10.1007/11670834\_13}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amr/SavLSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimca/FalconerUSL05, author = {Edward Falconer and Abel Usoro and Mark Stansfield and Brian Lees}, title = {A Prototype Intelligent Agent Based {DSS} for Quadratic Portfolio Optimization Calculations with Case Based Reasoning}, booktitle = {2005 International Conference on Computational Intelligence for Modelling Control and Automation {(CIMCA} 2005), International Conference on Intelligent Agents, Web Technologies and Internet Commerce {(IAWTIC} 2005), 28-30 November 2005, Vienna, Austria}, pages = {1038--1044}, year = {2005}, crossref = {DBLP:conf/cimca/2005}, url = {https://doi.org/10.1109/CIMCA.2005.1631400}, doi = {10.1109/CIMCA.2005.1631400}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimca/FalconerUSL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/TranSFVLNNLB05, author = {Hieu Van Tran and William John Saiki and Jack Edward Frayer and Thuan Vu and Anh Ly and Sang Thanh Nguyen and Hung Quoc Nguyen and Douglas James Lee and Michael Stephen Briner}, title = {A precision high voltage wave-shaper for multi-Gbit source side injection {MLC} {NOR} flash memory}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {367--370}, year = {2005}, crossref = {DBLP:conf/esscirc/2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541636}, doi = {10.1109/ESSCIR.2005.1541636}, timestamp = {Fri, 28 Apr 2023 15:39:25 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/TranSFVLNNLB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeP05, author = {Hoojin Lee and Edward J. Powers}, title = {QR-decomposition-based linear and decision-feedback detections for {D-STTD} systems over time-selective fading channels}, booktitle = {Proceedings of the Global Telecommunications Conference, 2005. {GLOBECOM} '05, St. Louis, Missouri, USA, 28 November - 2 December 2005}, pages = {5}, year = {2005}, crossref = {DBLP:conf/globecom/2005}, url = {https://doi.org/10.1109/GLOCOM.2005.1578073}, doi = {10.1109/GLOCOM.2005.1578073}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LeeP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hybrid/LeeZ05, author = {Edward A. Lee and Haiyang Zheng}, title = {Operational Semantics of Hybrid Systems}, booktitle = {Hybrid Systems: Computation and Control, 8th International Workshop, {HSCC} 2005, Zurich, Switzerland, March 9-11, 2005, Proceedings}, pages = {25--53}, year = {2005}, crossref = {DBLP:conf/hybrid/2005}, url = {https://doi.org/10.1007/978-3-540-31954-2\_2}, doi = {10.1007/978-3-540-31954-2\_2}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/LeeZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeePK05, author = {Hoojin Lee and Edward J. Powers and Joonhyuk Kang}, title = {Efficient {OFDM} symbol estimation algorithm over time-frequency-selective fading channels}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {781--784}, year = {2005}, crossref = {DBLP:conf/icassp/2005}, url = {https://doi.org/10.1109/ICASSP.2005.1415826}, doi = {10.1109/ICASSP.2005.1415826}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeePK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/WardBPCCCGHKKLLMNBBCLP05, author = {Jewel Ward and Johan Bollen and Jeffrey Pearson and Shing{-}Cheung Chan and Hui{-}Hsien Chi and Marie Chi and Kristine Guevara and Hsiao{-}han Huang and Genesan Kim and Maks Krivokon and Bo H. Lee and Pei{-}Han Li and Fenny Muliawan and Vu Nguyen and Barry W. Boehm and A. Winsor Brown and Edward Colbert and Alexander Lam and Mayur K. Patel}, title = {Mining and analyzing digital archive usage data to support collection development decisions}, booktitle = {{ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2005, Denver, CO, USA, June 7-11, 2005, Proceedings}, pages = {417}, year = {2005}, crossref = {DBLP:conf/jcdl/2005}, url = {https://doi.org/10.1145/1065385.1065521}, doi = {10.1145/1065385.1065521}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/WardBPCCCGHKKLLMNBBCLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KrolFLKGCLKT05, author = {Andrzej Kr{\'{o}}l and David H. Feiglin and Wei Lee and Vikram R. Kunniyur and Kedar R. Gangal and Ioana L. Coman and Edward D. Lipson and Deborah A. Karczewski and F. Deaver Thomas}, title = {{MLEM} algorithm adaptation for improved {SPECT} scintimammography}, booktitle = {Medical Imaging 2005: Image Processing, San Diego, California, United States, 12-17 February 2005}, year = {2005}, crossref = {DBLP:conf/miip/2005}, url = {https://doi.org/10.1117/12.596093}, doi = {10.1117/12.596093}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/KrolFLKGCLKT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KrolKLGCLKTF05, author = {Andrzej Kr{\'{o}}l and Vikram R. Kunniyur and Wei Lee and Kedar R. Gangal and Ioana L. Coman and Edward D. Lipson and Deborah A. Karczewski and F. Deaver Thomas and David H. Feiglin}, title = {Implementation of sensitivity and resolution modeling for {SPECT} with cone-beam collimator}, booktitle = {Medical Imaging 2005: Image Processing, San Diego, California, United States, 12-17 February 2005}, year = {2005}, crossref = {DBLP:conf/miip/2005}, url = {https://doi.org/10.1117/12.596273}, doi = {10.1117/12.596273}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/KrolKLGCLKTF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/UnluKCMBLLF05, author = {Mehmet Z. Unlu and Andrzej Kr{\'{o}}l and Ioana L. Coman and James A. Mandel and Karl G. Baum and Wei Lee and Edward D. Lipson and David H. Feiglin}, title = {Deformable model for 3D intramodal nonrigid breast image registration with fiducial skin markers}, booktitle = {Medical Imaging 2005: Image Processing, San Diego, California, United States, 12-17 February 2005}, year = {2005}, crossref = {DBLP:conf/miip/2005}, url = {https://doi.org/10.1117/12.595420}, doi = {10.1117/12.595420}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/UnluKCMBLLF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/FoleyGJ0MOSSW05, author = {Colum Foley and Cathal Gurrin and Gareth J. F. Jones and Hyowon Lee and Sin{\'{e}}ad McGivney and Noel E. O'Connor and Sorin Sav and Alan F. Smeaton and Peter Wilkins}, title = {TRECVid 2005 Experiments at Dublin City University}, booktitle = {2005 {TREC} Video Retrieval Evaluation, {TRECVID} 2005, Gaithersburg, MD, USA, November 14-15, 2005}, year = {2005}, crossref = {DBLP:conf/trecvid/2005}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv5.papers/dcu.pdf}, timestamp = {Sat, 02 May 2020 14:52:31 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/FoleyGJ0MOSSW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Farjad-RadNTGPD04, author = {Ramin Farjad{-}Rad and Anhtuyet Nguyen and James Tran and Trey Greer and John Poulton and William J. Dally and John H. Edmondson and Ramesh Senthinathan and Rohit Rathi and Ming{-}Ju Edward Lee and Hiok{-}Tiaq Ng}, title = {A 33-mW 8-Gb/s {CMOS} clock multiplier and {CDR} for highly integrated I/Os}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {9}, pages = {1553--1561}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.831457}, doi = {10.1109/JSSC.2004.831457}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Farjad-RadNTGPD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/BullmoreHLMF04, author = {Ed Bullmore and Lee M. Harrison and Lucy Lee and Andrea Mechelli and Karl J. Friston}, title = {Brain connectivity workshop, Cambridge UK, may 2003}, journal = {Neuroinformatics}, volume = {2}, number = {2}, pages = {123--125}, year = {2004}, url = {https://doi.org/10.1385/NI:2:2:123}, doi = {10.1385/NI:2:2:123}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ni/BullmoreHLMF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/FosterGG04, author = {Ian T. Foster and Jerry Gieraltowski and Scott Gose and Natalia Maltsev and Edward N. May and Alex A. Rodriguez and Dinanath Sulakhe and A. Vaniachine and Jim Shank and Saul Youssef and David Adams and Richard Baker and Wensheng Deng and Jason Smith and Dantong Yu and Iosif Legrand and Suresh Singh and Conrad Steenberg and Yang Xia and M. Anzar Afaq and Eileen Berman and James Annis and L. A. T. Bauerdick and Michael Ernst and Ian Fisk and Lisa Giacchetti and Gregory E. Graham and Anne Heavey and Joseph Kaiser and Nickolai Kuropatkin and Ruth Pordes and Vijay Sekhri and John Weigand and Yujun Wu and Keith Baker and Lawrence Sorrillo and John Huth and Matthew Allen and Leigh Grundhoefer and John Hicks and Fred Luehring and Steve Peck and Robert Quick and Stephen C. Simms and George Fekete and Jan vandenBerg and Kihyeon Cho and Kihwan Kwon and Dongchul Son and Hyoungwoo Park and Shane Canon and Keith R. Jackson and David E. Konerding and Jason Lee and Doug Olson and Iwona Sakrejda and Brian Tierney and Mark Green and Russ Miller and James Letts and Terrence Martin and David Bury and Catalin Dumitrescu and Daniel Engh and Robert W. Gardner and Marco Mambelli and Yuri Smirnov and Jens{-}S. V{\"{o}}ckler and Michael Wilde and Yong Zhao and Xin Zhao and Paul Avery and Richard Cavanaugh and Bockjoo Kim and Craig Prescott and Jorge Luis Rodriguez and Andrew Zahn and Shawn McKee and Christopher T. Jordan and James E. Prewett and Timothy L. Thomas and Horst Severini and Ben Clifford and Ewa Deelman and Larry Flon and Carl Kesselman and Gaurang Mehta and Nosa Olomu and Karan Vahi and Kaushik De and Patrick McGuigan and Mark Sosebee and Dan Bradley and Peter Couvares and Alan DeSmet and Carey Kireyev and Erik Paulson and Alain Roy and Scott Koranda and Brian Moe and Bobby Brown and Paul Sheldon}, title = {The Grid2003 Production Grid: Principles and Practice}, booktitle = {13th International Symposium on High-Performance Distributed Computing {(HPDC-13} 2004), 4-6 June 2004, Honolulu, Hawaii, {USA}}, pages = {236--245}, year = {2004}, crossref = {DBLP:conf/hpdc/2004}, url = {https://doi.ieeecomputersociety.org/10.1109/HPDC.2004.36}, doi = {10.1109/HPDC.2004.36}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/FosterGG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/ChenHMFSDLM04, author = {Kevin Chen and Matthew Henricksen and William Millan and Joanne Fuller and Leonie Ruth Simpson and Ed Dawson and Hoon{-}Jae Lee and Sang{-}Jae Moon}, title = {Dragon: {A} Fast Word Based Stream Cipher}, booktitle = {Information Security and Cryptology - {ICISC} 2004, 7th International Conference, Seoul, Korea, December 2-3, 2004, Revised Selected Papers}, pages = {33--50}, year = {2004}, crossref = {DBLP:conf/icisc/2004}, url = {https://doi.org/10.1007/11496618\_5}, doi = {10.1007/11496618\_5}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisc/ChenHMFSDLM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/riao/SmeatonGLMMOWOS04, author = {Alan F. Smeaton and Cathal Gurrin and Hyowon Lee and Kieran McDonald and Noel Murphy and Noel E. O'Connor and David Wilson and Derry O'Sullivan and Barry Smyth}, title = {The F{\'{\i}}schl{\'{a}}r-News-Stories System: Personalised Access to an Archive of {TV} News}, booktitle = {Computer-Assisted Information Retrieval (Recherche d'Information et ses Applications) - {RIAO} 2004, 7th International Conference, University of Avignon, France, April 26-28, 2004. Proceedings}, pages = {3--17}, year = {2004}, crossref = {DBLP:conf/riao/2004}, url = {https://dl.acm.org/doi/10.5555/2816272.2816274}, doi = {10.5555/2816272.2816274}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/riao/SmeatonGLMMOWOS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/CookeFGGJLLMDMM04, author = {Eddie Cooke and Paul Ferguson and Georgina Gaughan and Cathal Gurrin and Gareth J. F. Jones and Herv{\'{e}} Le Borgne and Hyowon Lee and Se{\'{a}}n Marlow and Kieran McDonald and Mike McHugh and Noel Murphy and Noel E. O'Connor and Neil O'Hare and Sandra Rothwell and Alan F. Smeaton and Peter Wilkins}, title = {{TRECVID} 2004 Experiments in Dublin City University}, booktitle = {2004 {TREC} Video Retrieval Evaluation, {TRECVID} 2004, Gaithersburg, MD, USA, November 15-16, 2004}, year = {2004}, crossref = {DBLP:conf/trecvid/2004}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tvpapers04/dcu.pdf}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/CookeFGGJLLMDMM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeDGNFPS03, author = {Ming{-}Ju Edward Lee and William J. Dally and Trey Greer and Hiok{-}Tiaq Ng and Ramin Farjad{-}Rad and John Poulton and Ramesh Senthinathan}, title = {Jitter transfer characteristics of delay-locked loops - theories and design techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {4}, pages = {614--621}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.809519}, doi = {10.1109/JSSC.2003.809519}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeDGNFPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NgFLDGPERS03, author = {Hiok{-}Tiaq Ng and Ramin Farjad{-}Rad and Ming{-}Ju Edward Lee and William J. Dally and Trey Greer and John Poulton and John H. Edmondson and Rohit Rathi and Ramesh Senthinathan}, title = {A second-order semidigital clock recovery circuit based on injection locking}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {12}, pages = {2101--2110}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.818576}, doi = {10.1109/JSSC.2003.818576}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NgFLDGPERS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/BarnettHSMKLL03, author = {G. Octo Barnett and Edward P. Hoffer and Elizabeth Schneider and Mary Morgan and Cynthia Maciel Knowles and Elina Levin and Aimee Lee}, title = {Distribution of a Primary Care Office Information System}, booktitle = {{AMIA} 2003, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 8-12, 2003}, year = {2003}, crossref = {DBLP:conf/amia/2003}, url = {https://knowledge.amia.org/amia-55142-a2003a-1.616734/t-001-1.619623/f-001-1.619624/a-012-1.620066/a-013-1.620063}, timestamp = {Wed, 17 Apr 2024 11:48:28 +0200}, biburl = {https://dblp.org/rec/conf/amia/BarnettHSMKLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/SmelyanskiyMDL03, author = {Mikhail Smelyanskiy and Scott A. Mahlke and Edward S. Davidson and Hsien{-}Hsin S. Lee}, title = {Predicate-Aware Scheduling: {A} Technique for Reducing Resource Constraints}, booktitle = {1st {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2003), 23-26 March 2003, San Francisco, CA, {USA}}, pages = {169--178}, year = {2003}, crossref = {DBLP:conf/cgo/2003}, url = {https://doi.org/10.1109/CGO.2003.1191543}, doi = {10.1109/CGO.2003.1191543}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/SmelyanskiyMDL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NgLFSDNRGPET03, author = {Hiok{-}Tiaq Ng and Ming{-}Ju Edward Lee and Ramin Farjad{-}Rad and Ramesh Senthinathan and William J. Dally and Anhtuyet Nguyen and Rohit Rathi and Trey Greer and John Poulton and John H. Edmondson and James Tran}, title = {A 33mW 8Gb/s {CMOS} clock multiplier and {CDR} for highly integrated I/Os}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {77--80}, year = {2003}, crossref = {DBLP:conf/cicc/2003}, url = {https://doi.org/10.1109/CICC.2003.1249363}, doi = {10.1109/CICC.2003.1249363}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/NgLFSDNRGPET03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeDFNSEP03, author = {Ming{-}Ju Edward Lee and William J. Dally and Ramin Farjad{-}Rad and Hiok{-}Tiaq Ng and Ramesh Senthinathan and John H. Edmondson and John W. Poulton}, title = {{CMOS} High-Speed I/Os - Present and Future}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {454--461}, year = {2003}, crossref = {DBLP:conf/iccd/2003}, url = {https://doi.org/10.1109/ICCD.2003.1240940}, doi = {10.1109/ICCD.2003.1240940}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeDFNSEP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HaoLALB03, author = {Yongxing Hao and Benjamin Laxton and Sunil Kumar Agrawal and Edward Lee and Eric Benson}, title = {Planning and control of {UGV} formations in a dynamic environment: a practical framework with experiments}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, pages = {1209--1214}, year = {2003}, crossref = {DBLP:conf/icra/2003}, url = {https://doi.org/10.1109/ROBOT.2003.1241757}, doi = {10.1109/ROBOT.2003.1241757}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/HaoLALB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/HanGMZZF03, author = {Hui Han and C. Lee Giles and Eren Manavoglu and Hongyuan Zha and Zhenyue Zhang and Edward A. Fox}, title = {Automatic Document Metadata Extraction Using Support Vector Machines}, booktitle = {{ACM/IEEE} 2003 Joint Conference on Digital Libraries {(JCDL} 2003), 27-31 May 2003, Houston, Texas, USA, Proceedings}, pages = {37--48}, year = {2003}, crossref = {DBLP:conf/jcdl/2003}, url = {https://doi.org/10.1109/JCDL.2003.1204842}, doi = {10.1109/JCDL.2003.1204842}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/HanGMZZF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/GurrinSLMMOM03, author = {Cathal Gurrin and Alan F. Smeaton and Hyowon Lee and Kieran McDonald and Noel Murphy and Noel E. O'Connor and Se{\'{a}}n Marlow}, title = {Mobile Access to the F{\'{\i}}schl{\'{a}}r-News Archive}, booktitle = {Mobile and Ubiquitous Information Access, Mobile {HCI} 2003 International Workshop, Udine, Italy, September 8, 2003, Revised and Invited Papers}, pages = {124--142}, year = {2003}, crossref = {DBLP:conf/mhci/2003w}, url = {https://doi.org/10.1007/978-3-540-24641-1\_10}, doi = {10.1007/978-3-540-24641-1\_10}, timestamp = {Sun, 02 Jun 2019 21:23:39 +0200}, biburl = {https://dblp.org/rec/conf/mhci/GurrinSLMMOM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/BrowneCGGJ0MMMO03, author = {Paul Browne and Csaba Czirjek and Georgina Gaughan and Cathal Gurrin and Gareth J. F. Jones and Hyowon Lee and Se{\'{a}}n Marlow and Kieran McDonald and Noel Murphy and Noel E. O'Connor and Neil O'Hare and Alan F. Smeaton and Jiamin Ye}, title = {Dublin City University Video Track Experiments for {TREC} 2003}, booktitle = {2003 {TREC} Video Retrieval Evaluation, {TRECVID} 2003, Gaithersburg, MD, USA, November 17-18, 2003}, year = {2003}, crossref = {DBLP:conf/trecvid/2003}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tvpapers03/dublin.lee.paper.pdf}, timestamp = {Tue, 05 May 2020 16:06:55 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/BrowneCGGJ0MMMO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Farjad-RadDNSLR02, author = {Ramin Farjad{-}Rad and William J. Dally and Hiok{-}Tiaq Ng and Ramesh Senthinathan and Ming{-}Ju Edward Lee and Rohit Rathi and John Poulton}, title = {A low-power multiplying {DLL} for low-jitter multigigahertz clock generation in highly integrated digital chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {12}, pages = {1804--1812}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.804340}, doi = {10.1109/JSSC.2002.804340}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Farjad-RadDNSLR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/ClarkDFGLMMS02, author = {Andrew J. Clark and Ed Dawson and Joanne Fuller and Jovan Dj. Golic and Hoon{-}Jae Lee and William Millan and Sang{-}Jae Moon and Leonie Simpson}, title = {The {LILI-II} Keystream Generator}, booktitle = {Information Security and Privacy, 7th Australian Conference, {ACISP} 2002, Melbourne, Australia, July 3-5, 2002, Proceedings}, pages = {25--39}, year = {2002}, crossref = {DBLP:conf/acisp/2002}, url = {https://doi.org/10.1007/3-540-45450-0\_3}, doi = {10.1007/3-540-45450-0\_3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acisp/ClarkDFGLMMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/BauerLBWBLHKFBE02, author = {Brent A. Bauer and Mark Lee and Larry Bergstrom and Dietlind Wahner{-}Roedler and John Bundrick and Scott Litin and Edward P. Hoffer and Richard J. Kim and Kathleen Famiglietti and G. Octo Barnett and Peter L. Elkin}, title = {Internal medicine resident satisfaction with a diagnostic decision support system (DXplain) introduced on a teaching hospital service}, booktitle = {{AMIA} 2002, American Medical Informatics Association Annual Symposium, San Antonio, TX, USA, November 9-13, 2002}, year = {2002}, crossref = {DBLP:conf/amia/2002}, url = {https://knowledge.amia.org/amia-55142-a2002a-1.610020/t-001-1.612667/f-001-1.612668/a-006-1.613235/a-007-1.613232}, timestamp = {Wed, 17 Apr 2024 11:48:33 +0200}, biburl = {https://dblp.org/rec/conf/amia/BauerLBWBLHKFBE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/BrowneCGJLMMMOSY02, author = {Paul Browne and Csaba Czirjek and Cathal Gurrin and Roman Jarina and Hyowon Lee and Se{\'{a}}n Marlow and Kieran McDonald and Noel Murphy and Noel E. O'Connor and Alan F. Smeaton and Jiamin Ye}, title = {Dublin City University Video Track Experiments for {TREC} 2002}, booktitle = {Proceedings of The Eleventh Text REtrieval Conference, {TREC} 2002, Gaithersburg, Maryland, USA, November 19-22, 2002}, year = {2002}, crossref = {DBLP:conf/trec/2002}, url = {http://trec.nist.gov/pubs/trec11/papers/dublincu.smeaton.pdf}, timestamp = {Wed, 07 Jul 2021 16:44:22 +0200}, biburl = {https://dblp.org/rec/conf/trec/BrowneCGJLMMMOSY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangL01, author = {Huanzhang Huang and Edward K. F. Lee}, title = {Design of low-voltage {CMOS} continuous-time filter with on-chip automatic tuning}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {8}, pages = {1168--1177}, year = {2001}, url = {https://doi.org/10.1109/4.938367}, doi = {10.1109/4.938367}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/LeeLH01, author = {Jonathan T. Lee and Edward Lau and Yu{-}Chi Ho}, title = {The Witsenhausen counterexample: a hierarchical search approach for nonconvex optimization problems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {46}, number = {3}, pages = {382--397}, year = {2001}, url = {https://doi.org/10.1109/9.911416}, doi = {10.1109/9.911416}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/LeeLH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCAicis/HuangJGL01, author = {Wei Huang and Warren Jasper and Edward Grant and Gordon K. Lee}, title = {Convergence Properties of a Self-Organizing Fuzzy Sliding Mode Controller}, booktitle = {Proceedings of the {ISCA} 10th International Conference on Intelligent Systems, June 13-15, 2001, The Hyatt Hotel, Arlington, Virginia, {USA}}, pages = {72--75}, year = {2001}, crossref = {DBLP:conf/ISCAicis/2001}, timestamp = {Tue, 18 Oct 2022 08:35:37 +0200}, biburl = {https://dblp.org/rec/conf/ISCAicis/HuangJGL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiLL01, author = {He Li and Xiaojun Liu and Edward A. Lee}, title = {Modeling distributed hybrid systems in Ptolemy {II}}, booktitle = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, pages = {4984--4985}, year = {2001}, crossref = {DBLP:conf/amcc/2001}, url = {https://doi.org/10.1109/ACC.2001.945773}, doi = {10.1109/ACC.2001.945773}, timestamp = {Wed, 05 Jan 2022 10:14:49 +0100}, biburl = {https://dblp.org/rec/conf/amcc/LiLL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/LamULCL01, author = {Kam{-}yiu Lam and {\"{O}}zg{\"{u}}r Ulusoy and Tony S. H. Lee and Edward Chan and Guohui Li}, title = {An Efficient Method for Generating Location Updates for Processing of Location-Dependent Continuous Queries}, booktitle = {Database Systems for Advanced Applications, Proceedings of the 7th International Conference on Database Systems for Advanced Applications {(DASFAA} 2001), 18-20 April 2001 - Hong Kong, China}, pages = {218--225}, year = {2001}, crossref = {DBLP:conf/dasfaa/2001}, url = {https://doi.org/10.1109/DASFAA.2001.916381}, doi = {10.1109/DASFAA.2001.916381}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/LamULCL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeSMOM01, author = {Hyowon Lee and Alan F. Smeaton and Noel Murphy and Noel E. O'Connor and Se{\'{a}}n Marlow}, title = {Fischlar on a {PDA:} handheld user interface design to a video indexing, browsing and playback system}, booktitle = {Universal Access In {HCI:} Towards an Information Society for All, Proceedings of {HCI} International '2001 (the 9th International Conference on Human-Computer Interaction), New Orleans, USA, August 5-10, 2001, Volume 3}, pages = {377--381}, year = {2001}, crossref = {DBLP:conf/hci/2001-3}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/LeeSMOM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OConnorMMSBDLM01, author = {Noel E. O'Connor and Se{\'{a}}n Marlow and Noel Murphy and Alan F. Smeaton and Paul Browne and Se{\'{a}}n Deasy and Hyowon Lee and Kieran McDonald}, title = {Fischlar: an on-line system for indexing and browsing broadcast television content}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {1633--1636}, year = {2001}, crossref = {DBLP:conf/icassp/2001}, url = {https://doi.org/10.1109/ICASSP.2001.941249}, doi = {10.1109/ICASSP.2001.941249}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/OConnorMMSBDLM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interact/LeeTiernanCCH01, author = {Scott LeeTiernan and Edward Cutrell and Mary Czerwinski and Hunter G. Hoffman}, title = {Effective Notification Systems Depend on User Trust}, booktitle = {Human-Computer Interaction {INTERACT} '01: {IFIP} {TC13} International Conference on Human-Computer Interaction, Tokyo, Japan, July 9-13, 2001}, pages = {684--685}, year = {2001}, crossref = {DBLP:conf/interact/2001}, timestamp = {Thu, 02 Jul 2015 17:11:06 +0200}, biburl = {https://dblp.org/rec/conf/interact/LeeTiernanCCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/SmeatonMOMLMBY01, author = {Alan F. Smeaton and Noel Murphy and Noel E. O'Connor and Se{\'{a}}n Marlow and Hyowon Lee and Kieran McDonald and Paul Browne and Jiamin Ye}, title = {The f{\'{\i}}schl{\'{a}}r digital video system: a digital library of broadcast {TV} programmes}, booktitle = {{ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2001, Roanoke, Virginia, USA, June 24-28, 2001, Proceedings}, pages = {312--313}, year = {2001}, crossref = {DBLP:conf/jcdl/2001}, url = {https://doi.org/10.1145/379437.379696}, doi = {10.1145/379437.379696}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/SmeatonMOMLMBY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acisj/HuangJGL00, author = {Wei Huang and Warren Jasper and Edward Grant and Gordon K. Lee}, title = {Implementation of a self-organizing fuzzy sliding-mode controller using a multi-thread architecture}, journal = {{ACIS} Int. J. Comput. Inf. Sci.}, volume = {1}, number = {3}, pages = {126--133}, year = {2000}, url = {https://dl.acm.org/doi/10.5555/543113.543116}, doi = {10.5555/543113.543116}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/acisj/HuangJGL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/KongWKSAFLLGAOGKRMNSBMDDZKBBVOHHIFWJGHP00, author = {Jing Kong and Christopher A. White and Anna I. Krylov and David Sherrill and Ross D. Adamson and Thomas R. Furlani and Michael S. Lee and Aaron M. Lee and Steven R. Gwaltney and Terry R. Adams and Christian Ochsenfeld and Andrew T. B. Gilbert and Gary S. Kedziora and Vitaly A. Rassolov and David R. Maurice and Nikhil Nair and Yihan Shao and Nicholas A. Besley and Paul E. Maslen and Jeremy P. Dombroski and Holger Daschel and Weimin Zhang and Prakashan P. Korambath and Jon Baker and Edward F. C. Byrd and Troy A. Van Voorhis and Manabu Oumi and So Hirata and Chao{-}Ping Hsu and Naoto Ishikawa and Jan Flori{\'{a}}n and Arieh Warshel and Benny G. Johnson and Peter M. W. Gill and Martin Head{-}Gordon and John A. Pople}, title = {Q-Chem 2.0: a high-performance ab initio electronic structure program package}, journal = {J. Comput. Chem.}, volume = {21}, number = {16}, pages = {1532--1548}, year = {2000}, url = {https://doi.org/10.1002/1096-987X(200012)21:16\<1532::AID-JCC10\>3.0.CO;2-W}, doi = {10.1002/1096-987X(200012)21:16\<1532::AID-JCC10\>3.0.CO;2-W}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/KongWKSAFLLGAOGKRMNSBMDDZKBBVOHHIFWJGHP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/TsayHL00, author = {Jeff Tsay and Christopher Hylands and Edward A. Lee}, title = {A code generation framework for Java component-based designs}, booktitle = {Proceedings of the 2000 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2000, San Jose, California, USA, November 7-18, 2000}, pages = {18--25}, year = {2000}, crossref = {DBLP:conf/cases/2000}, url = {https://doi.org/10.1145/354880.354884}, doi = {10.1145/354880.354884}, timestamp = {Tue, 06 Nov 2018 11:07:42 +0100}, biburl = {https://dblp.org/rec/conf/cases/TsayHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MalikALKSW00, author = {Sharad Malik and D. K. Arvind and Edward A. Lee and Phil Koopman and Alberto L. Sangiovanni{-}Vincentelli and Wayne H. Wolf}, title = {Embedded systems education (panel abstract)}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {519}, year = {2000}, crossref = {DBLP:conf/dac/2000}, url = {https://doi.org/10.1145/337292.337563}, doi = {10.1145/337292.337563}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MalikALKSW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ercimdl/LeeSBMMO00, author = {Hyowon Lee and Alan F. Smeaton and Catherine Berrut and Noel Murphy and Se{\'{a}}n Marlow and Noel E. O'Connor}, title = {Implementation and Analysis of Several Keyframe-Based Browsing Interfaces to Digital Video}, booktitle = {Research and Advanced Technology for Digital Libraries, 4th European Conference, {ECDL} 2000, Lisbon, Portugal, September 18-20, 2000, Proceedings}, pages = {206--218}, year = {2000}, crossref = {DBLP:conf/ercimdl/2000}, url = {https://doi.org/10.1007/3-540-45268-0\_19}, doi = {10.1007/3-540-45268-0\_19}, timestamp = {Mon, 28 Aug 2023 21:17:44 +0200}, biburl = {https://dblp.org/rec/conf/ercimdl/LeeSBMMO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/riao/LeeSOMMO00, author = {Hyowon Lee and Alan F. Smeaton and Colin O'Toole and Noel Murphy and Se{\'{a}}n Marlow and Noel E. O'Connor}, title = {The Fischlar Digital Video Recording, Analysis and Browsing System}, booktitle = {Computer-Assisted Information Retrieval (Recherche d'Information et ses Applications) - {RIAO} 2000, 6th International Conference, College de France, France, April 12-14, 2000. Proceedings}, pages = {1390--1399}, year = {2000}, crossref = {DBLP:conf/riao/2000}, url = {https://dl.acm.org/doi/10.5555/2856151.2856190}, doi = {10.5555/2856151.2856190}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/riao/LeeSOMMO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/BreslauKSSZ00, author = {Lee Breslau and Edward W. Knightly and Scott Shenker and Ion Stoica and Hui Zhang}, title = {Endpoint admission control: Architectural issues and performance}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2000 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 28 - September 1, 2000, Stockholm, Sweden}, pages = {57--69}, year = {2000}, crossref = {DBLP:conf/sigcomm/2000}, url = {https://doi.org/10.1145/347059.347400}, doi = {10.1145/347059.347400}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/BreslauKSSZ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/LeeLH99, author = {Loo Hay Lee and Tak Wing Edward Lau and Yu{-}Chi Ho}, title = {Explanation of goal softening in ordinal optimization}, journal = {{IEEE} Trans. Autom. Control.}, volume = {44}, number = {1}, pages = {94--99}, year = {1999}, url = {https://doi.org/10.1109/9.739080}, doi = {10.1109/9.739080}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/LeeLH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLG99, author = {Huiting Chen and Edward Lee and Randall L. Geiger}, title = {A 2 GHz {VCO} with process and temperature compensation}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {569--572}, year = {1999}, crossref = {DBLP:conf/iscas/1999}, url = {https://doi.org/10.1109/ISCAS.1999.780818}, doi = {10.1109/ISCAS.1999.780818}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLG99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinL99, author = {Huawen Jin and Edward K. F. Lee}, title = {A digital technique for reducing clock jitter effects in time-interleaved {A/D} converter}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {330--333}, year = {1999}, crossref = {DBLP:conf/iscas/1999}, url = {https://doi.org/10.1109/ISCAS.1999.780726}, doi = {10.1109/ISCAS.1999.780726}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCNGLB99, author = {L. Wu and Huiting Chen and S. Nagavarapu and Randall L. Geiger and Edward Lee and W. Black}, title = {A monolithic 1.25 Gbits/sec {CMOS} clock/data recovery circuit for fibre channel transceiver}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {565--568}, year = {1999}, crossref = {DBLP:conf/iscas/1999}, url = {https://doi.org/10.1109/ISCAS.1999.780816}, doi = {10.1109/ISCAS.1999.780816}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCNGLB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ColbyCHJJMSW98, author = {Latha S. Colby and Richard L. Cole and Edward Haslam and Nasi Jazayeri and Galt Johnson and William J. McKenna and Lee Schumacher and David Wilhite}, title = {Redbrick Vista: Aggregate Computation and Management}, booktitle = {Proceedings of the Fourteenth International Conference on Data Engineering, Orlando, Florida, USA, February 23-27, 1998}, pages = {174--177}, year = {1998}, crossref = {DBLP:conf/icde/98}, url = {https://doi.org/10.1109/ICDE.1998.655773}, doi = {10.1109/ICDE.1998.655773}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/ColbyCHJJMSW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tcltk/ReekieL98, author = {H. John Reekie and Edward A. Lee}, title = {The Tycho Slate: Complex Drawing and Editing in Tcl/Tk}, booktitle = {Proceedings of the 6th Annual Tcl/Tk Conference, San Diego, California, USA, September 14-18, 1998}, year = {1998}, crossref = {DBLP:conf/tcltk/1998}, url = {https://www.usenix.org/conference/6th-annual-tcltk-conference/tycho-slate-complex-drawing-and-editing-tcltk}, timestamp = {Tue, 02 Feb 2021 08:04:39 +0100}, biburl = {https://dblp.org/rec/conf/tcltk/ReekieL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChangHL97, author = {Wan{-}Teh Chang and Soonhoi Ha and Edward A. Lee}, title = {Heterogeneous Simulation - Mixing Discrete-Event Models with Dataflow}, journal = {J. {VLSI} Signal Process.}, volume = {15}, number = {1-2}, pages = {127--144}, year = {1997}, url = {https://doi.org/10.1023/A:1007930622942}, doi = {10.1023/A:1007930622942}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ChangHL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZueSGHHMPPSS97, author = {Victor W. Zue and Stephanie Seneff and James R. Glass and I. Lee Hetherington and Edward Hurley and Helen M. Meng and Christine Pao and Joseph Polifroni and Rafael Schloming and Philipp Schmid}, title = {From interface to content: translingual access and delivery of on-line information}, booktitle = {Fifth European Conference on Speech Communication and Technology, {EUROSPEECH} 1997, Rhodes, Greece, September 22-25, 1997}, pages = {2227--2230}, year = {1997}, crossref = {DBLP:conf/interspeech/1997}, url = {https://doi.org/10.21437/Eurospeech.1997-587}, doi = {10.21437/EUROSPEECH.1997-587}, timestamp = {Sun, 02 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ZueSGHHMPPSS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tcltk/HylandsLR97, author = {Christopher Hylands and Edward A. Lee and H. John Reekie}, title = {The Tycho User Interface System}, booktitle = {Proceedings of the Fifth Annual Tcl/Tk Workshop 1997, Boston, Massachusetts, USA, July 14-17, 1997}, year = {1997}, crossref = {DBLP:conf/tcltk/1997}, url = {https://www.usenix.org/legacy/publications/library/proceedings/tcl97/hylands.html}, timestamp = {Tue, 02 Feb 2021 08:04:40 +0100}, biburl = {https://dblp.org/rec/conf/tcltk/HylandsLR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChiangEHKLMRS96, author = {Kenneth H. Chiang and Brian L. Evans and William T. Huang and Ferenc Kovac and Edward A. Lee and David G. Messerschmitt and H. John Reekie and S. Shankar Sastry}, title = {Real-time {DSP} for sophomores}, booktitle = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, pages = {1097--1100}, year = {1996}, crossref = {DBLP:conf/icassp/1996}, url = {https://doi.org/10.1109/ICASSP.1996.543555}, doi = {10.1109/ICASSP.1996.543555}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChiangEHKLMRS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MengBGGHHPPSZ96, author = {Helen M. Meng and Senis Busayapongchai and James R. Glass and David Goddeau and I. Lee Hetherington and Edward Hurley and Christine Pao and Joseph Polifroni and Stephanie Seneff and Victor Zue}, title = {{WHEELS:} a conversational system in the automobile classifieds domain}, booktitle = {The 4th International Conference on Spoken Language Processing, Philadelphia, PA, USA, October 3-6, 1996}, pages = {542--545}, year = {1996}, crossref = {DBLP:conf/interspeech/1996}, url = {https://doi.org/10.21437/ICSLP.1996-64}, doi = {10.21437/ICSLP.1996-64}, timestamp = {Thu, 22 Jun 2023 16:42:20 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/MengBGGHHPPSZ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/PinoHLB95, author = {Jos{\'{e}} Luis Pino and Soonhoi Ha and Edward A. Lee and Joseph T. Buck}, title = {Software synthesis for {DSP} using ptolemy}, journal = {J. {VLSI} Signal Process.}, volume = {9}, number = {1-2}, pages = {7--21}, year = {1995}, url = {https://doi.org/10.1007/BF02406468}, doi = {10.1007/BF02406468}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/PinoHLB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BambergerELMY95, author = {Roberto H. Bamberger and Brian L. Evans and Edward A. Lee and James H. McClellan and Mark A. Yoder}, title = {Integrating analysis, simulation, and implementation tools in electronic courseware for teaching signal processing}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {2873--2876}, year = {1995}, crossref = {DBLP:conf/icassp/1995}, url = {https://doi.org/10.1109/ICASSP.1995.479444}, doi = {10.1109/ICASSP.1995.479444}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/BambergerELMY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/TsangNCL95, author = {Peter H. H. Tsang and Jim M. Ng and Edward Chan and Chan{-}Hee Lee}, title = {Performance evaluation of real-time message delivery in {FDDI} networks}, booktitle = {2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan}, pages = {42--49}, year = {1995}, crossref = {DBLP:conf/rtcsa/1995}, url = {https://doi.org/10.1109/RTCSA.1995.528749}, doi = {10.1109/RTCSA.1995.528749}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/TsangNCL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tapsoft/MannaBBCCADKLSU95, author = {Zohar Manna and Nikolaj S. Bj{\o}rner and Anca Browne and Edward Y. Chang and Michael Col{\'{o}}n and Luca de Alfaro and Harish Devarajan and Arjun Kapur and Jaejin Lee and Henny Sipma and Tom{\'{a}}s E. Uribe}, title = {STeP: The Stanford Temporal Prover}, booktitle = {TAPSOFT'95: Theory and Practice of Software Development, 6th International Joint Conference CAAP/FASE, Aarhus, Denmark, May 22-26, 1995, Proceedings}, pages = {793--794}, year = {1995}, crossref = {DBLP:conf/tapsoft/1995}, url = {https://doi.org/10.1007/3-540-59293-8\_237}, doi = {10.1007/3-540-59293-8\_237}, timestamp = {Thu, 14 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tapsoft/MannaBBCCADKLSU95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdb/CodyHNACFFLPSTRWW95, author = {William F. Cody and Laura M. Haas and Wayne Niblack and Manish Arya and Michael J. Carey and Ronald Fagin and Myron Flickner and Denis Lee and Dragutin Petkovic and Peter M. Schwarz and Joachim Thomas and Mary Tork Roth and John H. Williams and Edward L. Wimmers}, title = {Querying Multimedia Data from Multiple Repositories by Content: the Garlic Project}, booktitle = {Visual Database Systems 3, Visual Information Management, Proceedings of the third {IFIP} 2.6 working conference on visual database systems, March 27-29, 1995, Lausanne, Switzerland}, pages = {17--35}, year = {1995}, crossref = {DBLP:conf/vdb/1995}, timestamp = {Sat, 26 Oct 2019 12:05:29 +0200}, biburl = {https://dblp.org/rec/conf/vdb/CodyHNACFFLPSTRWW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Leekley94, author = {Edward H. Leekley}, title = {A scenario generator for public policy and program implementation}, school = {Virginia Tech, Blacksburg, VA, {USA}}, year = {1994}, url = {https://hdl.handle.net/10919/38319}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Leekley94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/ChenLGKP94, author = {Peter M. Chen and Edward K. Lee and Garth A. Gibson and Randy H. Katz and David A. Patterson}, title = {{RAID:} High-Performance, Reliable Secondary Storage}, journal = {{ACM} Comput. Surv.}, volume = {26}, number = {2}, pages = {145--185}, year = {1994}, url = {https://doi.org/10.1145/176979.176981}, doi = {10.1145/176979.176981}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/ChenLGKP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dpd/ChenLDLMSSPK94, author = {Peter M. Chen and Edward K. Lee and Ann L. Drapeau and Ken Lutz and Ethan L. Miller and Srinivasan Seshan and Ken Shirriff and David A. Patterson and Randy H. Katz}, title = {Performance and Design Evaluation of the {RAID-II} Storage Server}, journal = {Distributed Parallel Databases}, volume = {2}, number = {3}, pages = {243--260}, year = {1994}, url = {https://doi.org/10.1007/BF01266330}, doi = {10.1007/BF01266330}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dpd/ChenLDLMSSPK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcs/BuckHLM94, author = {Joseph T. Buck and Soonhoi Ha and Edward A. Lee and David G. Messerschmitt}, title = {Ptolemy: {A} Framework for Simulating and Prototyping Heterogenous Systems}, journal = {Int. J. Comput. Simul.}, volume = {4}, number = {2}, year = {1994}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcs/BuckHLM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BoydALSHD94, author = {Eric L. Boyd and Waqar Azeem and Hsien{-}Hsin S. Lee and Tien{-}Pao Shih and Shih{-}Hao Hung and Edward S. Davidson}, title = {A Hierarchical Approach to Modeling and Improving the Performance of Scientific Applications on the {KSR1}}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Algorithms {\&} Applications}, pages = {188--192}, year = {1994}, crossref = {DBLP:conf/icpp/1994-3}, url = {https://doi.org/10.1109/ICPP.1994.30}, doi = {10.1109/ICPP.1994.30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/BoydALSHD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/DrapeauSHMSKLPLCG94, author = {Ann L. Drapeau and Ken Shirriff and John H. Hartman and Ethan L. Miller and Srinivasan Seshan and Randy H. Katz and Ken Lutz and David A. Patterson and Edward K. Lee and Peter M. Chen and Garth A. Gibson}, title = {{RAID-II:} {A} High-Bandwidth Network File Server}, booktitle = {Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, IL, USA, April 1994}, pages = {234--244}, year = {1994}, crossref = {DBLP:conf/isca/1994}, url = {https://doi.org/10.1109/ISCA.1994.288146}, doi = {10.1109/ISCA.1994.288146}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/DrapeauSHMSKLPLCG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeK93, author = {Edward K. Lee and Randy H. Katz}, title = {The Performance of Parity Placements in Disk Arrays}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {6}, pages = {651--664}, year = {1993}, url = {https://doi.org/10.1109/12.277289}, doi = {10.1109/12.277289}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LeeH93, author = {Edward K. B. Lee and Simon Haykin}, title = {Parallel Implementation of the Extended Square-Root Covariance Filter for Tracking Applications}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {4}, number = {4}, pages = {446--457}, year = {1993}, url = {https://doi.org/10.1109/71.219759}, doi = {10.1109/71.219759}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/LeeH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/NgCIKLT93, author = {Jim M. Ng and Edward Chan and Horace Ho{-}Shing Ip and K. Y. Kwok and Y. K. Lee and Peter H. H. Tsang}, title = {A multimedia conferencing system for co-operative medical diagnosis}, booktitle = {Sixth Annual {IEEE} Symposium on Computer-Based Medical Systems (CBMS'93), June 13-16, 1993, Ann Arbor, MI, {USA}}, pages = {94--99}, year = {1993}, crossref = {DBLP:conf/cbms/1993}, url = {https://doi.org/10.1109/CBMS.1993.262984}, doi = {10.1109/CBMS.1993.262984}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/cbms/NgCIKLT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/LeeK93, author = {Edward K. Lee and Randy H. Katz}, title = {An Analytic Performance Model of Disk Arrays}, booktitle = {Proceedings of the 1993 {ACM} {SIGMETRICS} conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993}, pages = {98--109}, year = {1993}, crossref = {DBLP:conf/sigmetrics/1993}, url = {https://doi.org/10.1145/166955.166994}, doi = {10.1145/166955.166994}, timestamp = {Fri, 30 Jul 2021 16:13:33 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/LeeK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/ph/frakesB92/HarmanFBL92, author = {Donna Harman and Edward A. Fox and Ricardo A. Baeza{-}Yates and Whay C. Lee}, title = {Inverted Files}, booktitle = {Information Retrieval: Data Structures {\&} Algorithms}, pages = {28--43}, year = {1992}, crossref = {DBLP:books/ph/FrakesB92}, timestamp = {Tue, 06 Aug 2019 09:18:16 +0200}, biburl = {https://dblp.org/rec/books/ph/frakesB92/HarmanFBL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HaL91, author = {Soonhoi Ha and Edward A. Lee}, title = {Compile-Time Scheduling and Assignment of Data-Flow Program Graphs with Data-Dependent Iteration}, journal = {{IEEE} Trans. Computers}, volume = {40}, number = {11}, pages = {1225--1238}, year = {1991}, url = {https://doi.org/10.1109/12.102826}, doi = {10.1109/12.102826}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HaL91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LeeK91, author = {Edward K. Lee and Randy H. Katz}, title = {Performance Consequences of Parity Placement in Disk Arrays}, booktitle = {{ASPLOS-IV} Proceedings - Forth International Conference on Architectural Support for Programming Languages and Operating Systems, Santa Clara, California, USA, April 8-11, 1991}, pages = {190--199}, year = {1991}, crossref = {DBLP:conf/asplos/1991}, url = {https://doi.org/10.1145/106972.106992}, doi = {10.1145/106972.106992}, timestamp = {Thu, 13 Apr 2023 19:55:42 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LeeK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BuckHLM91, author = {Joseph T. Buck and Soonhoi Ha and Edward A. Lee and David G. Messerschmitt}, title = {Multirate signal processing in Ptolemy}, booktitle = {1991 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '91, Toronto, Ontario, Canada, May 14-17, 1991}, pages = {1245--1248}, year = {1991}, crossref = {DBLP:conf/icassp/1991}, url = {https://doi.org/10.1109/ICASSP.1991.150620}, doi = {10.1109/ICASSP.1991.150620}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/BuckHLM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BierGHLOSL90, author = {Jeffery C. Bier and Edwin E. Goei and Wai H. Ho and Philip D. Lapsley and Maureen P. O'Reilly and Gilbert C. Sih and Edward A. Lee}, title = {Gabriel: a design environment for {DSP}}, journal = {{IEEE} Micro}, volume = {10}, number = {5}, pages = {28--45}, year = {1990}, url = {https://doi.org/10.1109/40.60524}, doi = {10.1109/40.60524}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/BierGHLOSL90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LeeHGBB89, author = {Edward A. Lee and W.{-}H. Ho and E. Goei and Jeffery C. Bier and Shuvra S. Bhattacharyya}, title = {Gabriel: a design environment for {DSP}}, journal = {{IEEE} Trans. Acoust. Speech Signal Process.}, volume = {37}, number = {11}, pages = {1751--1762}, year = {1989}, url = {https://doi.org/10.1109/29.46557}, doi = {10.1109/29.46557}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/LeeHGBB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeGHHBBG89, author = {Edward A. Lee and E. Goei and H. Heine and W.{-}H. Ho and Shuvra S. Bhattacharyya and Jeffery C. Bier and E. Guntvedt}, title = {{GABRIEL:} {A} Design Environment for Programmable DSPs}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {141--146}, year = {1989}, crossref = {DBLP:conf/dac/1989}, url = {https://doi.org/10.1145/74382.74407}, doi = {10.1145/74382.74407}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeGHHBBG89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/LeeHK88, author = {Edward T. Lee and Surjadi Harjadi and Sreerama K. Karukonda}, title = {Computer/radar joint scheduling using a parallel computer}, booktitle = {Proceedings of the Sixteenth {ACM} Annual Conference on Computer Science, Atlanta, Georgia, USA, February 23-25, 1988}, pages = {728}, year = {1988}, crossref = {DBLP:conf/acm/1988}, url = {https://doi.org/10.1145/322609.323186}, doi = {10.1145/322609.323186}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/LeeHK88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeH88a, author = {Edward K. B. Lee and Simon Haykin}, title = {Parallel implementation of the tracking Kalman filter}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '88, New York, New York, USA, April 11-14, 1988}, pages = {2092--2095}, year = {1988}, crossref = {DBLP:conf/icassp/1988}, url = {https://doi.org/10.1109/ICASSP.1988.197043}, doi = {10.1109/ICASSP.1988.197043}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeH88a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/HoLH87, author = {T. K. Ho and Edward T. Lee and T. T. Ho}, title = {Syntactic approach to image analysis (abstract only)}, booktitle = {Proceedings of the 15th {ACM} Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987}, pages = {395}, year = {1987}, crossref = {DBLP:conf/acm/1987}, url = {https://doi.org/10.1145/322917.323056}, doi = {10.1145/322917.323056}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/HoLH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/LeeWH87, author = {Edward T. Lee and R. T. Wu and C. C. Huang}, title = {Image measurement and recognition (abstract only)}, booktitle = {Proceedings of the 15th {ACM} Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987}, pages = {396}, year = {1987}, crossref = {DBLP:conf/acm/1987}, url = {https://doi.org/10.1145/322917.323057}, doi = {10.1145/322917.323057}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/LeeWH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MengLM87, author = {Teresa H.{-}Y. Meng and Edward A. Lee and David G. Messerschmitt}, title = {Least squares computation at arbitrarily high speeds}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '87, Dallas, Texas, USA, April 6-9, 1987}, pages = {1398--1401}, year = {1987}, crossref = {DBLP:conf/icassp/1987}, url = {https://doi.org/10.1109/ICASSP.1987.1169528}, doi = {10.1109/ICASSP.1987.1169528}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MengLM87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TsengSL87, author = {Ho{-}Ping Tseng and Michael J. Sabin and Edward A. Lee}, title = {Fuzzy vector quantazation applied to hidden Markov modeling}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '87, Dallas, Texas, USA, April 6-9, 1987}, pages = {641--644}, year = {1987}, crossref = {DBLP:conf/icassp/1987}, url = {https://doi.org/10.1109/ICASSP.1987.1169570}, doi = {10.1109/ICASSP.1987.1169570}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/TsengSL87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bstj/HealyLLRV84, author = {John D. Healy and Maurice Lampell and David G. Leeper and Thomas C. Redman and Edward J. Vlacich}, title = {1982/83 End office connection study: {ASPEN} data acquisition system and sampling plan}, journal = {AT{\&}T Bell Lab. Tech. J.}, volume = {63}, number = {9}, pages = {2033--2057}, year = {1984}, url = {https://doi.org/10.1002/j.1538-7305.1984.tb00087.x}, doi = {10.1002/J.1538-7305.1984.TB00087.X}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bstj/HealyLLRV84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeD74, author = {Hsien{-}Hsin S. Lee and Edward S. Davidson}, title = {Redundancy Testing in Combinational Networks}, journal = {{IEEE} Trans. Computers}, volume = {23}, number = {10}, pages = {1029--1047}, year = {1974}, url = {https://doi.org/10.1109/T-C.1974.223804}, doi = {10.1109/T-C.1974.223804}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeD74.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeD72, author = {Hsiao{-}Peng Lee and Edward S. Davidson}, title = {A Transform for {NAND} Network Design}, journal = {{IEEE} Trans. Computers}, volume = {21}, number = {1}, pages = {12--20}, year = {1972}, url = {https://doi.org/10.1109/T-C.1972.223426}, doi = {10.1109/T-C.1972.223426}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeD72.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeD72a, author = {Hsiao{-}Peng Lee and Edward S. Davidson}, title = {Comments on "A Minimization Technique for {TANT} Networks"}, journal = {{IEEE} Trans. Computers}, volume = {21}, number = {4}, pages = {407}, year = {1972}, url = {https://doi.org/10.1109/TC.1972.5008987}, doi = {10.1109/TC.1972.5008987}, timestamp = {Sun, 08 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeD72a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acl/2024f, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, publisher = {Association for Computational Linguistics}, year = {2014}, url = {https://aclanthology.org/volumes/2024.findings-acl/}, isbn = {979-8-89176-099-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/acl/2024f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aft/2024, editor = {Rainer B{\"{o}}hme and Lucianna Kiffer}, title = {6th Conference on Advances in Financial Technologies, {AFT} 2024, September 23-25, 2024, Vienna, Austria}, series = {LIPIcs}, volume = {316}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-345-4}, isbn = {978-3-95977-345-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/aft/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aime/2024-2, editor = {Joseph Finkelstein and Robert Moskovitch and Enea Parimbelli}, title = {Artificial Intelligence in Medicine - 22nd International Conference, {AIME} 2024, Salt Lake City, UT, USA, July 9-12, 2024, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14845}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-66535-6}, doi = {10.1007/978-3-031-66535-6}, isbn = {978-3-031-66534-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/aime/2024-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asplos/2024-1, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3617232}, doi = {10.1145/3617232}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/asplos/2024-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2024a, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905}, doi = {10.1145/3613905}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/chi/2024a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurogp/2024, editor = {Mario Giacobini and Bing Xue and Luca Manzoni}, title = {Genetic Programming - 27th European Conference, EuroGP 2024, Held as Part of EvoStar 2024, Aberystwyth, UK, April 3-5, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14631}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-56957-9}, doi = {10.1007/978-3-031-56957-9}, isbn = {978-3-031-56956-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/eurogp/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2024, editor = {Xiaodong Li and Julia Handl}, title = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2024, Melbourne, VIC, Australia, July 14-18, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3638529}, doi = {10.1145/3638529}, isbn = {979-8-4007-0494-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hicss/2024, editor = {Tung X. Bui}, title = {57th Hawaii International Conference on System Sciences, {HICSS} 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024}, publisher = {ScholarSpace}, year = {2023}, url = {https://hdl.handle.net/10125/107396}, isbn = {978-0-9981331-7-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hicss/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hsi/2024, title = {16th International Conference on Human System Interaction, {HSI} 2024, Paris, France, July 8-11, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HSI61632.2024}, doi = {10.1109/HSI61632.2024}, isbn = {979-8-3503-6291-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hsi/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2024, title = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024}, doi = {10.1109/ICASSP48485.2024}, isbn = {979-8-3503-4485-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iclr/2024, title = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/group?id=ICLR.cc/2024/Conference}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iclr/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2024, title = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/group?id=ICML.cc/2024/Conference}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icml/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2024, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024}, doi = {10.1109/ICRA57147.2024}, isbn = {979-8-3503-8457-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icra/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icse/2024c, title = {Proceedings of the 2024 {IEEE/ACM} 46th International Conference on Software Engineering: Companion Proceedings, {ICSE} Companion 2024, Lisbon, Portugal, April 14-20, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3639478}, doi = {10.1145/3639478}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icse/2024c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isbi/2024, title = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024}, doi = {10.1109/ISBI56570.2024}, isbn = {979-8-3503-1333-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isbi/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2024, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024}, doi = {10.1109/ISSCC49657.2024}, isbn = {979-8-3503-0620-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2024, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/xpl/conhome/10526487/proceeding}, isbn = {978-1-957171-32-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/urai/2024, title = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/UR61395.2024}, doi = {10.1109/UR61395.2024}, isbn = {979-8-3503-6107-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/urai/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uss/2024, editor = {Davide Balzarotti and Wenyuan Xu}, title = {33rd {USENIX} Security Symposium, {USENIX} Security 2024, Philadelphia, PA, USA, August 14-16, 2024}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/usenixsecurity24}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/uss/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wisec/2024, editor = {Yongdae Kim and Jong Kim and Farinaz Koushanfar and Kasper Rasmussen}, title = {Proceedings of the 17th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2024, Seoul, Republic of Korea, May 27-29, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643833}, doi = {10.1145/3643833}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/wisec/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aaai/2023, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, publisher = {{AAAI} Press}, year = {2023}, url = {https://ojs.aaai.org/index.php/AAAI/issue/view/548}, isbn = {978-1-57735-880-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/aaai/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/approx/2023, editor = {Nicole Megow and Adam D. Smith}, title = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2023, September 11-13, 2023, Atlanta, Georgia, {USA}}, series = {LIPIcs}, volume = {275}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-296-9}, isbn = {978-3-95977-296-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/approx/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bci3/2023, title = {11th International Winter Conference on Brain-Computer Interface, {BCI} 2023, Gangwon, Korea, Republic of, February 20-22, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCI57258.2023}, doi = {10.1109/BCI57258.2023}, isbn = {978-1-6654-6444-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/bci3/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chil/2023, editor = {Bobak J. Mortazavi and Tasmie Sarker and Andrew Beam and Joyce C. Ho}, title = {Conference on Health, Inference, and Learning, {CHIL} 2023, Broad Institute of {MIT} and Harvard (Merkin Building), 415 Main Street, Cambridge, MA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {209}, publisher = {{PMLR}}, year = {2023}, url = {http://proceedings.mlr.press/v209/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/chil/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/corl/2023, editor = {Jie Tan and Marc Toussaint and Kourosh Darvish}, title = {Conference on Robot Learning, CoRL 2023, 6-9 November 2023, Atlanta, GA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {229}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v229/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/corl/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cpsweek/2023w, title = {Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, CPS-IoT Week 2023 Workshops, San Antonio, TX, USA, May 9-12, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576914}, doi = {10.1145/3576914}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/cpsweek/2023w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2023, title = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023}, doi = {10.23919/DATE56975.2023}, isbn = {978-3-9819263-7-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/date/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipeac/2023ngres, editor = {Federico Terraneo and Daniele Cattaneo}, title = {Fourth Workshop on Next Generation Real-Time Embedded Systems, {NG-RES} 2023, January 18, 2023, Toulouse, France}, series = {OASIcs}, volume = {108}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-268-6}, isbn = {978-3-95977-268-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/2023ngres.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2023, title = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023}, doi = {10.1109/HPCA56546.2023}, isbn = {978-1-6654-7652-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2023, title = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023}, doi = {10.1109/ICASSP49357.2023}, isbn = {978-1-7281-6327-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iclr/2023, title = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/group?id=ICLR.cc/2023/Conference}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iclr/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2023, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, publisher = {{PMLR}}, year = {2023}, url = {http://proceedings.mlr.press/v202/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icml/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2023, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023}, doi = {10.1109/ISSCC42615.2023}, isbn = {978-1-6654-9016-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/memocode/2023, editor = {Reinhard von Hanxleden and Stephen A. Edwards and Jens Brandt and Qi Zhu}, title = {21st {ACM-IEEE} International Symposium on Formal Methods and Models for System Design, {MEMOCODE} 2023, Hamburg, Germany, September 21-22, 2023}, publisher = {{ACM} / {IEEE}}, year = {2023}, url = {https://doi.org/10.1145/3610579}, doi = {10.1145/3610579}, isbn = {979-8-4007-0318-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/memocode/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mlhc/2023, editor = {Kaivalya Deshpande and Madalina Fiterau and Shalmali Joshi and Zachary C. Lipton and Rajesh Ranganath and I{\~{n}}igo Urteaga and Serene Yeung}, title = {Machine Learning for Healthcare Conference, {MLHC} 2023, 11-12 August 2023, New York, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {219}, publisher = {{PMLR}}, year = {2023}, url = {http://proceedings.mlr.press/v219/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mlhc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/respect/2023, title = {Conference on Research in Equitable and Sustained Participation in Engineering, Computing, and Technology, {RESPECT} 2023, Atlanta, GA, USA, June 20-21, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RESPECT60069.2023}, doi = {10.1109/RESPECT60069.2023}, isbn = {979-8-3503-2832-5}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/respect/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tml4h/2023, editor = {Hao Chen and Luyang Luo}, title = {Trustworthy Machine Learning for Healthcare - First International Workshop, {TML4H} 2023, Virtual Event, May 4, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13932}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-39539-0}, doi = {10.1007/978-3-031-39539-0}, isbn = {978-3-031-39538-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/tml4h/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/23/CB2023, editor = {Kendra M. L. Cooper and Antonio Bucchiarone}, title = {Software Engineering for Games in Serious Contexts - Theories, Methods, Tools, and Experiences}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33338-5}, doi = {10.1007/978-3-031-33338-5}, isbn = {978-3-031-33337-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/books/sp/23/CB2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/approx/2022, editor = {Amit Chakrabarti and Chaitanya Swamy}, title = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2022, September 19-21, 2022, University of Illinois, Urbana-Champaign, {USA} (Virtual Conference)}, series = {LIPIcs}, volume = {245}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-249-5}, isbn = {978-3-95977-249-5}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/approx/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/atal/2022, editor = {Piotr Faliszewski and Viviana Mascardi and Catherine Pelachaud and Matthew E. Taylor}, title = {21st International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2022, Auckland, New Zealand, May 9-13, 2022}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems {(IFAAMAS)}}, year = {2022}, url = {https://www.ifaamas.org/Proceedings/aamas2022}, doi = {10.5555/3535850}, isbn = {978-1-4503-9213-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/atal/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmvc/2022, title = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chil/2022, editor = {Gerardo Flores and George H. Chen and Tom J. Pollard and Joyce C. Ho and Tristan Naumann}, title = {Conference on Health, Inference, and Learning, {CHIL} 2022, 7-8 April 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {174}, publisher = {{PMLR}}, year = {2022}, url = {http://proceedings.mlr.press/v174/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/chil/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/corl/2022, editor = {Karen Liu and Dana Kulic and Jeffrey Ichnowski}, title = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, series = {Proceedings of Machine Learning Research}, volume = {205}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v205/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/corl/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2022, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.emnlp-main/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2022, editor = {Jonathan E. Fieldsend and Markus Wagner}, title = {{GECCO} '22: Genetic and Evolutionary Computation Conference, Boston, Massachusetts, USA, July 9 - 13, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3512290}, doi = {10.1145/3512290}, isbn = {978-1-4503-9237-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpca/2022, title = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022}, doi = {10.1109/HPCA53966.2022}, isbn = {978-1-6654-2027-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hpca/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isola/2022-2, editor = {Tiziana Margaria and Bernhard Steffen}, title = {Leveraging Applications of Formal Methods, Verification and Validation. Software Engineering - 11th International Symposium, ISoLA 2022, Rhodes, Greece, October 22-30, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13702}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19756-7}, doi = {10.1007/978-3-031-19756-7}, isbn = {978-3-031-19755-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isola/2022-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispass/2022, title = {International {IEEE} Symposium on Performance Analysis of Systems and Software, {ISPASS} 2022, Singapore, May 22-24, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPASS55109.2022}, doi = {10.1109/ISPASS55109.2022}, isbn = {978-1-6654-5954-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ispass/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iva/2022, editor = {Carlos Martinho and Jo{\~{a}}o Dias and Joana Campos and Dirk Heylen}, title = {{IVA} '22: {ACM} International Conference on Intelligent Virtual Agents, Faro, Portugal, September 6 - 9, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514197}, doi = {10.1145/3514197}, isbn = {978-1-4503-9248-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iva/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micad/2022, editor = {Karen Drukker and Khan M. Iftekharuddin}, title = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12033}, publisher = {{SPIE}}, year = {2022}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/12033.toc}, isbn = {9781510649415}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/micad/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2022, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {https://papers.nips.cc/paper\_files/paper/2022}, isbn = {9781713871088}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/nips/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/www/2022c, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3487553}, doi = {10.1145/3487553}, isbn = {978-1-4503-9130-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/www/2022c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aivr/2021, title = {{IEEE} International Conference on Artificial Intelligence and Virtual Reality, {AIVR} 2021, Taichung, Taiwan, November 15-17, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIVR52153.2021}, doi = {10.1109/AIVR52153.2021}, isbn = {978-1-6654-3225-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/aivr/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2021, title = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021}, doi = {10.1109/ICRA48506.2021}, isbn = {978-1-7281-9077-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icra/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icsoc/2021, editor = {Hakim Hacid and Odej Kao and Massimo Mecella and Naouel Moha and Hye{-}young Paik}, title = {Service-Oriented Computing - 19th International Conference, {ICSOC} 2021, Virtual Event, November 22-25, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13121}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91431-8}, doi = {10.1007/978-3-030-91431-8}, isbn = {978-3-030-91430-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icsoc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iui/2021c, editor = {Tracy Hammond and Katrien Verbert and Dennis Parra}, title = {{IUI} '21: 26th International Conference on Intelligent User Interfaces, College Station, TX, USA, April 13-17, 2021, Companion}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3397482}, doi = {10.1145/3397482}, isbn = {978-1-4503-8018-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iui/2021c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iva/2021, title = {{IVA} '21: {ACM} International Conference on Intelligent Virtual Agents, Virtual Event, Japan, September 14-17, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472306}, doi = {10.1145/3472306}, isbn = {978-1-4503-8619-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iva/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mlhc/2021, editor = {Ken Jung and Serena Yeung and Mark P. Sendak and Michael W. Sjoding and Rajesh Ranganath}, title = {Proceedings of the Machine Learning for Healthcare Conference, {MLHC} 2021, 6-7 August 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {149}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v149/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mlhc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nips/2021demo, editor = {Douwe Kiela and Marco Ciccone and Barbara Caputo}, title = {NeurIPS 2021 Competitions and Demonstrations Track, 6-14 December 2021, Online}, series = {Proceedings of Machine Learning Research}, volume = {176}, publisher = {{PMLR}}, year = {2022}, url = {http://proceedings.mlr.press/v176/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/nips/2021demo.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uss/2021, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21}, isbn = {978-1-939133-24-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/uss/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/atal/2020, editor = {Amal El Fallah Seghrouchni and Gita Sukthankar and Bo An and Neil Yorke{-}Smith}, title = {Proceedings of the 19th International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} '20, Auckland, New Zealand, May 9-13, 2020}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems}, year = {2020}, url = {https://dl.acm.org/doi/10.5555/3398761}, doi = {10.5555/3398761}, isbn = {978-1-4503-7518-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/atal/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bci3/2020, title = {8th International Winter Conference on Brain-Computer Interface, {BCI} 2020, Gangwon, Korea (South), February 26-28, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9046668/proceeding}, isbn = {978-1-7281-4706-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/bci3/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/colt/2020, editor = {Jacob D. Abernethy and Shivani Agarwal}, title = {Conference on Learning Theory, {COLT} 2020, 9-12 July 2020, Virtual Event [Graz, Austria]}, series = {Proceedings of Machine Learning Research}, volume = {125}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v125/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/colt/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/drc/2020, title = {2020 Device Research Conference, {DRC} 2020, Columbus, OH, USA, June 21-24, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9132184/proceeding}, isbn = {978-1-7281-7047-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/drc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2020c, editor = {Carlos Artemio Coello Coello}, title = {{GECCO} '20: Genetic and Evolutionary Computation Conference, Companion Volume, Canc{\'{u}}n, Mexico, July 8-12, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3377929}, doi = {10.1145/3377929}, isbn = {978-1-4503-7127-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2020c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2020, title = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302}, doi = {10.1145/3400302}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icls/2020, title = {Interdisciplinarity in the Learning Sciences: Proceedings of the 14th International Conference of the Learning Sciences, {ICLS} 2020, [Nashville, Tennessee, USA], Online Conference, June 19-23, 2020}, publisher = {International Society of the Learning Sciences}, year = {2020}, url = {https://repository.isls.org/handle/1/6286/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icls/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2020, title = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icml/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isalalife/2020, editor = {Josh C. Bongard and Juniper L. Lovato and Laurent H{\'{e}}bert{-}Dufresne and Radhakrishna Dasari and Lisa B. Soros}, title = {2020 Conference on Artificial Life, {ALIFE} 2020, online, July 13-18, 2020}, publisher = {{MIT} Press}, year = {2020}, url = {https://direct.mit.edu/isal/isal2020/volume/32}, doi = {10.1162/ISAL\_A\_00357}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isalalife/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isbi/2020, title = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISBI45749.2020}, doi = {10.1109/ISBI45749.2020}, isbn = {978-1-5386-9330-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isbi/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2020, editor = {Rajiv Gupta and Xipeng Shen}, title = {PPoPP '20: 25th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, San Diego, California, USA, February 22-26, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3332466}, doi = {10.1145/3332466}, isbn = {978-1-4503-6818-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sc/2020hust, title = {{IEEE/ACM} International Workshop on {HPC} User Support Tools and Workshop on Programming and Performance Visualization Tools, HUST/ProTools@SC 2020, Atlanta, GA, USA, November 18, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HUST/ProTools51951.2020}, doi = {10.1109/HUST/PROTOOLS51951.2020}, isbn = {978-1-6654-2280-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/sc/2020hust.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aaai/2019safeai, editor = {Hu{\'{a}}scar Espinoza and Se{\'{a}}n {\'{O}} h{\'{E}}igeartaigh and Xiaowei Huang and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Mauricio Castillo{-}Effen}, title = {Workshop on Artificial Intelligence Safety 2019 co-located with the Thirty-Third {AAAI} Conference on Artificial Intelligence 2019 (AAAI-19), Honolulu, Hawaii, January 27, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2301}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2301}, urn = {urn:nbn:de:0074-2301-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/aaai/2019safeai.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bcicts/2019, title = {2019 {IEEE} BiCMOS and Compound semiconductor Integrated Circuits and Technology Symposium (BCICTS), Nashville, TN, USA, November 3-6, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8961327/proceeding}, isbn = {978-1-7281-0586-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/bcicts/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/corl/2019, editor = {Leslie Pack Kaelbling and Danica Kragic and Komei Sugiura}, title = {3rd Annual Conference on Robot Learning, CoRL 2019, Osaka, Japan, October 30 - November 1, 2019, Proceedings}, series = {Proceedings of Machine Learning Research}, volume = {100}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v100/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/corl/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2019, title = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781}, doi = {10.1145/3316781}, isbn = {978-1-4503-6725-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/dac/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2019, editor = {Anne Auger and Thomas St{\"{u}}tzle}, title = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3321707}, doi = {10.1145/3321707}, isbn = {978-1-4503-6111-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gptp/2019, editor = {Wolfgang Banzhaf and Erik D. Goodman and Leigh Sheneman and Leonardo Trujillo and Bill Worzel}, title = {Genetic Programming Theory and Practice {XVII} {[GPTP} 2019, Michigan State University, East Lansing, Michigan, USA, May 16-19, 2019]}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39958-0}, doi = {10.1007/978-3-030-39958-0}, isbn = {978-3-030-39957-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gptp/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2019, title = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8791230/proceeding}, isbn = {978-1-5386-6249-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icip/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iclr/2019, title = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/group?id=ICLR.cc/2019/Conference}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iclr/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icml/2019, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icml/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isbi/2019, title = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISBI40311.2019}, doi = {10.1109/ISBI40311.2019}, isbn = {978-1-5386-3641-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isbi/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isca/2019, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650}, doi = {10.1145/3307650}, isbn = {978-1-4503-6669-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isca/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/medinfo/2019, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI264}, doi = {10.3233/SHTI264}, isbn = {978-1-64368-002-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miigp/2019, editor = {Baowei Fei and Cristian A. Linte}, title = {Medical Imaging 2019: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10951}, publisher = {{SPIE}}, year = {2019}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/10951.toc}, isbn = {9781510625495}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/miigp/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miip/2019, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, publisher = {{SPIE}}, year = {2019}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/10949.toc}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/miip/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sc/2019, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500}, doi = {10.1145/3295500}, isbn = {978-1-4503-6229-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/sc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/atal/2018, editor = {Elisabeth Andr{\'{e}} and Sven Koenig and Mehdi Dastani and Gita Sukthankar}, title = {Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems, {AAMAS} 2018, Stockholm, Sweden, July 10-15, 2018}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems Richland, SC, {USA} / {ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3237383}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/atal/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2018w, title = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {https://openaccess.thecvf.com/CVPR2018\_workshops}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2018w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fie/2018, title = {{IEEE} Frontiers in Education Conference, {FIE} 2018, San Jose, CA, USA, October 3-6, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8651269/proceeding}, isbn = {978-1-5386-1174-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/fie/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2018c, editor = {Hern{\'{a}}n E. Aguirre and Keiki Takadama}, title = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2018, Kyoto, Japan, July 15-19, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205651}, doi = {10.1145/3205651}, isbn = {978-1-4503-5764-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2018c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hri/2018c, editor = {Takayuki Kanda and Selma Sabanovic and Guy Hoffman and Adriana Tapus}, title = {Companion of the 2018 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2018, Chicago, IL, USA, March 05-08, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173386}, doi = {10.1145/3173386}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hri/2018c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miccai/2018prime, editor = {Islem Rekik and G{\"{o}}zde B. {\"{U}}nal and Ehsan Adeli and Sang Hyun Park}, title = {PRedictive Intelligence in MEdicine - First International Workshop, {PRIME} 2018, Held in Conjunction with {MICCAI} 2018, Granada, Spain, September 16, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11121}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00320-3}, doi = {10.1007/978-3-030-00320-3}, isbn = {978-3-030-00319-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/miccai/2018prime.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amia/2017, title = {{AMIA} 2017, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 4-8, 2017}, publisher = {{AMIA}}, year = {2017}, url = {https://knowledge.amia.org/65881-amiab-1.4254737}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/amia/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cyphy/2017, editor = {Roger D. Chamberlain and Walid Taha and Martin T{\"{o}}rngren}, title = {Cyber Physical Systems. Design, Modeling, and Evaluation - 7th International Workshop, CyPhy 2017, Seoul, South Korea, October 15-20, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11267}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-17910-6}, doi = {10.1007/978-3-030-17910-6}, isbn = {978-3-030-17909-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/cyphy/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2017c, title = {Proceedings of the Thirteenth {ACM} International Conference on Embedded Software 2017 Companion, {EMSOFT} 2017 Companion, Seoul, Republic of Korea, October 15-20, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125503}, doi = {10.1145/3125503}, isbn = {978-1-4503-5186-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2017c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2017, editor = {Peter A. N. Bosman}, title = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2017, Berlin, Germany, July 15-19, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3071178}, doi = {10.1145/3071178}, isbn = {978-1-4503-4920-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gecco/2017c, editor = {Peter A. N. Bosman}, title = {Genetic and Evolutionary Computation Conference, Berlin, Germany, July 15-19, 2017, Companion Material Proceedings}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3067695}, doi = {10.1145/3067695}, isbn = {978-1-4503-4939-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gecco/2017c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gptp/2017, editor = {Wolfgang Banzhaf and Randal S. Olson and William A. Tozier and Rick L. Riolo}, title = {Genetic Programming Theory and Practice XV, {[GPTP} 2017, University of Michigan, Ann Arbor, USA, May 18-20, 2017]}, series = {Genetic and Evolutionary Computation}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-90512-9}, doi = {10.1007/978-3-319-90512-9}, isbn = {978-3-319-90511-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/gptp/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2017, title = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7943262/proceeding}, isbn = {978-1-5090-4117-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iotdi/2017, editor = {Tarek F. Abdelzaher and P. R. Kumar and Alejandro P. Buchmann and Chenyang Lu}, title = {Proceedings of the Second International Conference on Internet-of-Things Design and Implementation, IoTDI 2017, Pittsburgh, PA, USA, April 18-21, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3054977}, doi = {10.1145/3054977}, isbn = {978-1-4503-4966-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iotdi/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sensys/2017safethings, editor = {M. Rasit Eskicioglu}, title = {Proceedings of the 1st {ACM} Workshop on the Internet of Safe Things, SafeThings@SenSys 2017, Delft, The Netherlands, November 5, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3137003}, doi = {10.1145/3137003}, isbn = {978-1-4503-5545-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/sensys/2017safethings.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tacas/2017-1, editor = {Axel Legay and Tiziana Margaria}, title = {Tools and Algorithms for the Construction and Analysis of Systems - 23rd International Conference, {TACAS} 2017, Held as Part of the European Joint Conferences on Theory and Practice of Software, {ETAPS} 2017, Uppsala, Sweden, April 22-29, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10205}, year = {2017}, url = {https://doi.org/10.1007/978-3-662-54577-5}, doi = {10.1007/978-3-662-54577-5}, isbn = {978-3-662-54576-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/tacas/2017-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/atal/2016, editor = {Catholijn M. Jonker and Stacy Marsella and John Thangarajah and Karl Tuyls}, title = {Proceedings of the 2016 International Conference on Autonomous Agents {\&} Multiagent Systems, Singapore, May 9-13, 2016}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2936924}, isbn = {978-1-4503-4239-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/atal/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cri/2016, title = {Summit on Clinical Research Informatics, {CRI} 2016, San Francisco, CA, USA, March 21-24, 2016}, publisher = {{AMIA}}, year = {2016}, url = {http://knowledge.amia.org/amia-59309-cri2016-1.3011827}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/cri/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2016, title = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7580725/proceeding}, isbn = {978-1-4577-0220-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/embc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ficloud/2016, editor = {Muhammad Younas and Irfan Awan and Winston Seah}, title = {4th {IEEE} International Conference on Future Internet of Things and Cloud, FiCloud 2016, Vienna, Austria, August 22-24, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7574053/proceeding}, isbn = {978-1-5090-4052-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ficloud/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2016, title = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7405163/proceeding}, isbn = {978-1-4673-9466-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2016, editor = {Alan Hanjalic and Cees Snoek and Marcel Worring and Dick C. A. Bulterman and Benoit Huet and Aisling Kelliher and Yiannis Kompatsiaris and Jin Li}, title = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2964284}, doi = {10.1145/2964284}, isbn = {978-1-4503-3603-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mm/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ni/2016, editor = {Walter Sermeus and Paula M. Procter and Patrick Weber}, title = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, series = {Studies in Health Technology and Informatics}, volume = {225}, publisher = {{IOS} Press}, year = {2016}, url = {http://ebooks.iospress.nl/volume/nursing-informatics-2016-ehealth-for-all-every-level-collaboration-from-project-to-realization}, isbn = {978-1-61499-657-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ni/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amcc/2015, title = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC36184.2015}, doi = {10.1109/ACC36184.2015}, isbn = {978-1-4799-8684-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/amcc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2015, title = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2744769}, isbn = {978-1-4503-3520-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/dac/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2015, title = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7302811/proceeding}, isbn = {978-1-4244-9271-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/embc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2015-23, editor = {Fiona Fui{-}Hoon Nah and Chuan{-}Hoo Tan}, title = {{HCI} in Business - Second International Conference, {HCIB} 2015, Held as Part of {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9191}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20895-4}, doi = {10.1007/978-3-319-20895-4}, isbn = {978-3-319-20894-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hci/2015-23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hicss/2015, editor = {Tung X. Bui and Ralph H. Sprague Jr.}, title = {48th Hawaii International Conference on System Sciences, {HICSS} 2015, Kauai, Hawaii, USA, January 5-8, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7068092/proceeding}, isbn = {978-1-4799-7367-5}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/hicss/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2015, title = {2015 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia, April 19-24, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7158221/proceeding}, isbn = {978-1-4673-6997-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtas/2015, title = {21st {IEEE} Real-Time and Embedded Technology and Applications Symposium, Seattle, WA, USA, April 13-16, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7106274/proceeding}, isbn = {978-1-4799-8603-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/rtas/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/case/2014, title = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6892922/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/case/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2014, title = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6923026/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/embc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emnlp/2014, editor = {Alessandro Moschitti and Bo Pang and Walter Daelemans}, title = {Proceedings of the 2014 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2014, October 25-29, 2014, Doha, Qatar, {A} meeting of SIGDAT, a Special Interest Group of the {ACL}}, publisher = {{ACL}}, year = {2014}, url = {https://aclanthology.org/volumes/D14-1/}, isbn = {978-1-937284-96-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/healthcom/2014, title = {16th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2014, Natal-RN, Brazil, October 15-18, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6992842/proceeding}, isbn = {978-1-4799-6644-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccais/2014, title = {The International Conference on Control, Automation and Information Sciences, {ICCAIS} 2014, Gwangju, South Korea, December 2-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7010552/proceeding}, isbn = {978-1-4799-7204-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iccais/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/indocrypt/2014, editor = {Willi Meier and Debdeep Mukhopadhyay}, title = {Progress in Cryptology - {INDOCRYPT} 2014 - 15th International Conference on Cryptology in India, New Delhi, India, December 14-17, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8885}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13039-2}, doi = {10.1007/978-3-319-13039-2}, isbn = {978-3-319-13038-5}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/indocrypt/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipps/2014, title = {2014 {IEEE} 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6875427/proceeding}, isbn = {978-1-4799-3799-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ipps/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mhci/2014, editor = {Aaron J. Quigley and Sara Diamond and Pourang Irani and Sriram Subramanian}, title = {Proceedings of the 16th international conference on Human-computer interaction with mobile devices {\&} services, MobileHCI 2014, Toronto, ON, Canada, September 23-26, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2628363}, isbn = {978-1-4503-3004-6}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mhci/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sui/2014, editor = {Andy Wilson and Frank Steinicke and Evan A. Suma and Wolfgang Stuerzlinger}, title = {Proceedings of the 2nd {ACM} Symposium on Spatial User Interaction, {SUI} 2014, Honolulu, HI, USA, October 4-5, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2659766}, isbn = {978-1-4503-2820-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/sui/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vast/2014s, editor = {Reinhard Klein and Pedro Santos}, title = {12th Eurographics Workshop on Graphics and Cultural Heritage, {GCH} 2014 - Short and Project Papers, Darmstadt, Germany, October 6-8, 2014}, publisher = {Eurographics Association}, year = {2014}, url = {https://diglib.eg.org/handle/10.2312/7751}, isbn = {978-3-905674-75-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/vast/2014s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsc/2014, editor = {Stephen J. Buckley and John A. Miller}, title = {Proceedings of the 2014 Winter Simulation Conference, Savannah, GA, USA, December 7-10, 2014}, publisher = {{IEEE/ACM}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7002492/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/wsc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cpsna/2013, title = {1st {IEEE} International Conference on Cyber-Physical Systems, Networks, and Applications, {CPSNA} 2013, Taipei, Taiwan, August 19-20, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6597100/proceeding}, isbn = {978-1-4799-0798-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/cpsna/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscram/2013, editor = {Tina Comes and Frank Fiedrich and Simon Fortier and Jutta Geldermann and Tim M{\"{u}}ller}, title = {10th Proceedings of the International Conference on Information Systems for Crisis Response and Management, Baden-Baden, Germany, May 12-15, 2013}, publisher = {{ISCRAM} Association}, year = {2013}, url = {http://www.iscram.org/content/iscram2013-academic-papers}, isbn = {978-3-923704-80-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iscram/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2013, title = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6523366/proceeding}, isbn = {978-1-4799-0457-0}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eScience/2012, title = {8th {IEEE} International Conference on E-Science, e-Science 2012, Chicago, IL, USA, October 8-12, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6389598/proceeding}, isbn = {978-1-4673-4467-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/eScience/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fccm/2012, title = {2012 {IEEE} 20th Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2012, 29 April - 1 May 2012, Toronto, Ontario, Canada}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6239782/proceeding}, isbn = {978-0-7695-4699-5}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/fccm/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icgec/2012, title = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6451318/proceeding}, isbn = {978-1-4673-2138-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/icgec/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2012, title = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171933/proceeding}, isbn = {978-1-4673-0376-7}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2012, title = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6387511/proceeding}, isbn = {978-1-4673-1594-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/itc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/monterey/2012, editor = {Radu Calinescu and David Garlan}, title = {Large-Scale Complex {IT} Systems. Development, Operation and Management - 17th Monterey Workshop 2012, Oxford, UK, March 19-21, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7539}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34059-8}, doi = {10.1007/978-3-642-34059-8}, isbn = {978-3-642-34058-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/monterey/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/codes/2011, editor = {Robert P. Dick and Jan Madsen}, title = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039370}, doi = {10.1145/2039370}, isbn = {978-1-4503-0715-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/codes/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2011, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, publisher = {{ACM}}, year = {2011}, url = {http://dl.acm.org/citation.cfm?id=2024724}, isbn = {978-1-4503-0636-2}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/dac/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dasc/2011, title = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6118336/proceeding}, isbn = {978-0-7695-4612-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/dasc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/embc/2011, title = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6067544/proceeding}, isbn = {978-1-4244-4121-1}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/embc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fie/2011, title = {2011 Frontiers in Education Conference, {FIE} 2011, Rapid City, SD, USA, October 12-15, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6129459/proceeding}, isbn = {978-1-61284-468-8}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/fie/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcmc/2011, title = {Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2011, Istanbul, Turkey, 4-8 July, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5963770/proceeding}, isbn = {978-1-4244-9539-9}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2011, editor = {K. Sel{\c{c}}uk Candan and Sethuraman Panchanathan and Balakrishnan Prabhakaran and Hari Sundaram and Wu{-}chi Feng and Nicu Sebe}, title = {Proceedings of the 19th International Conference on Multimedia 2011, Scottsdale, AZ, USA, November 28 - December 1, 2011}, publisher = {{ACM}}, year = {2011}, isbn = {978-1-4503-0616-4}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mm/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2011-1, editor = {Kuo{-}Tien Lee and Wen{-}Hsiang Tsai and Hong{-}Yuan Mark Liao and Tsuhan Chen and Jun{-}Wei Hsieh and Chien{-}Cheng Tseng}, title = {Advances in Multimedia Modeling - 17th International Multimedia Modeling Conference, {MMM} 2011, Taipei, Taiwan, January 5-7, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6523}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-17832-0}, doi = {10.1007/978-3-642-17832-0}, isbn = {978-3-642-17831-3}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2011-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2011, editor = {Paul Over and George Awad and Jonathan G. Fiscus and Brian Antonishek and Martial Michel and Alan F. Smeaton and Wessel Kraaij and Georges Qu{\'{e}}not}, title = {2011 {TREC} Video Retrieval Evaluation, {TRECVID} 2011, Gaithersburg, MD, USA, December 5-7, 2011}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2011}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.11.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:26 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2010a, editor = {Elizabeth D. Mynatt and Don Schoner and Geraldine Fitzpatrick and Scott E. Hudson and W. Keith Edwards and Tom Rodden}, title = {Proceedings of the 28th International Conference on Human Factors in Computing Systems, {CHI} 2010, Extended Abstracts Volume, Atlanta, Georgia, USA, April 10-15, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1753846}, doi = {10.1145/1753846}, isbn = {978-1-60558-930-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/chi/2010a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eScience/2010, title = {Sixth International Conference on e-Science, e-Science 2010, 7-10 December 2010, Brisbane, QLD, Australia}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5689838/proceeding}, isbn = {978-1-4244-8957-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/eScience/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2010, editor = {Luca P. Carloni and Stavros Tripakis}, title = {Proceedings of the 10th International conference on Embedded software, {EMSOFT} 2010, Scottsdale, Arizona, USA, October 24-29, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-60558-904-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ercimdl/2010, editor = {Mounia Lalmas and Joemon M. Jose and Andreas Rauber and Fabrizio Sebastiani and Ingo Frommholz}, title = {Research and Advanced Technology for Digital Libraries, 14th European Conference, {ECDL} 2010, Glasgow, UK, September 6-10, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6273}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15464-5}, doi = {10.1007/978-3-642-15464-5}, isbn = {978-3-642-15463-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/ercimdl/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/haptics/2010, title = {2010 {IEEE} Haptics Symposium, {HAPTICS} 2010, Waltham, MA, USA, March 25-26, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5439369/proceeding}, isbn = {978-1-4244-6821-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/haptics/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipc/2010, title = {2010 International Conference on High Performance Computing, HiPC 2010, Dona Paula, Goa, India, December 19-22, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5708271/proceeding}, isbn = {978-1-4244-8518-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hipc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/jcdl/2010, editor = {Jane Hunter and Carl Lagoze and C. Lee Giles and Yuan{-}Fang Li}, title = {Proceedings of the 2010 Joint International Conference on Digital Libraries, {JCDL} 2010, Gold Coast, Queensland, Australia, June 21-25, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1816123}, doi = {10.1145/1816123}, isbn = {978-1-4503-0085-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miccai/2010-3, editor = {Tianzi Jiang and Nassir Navab and Josien P. W. Pluim and Max A. Viergever}, title = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2010, 13th International Conference, Beijing, China, September 20-24, 2010, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6363}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15711-0}, doi = {10.1007/978-3-642-15711-0}, isbn = {978-3-642-15710-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/miccai/2010-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2010, editor = {Alberto Del Bimbo and Shih{-}Fu Chang and Arnold W. M. Smeulders}, title = {Proceedings of the 18th International Conference on Multimedia 2010, Firenze, Italy, October 25-29, 2010}, publisher = {{ACM}}, year = {2010}, url = {http://dl.acm.org/citation.cfm?id=1873951}, isbn = {978-1-60558-933-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/mm/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2010, editor = {Susanne Boll and Qi Tian and Lei Zhang and Zili Zhang and Yi{-}Ping Phoebe Chen}, title = {Advances in Multimedia Modeling, 16th International Multimedia Modeling Conference, {MMM} 2010, Chongqing, China, January 6-8, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5916}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11301-7}, doi = {10.1007/978-3-642-11301-7}, isbn = {978-3-642-11300-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/2010, title = {16th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2010, Macau, SAR, China, 23-25 August 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5589108/proceeding}, isbn = {978-1-4244-8480-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cbms/2009, title = {Proceedings of the Twenty-Second {IEEE} International Symposium on Computer-Based Medical Systems, August 3-4, 2009, Albuquerque, New Mexico, {USA}}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5230478/proceeding}, isbn = {978-1-4244-4878-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cbms/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2009a, editor = {Dan R. Olsen Jr. and Richard B. Arthur and Ken Hinckley and Meredith Ringel Morris and Scott E. Hudson and Saul Greenberg}, title = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1520340}, doi = {10.1145/1520340}, isbn = {978-1-60558-247-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/chi/2009a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2009, editor = {Samarjit Chakraborty and Nicolas Halbwachs}, title = {Proceedings of the 9th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2009, Grenoble, France, October 12-16, 2009}, publisher = {{ACM}}, year = {2009}, isbn = {978-1-60558-627-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fuzzIEEE/2009, title = {{FUZZ-IEEE} 2009, {IEEE} International Conference on Fuzzy Systems, Jeju Island, Korea, 20-24 August 2009, Proceedings}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5247842/proceeding}, isbn = {978-1-4244-3596-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/healthgrid/2009, editor = {Tony Solomonides and Martin Hofmann{-}Apitius and Mathias Freudigmann and Sebastian Claudius Semler and Yannick Legr{\'{e}} and Mary Kratz}, title = {Healthgrid Research, Innovation and Business Case - Proceedings of HealthGrid 2009, Berlin, Germany, 29 June - 1 July 2009}, series = {Studies in Health Technology and Informatics}, volume = {147}, publisher = {{IOS} Press}, year = {2009}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=12877}, isbn = {978-1-60750-027-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/healthgrid/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2009, title = {27th International Conference on Computer Design, {ICCD} 2009, Lake Tahoe, CA, USA, October 4-7, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5406656/proceeding}, isbn = {978-1-4244-5029-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ism/2009, title = {11th {IEEE} International Symposium on Multimedia, {ISM} 2009, San Diego, California, USA, December 14-16, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5362508/proceeding}, isbn = {978-0-7695-3890-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/ism/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kdd/2009hcomp, editor = {Paul N. Bennett and Raman Chandrasekar and Max Chickering and Panagiotis G. Ipeirotis and Edith Law and Anton Mityagin and Foster J. Provost and Luis von Ahn}, title = {Proceedings of the {ACM} {SIGKDD} Workshop on Human Computation, Paris, France, June 28, 2009}, publisher = {{ACM}}, year = {2009}, isbn = {978-1-60558-672-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/kdd/2009hcomp.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtas/2009, title = {15th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2009, San Francisco, CA, USA, 13-16 April 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4840551/proceeding}, isbn = {978-0-7695-3636-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/rtas/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/ox/09/BB2009, editor = {Lee A. Bygrave and Jon Bing}, title = {Internet Governance - Infrastructure and Institutions}, publisher = {Oxford University Press}, year = {2009}, url = {https://global.oup.com/academic/product/internet-governance-9780199561131}, isbn = {978-0-19-956113-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/books/ox/09/BB2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bcshci/2008-2, editor = {David England}, title = {Proceedings of the 22nd British {HCI} Group Annual Conference on {HCI} 2008: People and Computers {XXII:} Culture, Creativity, Interaction - Volume 2, {BCS} {HCI} 2008, Liverpool, United Kingdom, 1-5 September 2008}, publisher = {{BCS}}, year = {2008}, isbn = {978-1-906124-06-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/bcshci/2008-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2008, editor = {Erik R. Altman}, title = {Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2008, Atlanta, GA, USA, October 19-24, 2008}, publisher = {{ACM}}, year = {2008}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cases/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2008a, editor = {Mary Czerwinski and Arnold M. Lund and Desney S. Tan}, title = {Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, {CHI} 2008, Florence, Italy, April 5-10, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1358628}, doi = {10.1145/1358628}, isbn = {978-1-60558-012-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/chi/2008a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2008, title = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4665385/proceeding}, isbn = {978-1-4244-2018-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dsrt/2008, editor = {David J. Roberts and Abdulmotaleb El{-}Saddik and Alois Ferscha}, title = {12th {IEEE/ACM} International Symposium on Distributed Simulation and Real-Time Applications, 27-29 October 2008, Vancouver, BC, Canada, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4700086/proceeding}, isbn = {978-0-7695-3425-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/dsrt/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eScience/2008, title = {Fourth International Conference on e-Science, e-Science 2008, 7-12 December 2008, Indianapolis, IN, {USA}}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4736721/proceeding}, isbn = {978-1-4244-3380-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/eScience/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fuzzIEEE/2008, title = {{FUZZ-IEEE} 2008, {IEEE} International Conference on Fuzzy Systems, Hong Kong, China, 1-6 June, 2008, Proceedings}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4625776/proceeding}, isbn = {978-1-4244-1818-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2008, title = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, publisher = {{IEEE}}, year = {2008}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iciar/2008, editor = {Aur{\'{e}}lio C. Campilho and Mohamed S. Kamel}, title = {Image Analysis and Recognition, 5th International Conference, {ICIAR} 2008, P{\'{o}}voa de Varzim, Portugal, June 25-27, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5112}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69812-8}, doi = {10.1007/978-3-540-69812-8}, isbn = {978-3-540-69811-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iciar/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcf/2008, editor = {Sargur N. Srihari and Katrin Franke}, title = {Computational Forensics, Second International Workshop, {IWCF} 2008, Washington, DC, USA, August 7-8, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5158}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85303-9}, doi = {10.1007/978-3-540-85303-9}, isbn = {978-3-540-85302-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iwcf/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2008tvs, editor = {Paul Over and Alan F. Smeaton}, title = {Proceedings of the 2nd {ACM} Workshop on Video Summarization, {TVS} 2008, Vancouver, British Columbia, Canada, October 31, 2008}, publisher = {{ACM}}, year = {2008}, isbn = {978-1-60558-309-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/mm/2008tvs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtas/2008, title = {Proceedings of the 14th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2008, April 22-24, 2008, St. Louis, Missouri, {USA}}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4550764/proceeding}, isbn = {978-0-7695-3146-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/rtas/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2008, editor = {Paul Over and George Awad and R. Travis Rose and Jonathan G. Fiscus and Wessel Kraaij and Alan F. Smeaton}, title = {{TRECVID} 2008 workshop participants notebook papers, Gaithersburg, MD, USA, November 2008}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2008}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.8.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uist/2008, editor = {Steve B. Cousins and Michel Beaudouin{-}Lafon}, title = {Proceedings of the 21st Annual {ACM} Symposium on User Interface Software and Technology, Monterey, CA, USA, October 19-22, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1449715}, doi = {10.1145/1449715}, isbn = {978-1-59593-975-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/uist/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uxtv/2008, editor = {Michael J. Darnell and Judith Masthoff and Sheri Panabaker and Marc Sullivan and Artur Lugmayr}, title = {Proceeding of the 1st International Conference on Designing Interactive User Experiences for {TV} and Video, {UXTV} 2008, Silicon Valley, California, USA, October 22-24, 2008}, series = {{ACM} International Conference Proceeding Series}, year = {2008}, isbn = {978-1-60558-100-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/uxtv/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wiamis/2008, title = {Ninth International Workshop on Image Analysis for Multimedia Interactive Services, {WIAMIS} 2008, Klagenfurt, Austria, May 7-9, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4556856/proceeding}, isbn = {978-0-7695-3130-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/wiamis/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2007, title = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4405666/proceeding}, isbn = {978-1-4244-1623-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emsoft/2007, editor = {Christoph M. Kirsch and Reinhard Wilhelm}, title = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007, Salzburg, Austria}, publisher = {{ACM}}, year = {2007}, isbn = {978-1-59593-825-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fsr/2007, editor = {Christian Laugier and Roland Siegwart}, title = {Field and Service Robotics, Results of the 6th International Conference, {FSR} 2007, Chamonix, France, 9th - 12th July 2007}, series = {Springer Tracts in Advanced Robotics}, volume = {42}, publisher = {Springer}, year = {2008}, isbn = {978-3-540-75403-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/fsr/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isit/2007, title = {{IEEE} International Symposium on Information Theory, {ISIT} 2007, Nice, France, June 24-29, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4497218/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/isit/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miip/2007, editor = {Josien P. W. Pluim and Joseph M. Reinhardt}, title = {Medical Imaging 2007: Image Processing, San Diego, CA, United States, 17-22 February 2007}, series = {{SPIE} Proceedings}, volume = {6512}, publisher = {{SPIE}}, year = {2007}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/6512.toc}, isbn = {9780819466303}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/miip/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2007tvs, editor = {Paul Over and Alan F. Smeaton}, title = {Proceedings of the 1st {ACM} Workshop on Video Summarization, {TVS} 2007, Augsburg, Bavaria, Germany, September 28, 2007}, publisher = {{ACM}}, year = {2007}, isbn = {978-1-59593-780-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/mm/2007tvs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/2007, editor = {Yookun Cho and Roger L. Wainwright and Hisham Haddad and Sung Y. Shin and Yong Wan Koo}, title = {Proceedings of the 2007 {ACM} Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1244002}, doi = {10.1145/1244002}, isbn = {1-59593-480-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/sac/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2007, editor = {Paul Over and George Awad and Wessel Kraaij and Alan F. Smeaton}, title = {{TRECVID} 2007 workshop participants notebook papers, Gaithersburg, MD, USA, November 2007}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2007}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.7.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/sci/2007-72, editor = {Raymond S. T. Lee and Vincenzo Loia}, title = {Computational Intelligence for Agent-based Systems}, series = {Studies in Computational Intelligence}, volume = {72}, publisher = {Springer}, year = {2007}, isbn = {978-3-540-73175-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/series/sci/2007-72.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/adhs/2006, editor = {Christos G. Cassandras and Alessandro Giua and Carla Seatzu and Janan Zaytoon}, title = {2nd {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2006, Alghero, Italy, June 7-9, 2006}, series = {{IFAC} Proceedings Volumes}, volume = {39}, number = {5}, publisher = {Elsevier}, year = {2006}, url = {https://www.sciencedirect.com/journal/ifac-proceedings-volumes/vol/39/issue/5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/adhs/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cikm/2006, editor = {Philip S. Yu and Vassilis J. Tsotras and Edward A. Fox and Bing Liu}, title = {Proceedings of the 2006 {ACM} {CIKM} International Conference on Information and Knowledge Management, Arlington, Virginia, USA, November 6-11, 2006}, publisher = {{ACM}}, year = {2006}, isbn = {1-59593-433-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cikm/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/civr/2006, editor = {Hari Sundaram and Milind R. Naphade and John R. Smith and Yong Rui}, title = {Image and Video Retrieval, 5th International Conference, {CIVR} 2006, Tempe, AZ, USA, July 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4071}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11788034}, doi = {10.1007/11788034}, isbn = {3-540-36018-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/civr/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ecir/2006, editor = {Mounia Lalmas and Andy MacFarlane and Stefan M. R{\"{u}}ger and Anastasios Tombros and Theodora Tsikrika and Alexei Yavlinsky}, title = {Advances in Information Retrieval, 28th European Conference on {IR} Research, {ECIR} 2006, London, UK, April 10-12, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3936}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11735106}, doi = {10.1007/11735106}, isbn = {3-540-33347-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/ecir/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2006, title = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOBECOM9936.2006}, doi = {10.1109/GLOBECOM9936.2006}, isbn = {1-4244-0357-X}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpc/2006, editor = {Lucio Grandinetti}, title = {High Performance Computing and Grids in Action - Selected Papers from the 2006 International Advanced Research Workshop on High Performance Computing and Grids, Cetraro, Italy, 2006}, series = {Advances in Parallel Computing}, volume = {16}, publisher = {{IOS} Press}, year = {2008}, url = {http://ebooks.iospress.nl/volume/high-performance-computing-and-grids-in-action}, isbn = {978-1-58603-839-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hpc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hybrid/2006, editor = {Jo{\~{a}}o P. Hespanha and Ashish Tiwari}, title = {Hybrid Systems: Computation and Control, 9th International Workshop, {HSCC} 2006, Santa Barbara, CA, USA, March 29-31, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3927}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11730637}, doi = {10.1007/11730637}, isbn = {3-540-33170-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2006, title = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4087812/proceeding}, isbn = {0-7803-9509-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2006, title = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11145/proceeding}, isbn = {0-7803-9389-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miip/2006, editor = {Joseph M. Reinhardt and Josien P. W. Pluim}, title = {Medical Imaging 2006: Image Processing, {MEDICAL} IMAGING, San Diego, California, United States, 11-16 February 2006}, series = {{SPIE} Proceedings}, volume = {6144}, publisher = {{SPIE}}, year = {2006}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/6144.toc}, isbn = {9780819464231}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/miip/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/samt/2006p, editor = {Yannis Avrithis and Yiannis Kompatsiaris and Steffen Staab and Noel E. O'Connor}, title = {Poster and Demo Proceedings of the 1st International Conference on Semantic and Digital Media Technologies, Athens, Greece, December 6-8, 2006}, series = {{CEUR} Workshop Proceedings}, volume = {233}, publisher = {CEUR-WS.org}, year = {2007}, url = {https://ceur-ws.org/Vol-233}, urn = {urn:nbn:de:0074-233-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/samt/2006p.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmap/2006, editor = {Pedro A. Amado Assun{\c{c}}{\~{a}}o and S{\'{e}}rgio M. M. de Faria}, title = {{SIGMAP} 2006 - Proceedings of the International Conference on Signal Processing and Multimedia Applications, Set{\'{u}}bal, Portugal, August 7-10, 2006, {SIGMAP} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, publisher = {{INSTICC} Press}, year = {2006}, isbn = {972-8865-64-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/sigmap/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tidse/2006, editor = {Stefan G{\"{o}}bel and Rainer Malkewitz and Ido Iurgel}, title = {Technologies for Interactive Digital Storytelling and Entertainment, Third International Conference, {TIDSE} 2006, Darmstadt, Germany, December 4-6, 2006}, series = {Lecture Notes in Computer Science}, volume = {4326}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11944577}, doi = {10.1007/11944577}, isbn = {3-540-49934-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/tidse/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2006f, title = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, publisher = {{IEEE}}, year = {2006}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2006f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsc/2006, editor = {L. Felipe Perrone and Barry Lawson and Jason Liu and Frederick P. Wieland}, title = {Proceedings of the Winter Simulation Conference {WSC} 2006, Monterey, California, USA, December 3-6, 2006}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4117569/proceeding}, isbn = {1-4244-0501-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/wsc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acivs/2005, editor = {Jacques Blanc{-}Talon and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Advanced Concepts for Intelligent Vision Systems, 7th International Conference, {ACIVS} 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3708}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11558484}, doi = {10.1007/11558484}, isbn = {3-540-29032-X}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/acivs/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amr/2005, editor = {Marcin Detyniecki and Joemon M. Jose and Andreas N{\"{u}}rnberger and C. J. van Rijsbergen}, title = {Adaptive Multimedia Retrieval: User, Context, and Feedback, Third International Workshop, {AMR} 2005, Glasgow, UK, July 28-29, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3877}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11670834}, doi = {10.1007/11670834}, isbn = {3-540-32174-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/amr/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cimca/2005, title = {2005 International Conference on Computational Intelligence for Modelling Control and Automation {(CIMCA} 2005), International Conference on Intelligent Agents, Web Technologies and Internet Commerce {(IAWTIC} 2005), 28-30 November 2005, Vienna, Austria}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10869/proceeding}, isbn = {0-7695-2504-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cimca/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2005, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIRC10613.2005}, doi = {10.1109/ESSCIRC10613.2005}, isbn = {0-7803-9205-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2005, title = {Proceedings of the Global Telecommunications Conference, 2005. {GLOBECOM} '05, St. Louis, Missouri, USA, 28 November - 2 December 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10511/proceeding}, isbn = {0-7803-9414-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hybrid/2005, editor = {Manfred Morari and Lothar Thiele}, title = {Hybrid Systems: Computation and Control, 8th International Workshop, {HSCC} 2005, Zurich, Switzerland, March 9-11, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3414}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b106766}, doi = {10.1007/B106766}, isbn = {3-540-25108-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hybrid/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2005, title = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9711/proceeding}, isbn = {0-7803-8874-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/jcdl/2005, editor = {Mary Marlino and Tamara Sumner and Frank M. Shipman III}, title = {{ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2005, Denver, CO, USA, June 7-11, 2005, Proceedings}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065385}, doi = {10.1145/1065385}, isbn = {1-58113-876-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/miip/2005, editor = {J. Michael Fitzpatrick and Joseph M. Reinhardt}, title = {Medical Imaging 2005: Image Processing, San Diego, California, United States, 12-17 February 2005}, series = {{SPIE} Proceedings}, volume = {5747}, publisher = {{SPIE}}, year = {2005}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/5747.toc}, isbn = {9780819457219}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/miip/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2005, editor = {Paul Over and Tzveta Ianeva and Wessel Kraaij and Alan F. Smeaton}, title = {2005 {TREC} Video Retrieval Evaluation, {TRECVID} 2005, Gaithersburg, MD, USA, November 14-15, 2005}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2005}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.5.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpdc/2004, title = {13th International Symposium on High-Performance Distributed Computing {(HPDC-13} 2004), 4-6 June 2004, Honolulu, Hawaii, {USA}}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9239/proceeding}, isbn = {0-7695-2175-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icisc/2004, editor = {Choonsik Park and Seongtaek Chee}, title = {Information Security and Cryptology - {ICISC} 2004, 7th International Conference, Seoul, Korea, December 2-3, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3506}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b137120}, doi = {10.1007/B137120}, isbn = {3-540-26226-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icisc/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/riao/2004, editor = {Christian Fluhr and Gregory Grefenstette and W. Bruce Croft}, title = {Computer-Assisted Information Retrieval (Recherche d'Information et ses Applications) - {RIAO} 2004, 7th International Conference, University of Avignon, France, April 26-28, 2004. Proceedings}, publisher = {{CID}}, year = {2004}, url = {https://dl.acm.org/doi/10.5555/2816272}, doi = {10.5555/2816272}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/riao/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2004, editor = {Wessel Kraaij and Alan F. Smeaton and Paul Over and Joaquim Arlandis}, title = {2004 {TREC} Video Retrieval Evaluation, {TRECVID} 2004, Gaithersburg, MD, USA, November 15-16, 2004}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2004}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.4.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amia/2003, title = {{AMIA} 2003, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 8-12, 2003}, publisher = {{AMIA}}, year = {2003}, url = {https://knowledge.amia.org/amia-55142-a2003a-1.616734}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/amia/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cgo/2003, editor = {Richard Johnson and Tom Conte and Wen{-}mei W. Hwu}, title = {1st {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2003), 23-26 March 2003, San Francisco, CA, {USA}}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8475/proceeding}, isbn = {0-7695-1913-X}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cgo/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2003, title = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, publisher = {{IEEE}}, year = {2003}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=8830}, isbn = {0-7803-7842-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccd/2003, title = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790/proceeding}, isbn = {0-7695-2025-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iccd/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2003, title = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, publisher = {{IEEE}}, year = {2003}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icra/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/jcdl/2003, title = {{ACM/IEEE} 2003 Joint Conference on Digital Libraries {(JCDL} 2003), 27-31 May 2003, Houston, Texas, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8569/proceeding}, isbn = {0-7695-1939-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mhci/2003w, editor = {Fabio Crestani and Mark D. Dunlop and Stefano Mizzaro}, title = {Mobile and Ubiquitous Information Access, Mobile {HCI} 2003 International Workshop, Udine, Italy, September 8, 2003, Revised and Invited Papers}, series = {Lecture Notes in Computer Science}, volume = {2954}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b95483}, doi = {10.1007/B95483}, isbn = {3-540-21003-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/mhci/2003w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trecvid/2003, editor = {Alan F. Smeaton and Wessel Kraaij and Paul Over}, title = {2003 {TREC} Video Retrieval Evaluation, {TRECVID} 2003, Gaithersburg, MD, USA, November 17-18, 2003}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2003}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv.pubs.3.org.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acisp/2002, editor = {Lynn Margaret Batten and Jennifer Seberry}, title = {Information Security and Privacy, 7th Australian Conference, {ACISP} 2002, Melbourne, Australia, July 3-5, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2384}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45450-0}, doi = {10.1007/3-540-45450-0}, isbn = {3-540-43861-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/acisp/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amia/2002, title = {{AMIA} 2002, American Medical Informatics Association Annual Symposium, San Antonio, TX, USA, November 9-13, 2002}, publisher = {{AMIA}}, year = {2002}, url = {https://knowledge.amia.org/amia-55142-a2002a-1.610020}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/amia/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/trec/2002, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {Proceedings of The Eleventh Text REtrieval Conference, {TREC} 2002, Gaithersburg, Maryland, USA, November 19-22, 2002}, series = {{NIST} Special Publication}, volume = {500-251}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2002}, url = {http://trec.nist.gov/pubs/trec11/t11\_proceedings.html}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/trec/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ISCAicis/2001, editor = {Ashraf H. Abdelwahab and Gary Rommel}, title = {Proceedings of the {ISCA} 10th International Conference on Intelligent Systems, June 13-15, 2001, The Hyatt Hotel, Arlington, Virginia, {USA}}, publisher = {{ISCA}}, year = {2001}, isbn = {1-880843-38-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/ISCAicis/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amcc/2001, title = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ACC.2001}, doi = {10.1109/ACC.2001}, isbn = {0-7803-6495-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/amcc/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dasfaa/2001, title = {Database Systems for Advanced Applications, Proceedings of the 7th International Conference on Database Systems for Advanced Applications {(DASFAA} 2001), 18-20 April 2001 - Hong Kong, China}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7316/proceeding}, isbn = {0-7695-0996-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2001-3, editor = {Constantine Stephanidis}, title = {Universal Access In {HCI:} Towards an Information Society for All, Proceedings of {HCI} International '2001 (the 9th International Conference on Human-Computer Interaction), New Orleans, USA, August 5-10, 2001, Volume 3}, publisher = {Lawrence Erlbaum}, year = {2001}, isbn = {0-8058-3609-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/hci/2001-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2001, title = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, publisher = {{IEEE}}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7486/proceeding}, isbn = {0-7803-7041-4}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interact/2001, editor = {Michitaka Hirose}, title = {Human-Computer Interaction {INTERACT} '01: {IFIP} {TC13} International Conference on Human-Computer Interaction, Tokyo, Japan, July 9-13, 2001}, publisher = {{IOS} Press}, year = {2001}, isbn = {978-1-5860-3188-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/interact/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/jcdl/2001, title = {{ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2001, Roanoke, Virginia, USA, June 24-28, 2001, Proceedings}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/379437}, doi = {10.1145/379437}, isbn = {1-58113-345-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cases/2000, title = {Proceedings of the 2000 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2000, San Jose, California, USA, November 7-18, 2000}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/354880}, doi = {10.1145/354880}, isbn = {1-58113-338-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cases/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2000, editor = {Giovanni De Micheli}, title = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, publisher = {{ACM}}, year = {2000}, url = {http://dl.acm.org/citation.cfm?id=337292}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/dac/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ercimdl/2000, editor = {Jos{\'{e}} Borbinha and Thomas Baker}, title = {Research and Advanced Technology for Digital Libraries, 4th European Conference, {ECDL} 2000, Lisbon, Portugal, September 18-20, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1923}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45268-0}, doi = {10.1007/3-540-45268-0}, isbn = {3-540-41023-6}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/ercimdl/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/riao/2000, editor = {Joseph{-}Jean Mariani and Donna Harman}, title = {Computer-Assisted Information Retrieval (Recherche d'Information et ses Applications) - {RIAO} 2000, 6th International Conference, College de France, France, April 12-14, 2000. Proceedings}, publisher = {{CID}}, year = {2000}, url = {https://dl.acm.org/doi/10.5555/2835865}, doi = {10.5555/2835865}, isbn = {2-905450-07-X}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/riao/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigcomm/2000, editor = {Craig Partridge}, title = {Proceedings of the {ACM} {SIGCOMM} 2000 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 28 - September 1, 2000, Stockholm, Sweden}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/347059}, doi = {10.1145/347059}, isbn = {1-58113-223-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/1999, title = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, publisher = {{IEEE}}, year = {1999}, url = {https://ieeexplore.ieee.org/xpl/conhome/6311/proceeding}, isbn = {0-7803-5471-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/iscas/1999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icde/98, editor = {Susan Darling Urban and Elisa Bertino}, title = {Proceedings of the Fourteenth International Conference on Data Engineering, Orlando, Florida, USA, February 23-27, 1998}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/conhome/5268/proceeding}, isbn = {0-8186-8289-2}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icde/98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tcltk/1998, editor = {Don Libes and Michael McLennan}, title = {Proceedings of the 6th Annual Tcl/Tk Conference, San Diego, California, USA, September 14-18, 1998}, publisher = {{USENIX} Association}, year = {1998}, url = {https://www.usenix.org/conference/6thannualtcltkconference}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/tcltk/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/1997, editor = {George Kokkinakis and Nikos Fakotakis and Evangelos Dermatas}, title = {Fifth European Conference on Speech Communication and Technology, {EUROSPEECH} 1997, Rhodes, Greece, September 22-25, 1997}, publisher = {{ISCA}}, year = {1997}, url = {https://doi.org/10.21437/Eurospeech.1997}, doi = {10.21437/EUROSPEECH.1997}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tcltk/1997, editor = {Joseph A. Konstan and Brent Welch}, title = {Proceedings of the Fifth Annual Tcl/Tk Workshop 1997, Boston, Massachusetts, USA, July 14-17, 1997}, publisher = {{USENIX} Association}, year = {1997}, url = {https://www.usenix.org/conference/5thannualtcltkworkshop1997}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/tcltk/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/1996, title = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://ieeexplore.ieee.org/xpl/conhome/3856/proceeding}, isbn = {0-7803-3192-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/1996, title = {The 4th International Conference on Spoken Language Processing, Philadelphia, PA, USA, October 3-6, 1996}, publisher = {{ISCA}}, year = {1996}, url = {https://doi.org/10.21437/ICSLP.1996}, doi = {10.21437/ICSLP.1996}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/1995, title = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://ieeexplore.ieee.org/xpl/conhome/3469/proceeding}, isbn = {0-7803-2431-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/1995, title = {2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://ieeexplore.ieee.org/xpl/conhome/4048/proceeding}, isbn = {0-8186-7106-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tapsoft/1995, editor = {Peter D. Mosses and Mogens Nielsen and Michael I. Schwartzbach}, title = {TAPSOFT'95: Theory and Practice of Software Development, 6th International Joint Conference CAAP/FASE, Aarhus, Denmark, May 22-26, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {915}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/3-540-59293-8}, doi = {10.1007/3-540-59293-8}, isbn = {3-540-59293-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/tapsoft/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vdb/1995, editor = {Stefano Spaccapietra and Ramesh C. Jain}, title = {Visual Database Systems 3, Visual Information Management, Proceedings of the third {IFIP} 2.6 working conference on visual database systems, March 27-29, 1995, Lausanne, Switzerland}, series = {{IFIP} Conference Proceedings}, volume = {34}, publisher = {Chapman {\&} Hall}, year = {1995}, isbn = {0-412-72170-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/vdb/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1994-3, editor = {Jagdish Chandra}, title = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Algorithms {\&} Applications}, publisher = {{CRC} Press}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/4115803/proceeding}, isbn = {0-8493-2495-5}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icpp/1994-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isca/1994, editor = {David A. Patterson}, title = {Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, IL, USA, April 1994}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/950/proceeding}, isbn = {0-8186-5510-0}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/isca/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cbms/1993, title = {Sixth Annual {IEEE} Symposium on Computer-Based Medical Systems (CBMS'93), June 13-16, 1993, Ann Arbor, MI, {USA}}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://ieeexplore.ieee.org/xpl/conhome/463/proceeding}, isbn = {0-8186-3752-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/cbms/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigmetrics/1993, editor = {Susan S. Owicki and Richard R. Muntz}, title = {Proceedings of the 1993 {ACM} {SIGMETRICS} conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993}, publisher = {{ACM}}, year = {1993}, url = {https://doi.org/10.1145/166955}, doi = {10.1145/166955}, isbn = {0-89791-580-1}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/1993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/ph/FrakesB92, editor = {William B. Frakes and Ricardo A. Baeza{-}Yates}, title = {Information Retrieval: Data Structures {\&} Algorithms}, publisher = {Prentice-Hall}, year = {1992}, crossref = {DBLP:books/ph/FrakesB92}, isbn = {0-13-463837-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/books/ph/FrakesB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asplos/1991, editor = {David A. Patterson and Bob Rau}, title = {{ASPLOS-IV} Proceedings - Forth International Conference on Architectural Support for Programming Languages and Operating Systems, Santa Clara, California, USA, April 8-11, 1991}, publisher = {{ACM} Press}, year = {1991}, url = {https://doi.org/10.1145/106972}, doi = {10.1145/106972}, isbn = {0-89791-380-9}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/asplos/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/1991, title = {1991 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '91, Toronto, Ontario, Canada, May 14-17, 1991}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://ieeexplore.ieee.org/xpl/conhome/540/proceeding}, isbn = {0-7803-0003-3}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/1991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1989, editor = {Donald E. Thomas}, title = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, publisher = {{ACM} Press}, year = {1989}, url = {http://dl.acm.org/citation.cfm?id=74382}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/dac/1989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acm/1988, title = {Proceedings of the Sixteenth {ACM} Annual Conference on Computer Science, Atlanta, Georgia, USA, February 23-25, 1988}, publisher = {{ACM}}, year = {1988}, isbn = {0-89791-260-8}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/acm/1988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/1988, title = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '88, New York, New York, USA, April 11-14, 1988}, publisher = {{IEEE}}, year = {1988}, url = {https://ieeexplore.ieee.org/xpl/conhome/723/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/1988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acm/1987, editor = {Pat Davis and Vicki McClintock}, title = {Proceedings of the 15th {ACM} Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987}, publisher = {{ACM}}, year = {1987}, isbn = {0-89791-218-7}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/acm/1987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/1987, title = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '87, Dallas, Texas, USA, April 6-9, 1987}, publisher = {{IEEE}}, year = {1987}, url = {https://ieeexplore.ieee.org/xpl/conhome/8363/proceeding}, timestamp = {Thu, 17 Oct 2024 07:46:27 +0200}, biburl = {https://dblp.org/rec/conf/icassp/1987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.