Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Chih-Hung Chang"
@article{DBLP:journals/axioms/ChenHLLCC24, author = {You{-}Shyang Chen and Ying{-}Hsun Hung and Mike Yau{-}Jung Lee and Chien{-}Jung Lai and Jieh{-}Ren Chang and Chih{-}Yao Chien}, title = {Identification of the Yield Rate by a Hybrid Fuzzy Control PID-Based Four-Stage Model: {A} Case Study of Optical Filter Industry}, journal = {Axioms}, volume = {13}, number = {1}, pages = {54}, year = {2024}, url = {https://doi.org/10.3390/axioms13010054}, doi = {10.3390/AXIOMS13010054}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/ChenHLLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/HsiaoCLL24, author = {Chih{-}Yu Hsiao and Chien{-}Chi Chang and Chun{-}Ju Liu and Kang{-}Hung Liu}, title = {Effects of the number of strokes, components and usage frequency on the legibility of Chinese characters for optical head-mounted display}, journal = {Behav. Inf. Technol.}, volume = {43}, number = {4}, pages = {749--763}, year = {2024}, url = {https://doi.org/10.1080/0144929x.2023.2184181}, doi = {10.1080/0144929X.2023.2184181}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/HsiaoCLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HsuLYJH24, author = {Chi{-}Pin Hsu and Chih{-}Feng Lin and Chih{-}Chi Yang and Jeng{-}Ywan Jeng and Chang{-}Hung Huang}, title = {Gender differences in ethmoid sinus morphology{\_} 3D reconstruction of computed tomographic images}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {139}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01319-z}, doi = {10.1186/S12880-024-01319-Z}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HsuLYJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChienYCCLHYLYLWHCC24, author = {Shuo{-}Chen Chien and Chia{-}Ming Yen and Yu{-}Hung Chang and Ying{-}Erh Chen and Chia{-}Chun Liu and Yu{-}Ping Hsiao and Ping{-}Yen Yang and Hong{-}Ming Lin and Tsung{-}En Yang and Xing{-}Hua Lu and I{-}Chien Wu and Chih{-}Cheng Hsu and Hung{-}Yi Chiou and Ren{-}Hua Chung}, title = {Using large language model {(LLM)} to identify high-burden informal caregivers in long-term care}, journal = {Comput. Methods Programs Biomed.}, volume = {255}, pages = {108329}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108329}, doi = {10.1016/J.CMPB.2024.108329}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChienYCCLHYLYLWHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChianCCWWWWC24, author = {De{-}Ming Chian and Feng{-}Ji Chen and Yu{-}Chen Chang and Chao{-}Kai Wen and Chi{-}Hung Wu and Fu{-}Kang Wang and Kai{-}Kit Wong and Chan{-}Byoung Chae}, title = {Active RIS-Assisted {MIMO-OFDM} System: Analyses and Prototype Measurements}, journal = {{IEEE} Commun. Lett.}, volume = {28}, number = {1}, pages = {208--212}, year = {2024}, url = {https://doi.org/10.1109/LCOMM.2023.3333688}, doi = {10.1109/LCOMM.2023.3333688}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/ChianCCWWWWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/WangCHH24, author = {Chao{-}Hung Wang and Chien{-}Chi Chang and Chih{-}Yu Hsiao and Meng{-}Chuan Ho}, title = {Effects of Reading Text on an Optical See-Through Head-Mounted Display During Treadmill Walking in a Virtual Environment}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {9}, pages = {2225--2238}, year = {2024}, url = {https://doi.org/10.1080/10447318.2022.2154891}, doi = {10.1080/10447318.2022.2154891}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/WangCHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LinLHCC24, author = {Bo{-}Hsin Lin and Chuang{-}Chieh Lin and Chih{-}Chieh Hung and Chien{-}Chang Chen and Yu{-}Hsin Chen}, title = {On the Identifiability of Artificial Financial Time Series}, journal = {J. Inf. Sci. Eng.}, volume = {40}, number = {3}, pages = {567--579}, year = {2024}, url = {http://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=198\_2690}, timestamp = {Sun, 14 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LinLHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuWHKLJCCLLTHCCC24, author = {Hung{-}Hsi Hsu and Tai{-}Hao Wen and Wei{-}Hsing Huang and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile AI-Edge Processor With {SLC-MLC} Hybrid ReRAM Compute-in-Memory Macro Using Current-Voltage-Hybrid Readout Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {116--127}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314433}, doi = {10.1109/JSSC.2023.3314433}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HsuWHKLJCCLLTHCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ZhengWLXHW24, author = {Dalong Zheng and Zebin Wu and Jia Liu and Yang Xu and Chih{-}Cheng Hung and Zhihui Wei}, title = {Explicit Change-Relation Learning for Change Detection in {VHR} Remote Sensing Images}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {21}, pages = {1--5}, year = {2024}, url = {https://doi.org/10.1109/LGRS.2024.3366981}, doi = {10.1109/LGRS.2024.3366981}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/ZhengWLXHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/TsaiWHC24, author = {Morris Ching{-}Hung Tsai and Hsiao{-}Chun Wu and Scott C.{-}H. Huang and Shih Yu Chang}, title = {Novel Audio Mosaic Using LPC-Coefficient and Excitation-Codeword Permutations}, journal = {{IEEE} Signal Process. Lett.}, volume = {31}, pages = {1690--1694}, year = {2024}, url = {https://doi.org/10.1109/LSP.2024.3417328}, doi = {10.1109/LSP.2024.3417328}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/TsaiWHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhengWLHW24, author = {Dalong Zheng and Zebin Wu and Jia Liu and Chih{-}Cheng Hung and Zhihui Wei}, title = {Detail Enhanced Change Detection in {VHR} Images Using a Self-Supervised Multiscale Hybrid Network}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {17}, pages = {3181--3196}, year = {2024}, url = {https://doi.org/10.1109/JSTARS.2023.3348630}, doi = {10.1109/JSTARS.2023.3348630}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ZhengWLHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compgeom/Chang0024, author = {Hsien{-}Chih Chang and Jie Gao and Hung Le}, title = {Computing Diameter+2 in Truly-Subquadratic Time for Unit-Disk Graphs}, booktitle = {40th International Symposium on Computational Geometry, SoCG 2024, June 11-14, 2024, Athens, Greece}, pages = {38:1--38:14}, year = {2024}, crossref = {DBLP:conf/compgeom/2024}, url = {https://doi.org/10.4230/LIPIcs.SoCG.2024.38}, doi = {10.4230/LIPICS.SOCG.2024.38}, timestamp = {Thu, 06 Jun 2024 10:53:36 +0200}, biburl = {https://dblp.org/rec/conf/compgeom/Chang0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compgeom/ChangC0MST24, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Optimal Euclidean Tree Covers}, booktitle = {40th International Symposium on Computational Geometry, SoCG 2024, June 11-14, 2024, Athens, Greece}, pages = {37:1--37:15}, year = {2024}, crossref = {DBLP:conf/compgeom/2024}, url = {https://doi.org/10.4230/LIPIcs.SoCG.2024.37}, doi = {10.4230/LIPICS.SOCG.2024.37}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compgeom/ChangC0MST24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinZWHWGJHC24, author = {Kuan{-}Chih Lin and Hao Zuo and Hsiang{-}Yu Wang and Yuan{-}Ping Huang and Ci{-}Hao Wu and Yan{-}Cheng Guo and Shyh{-}Jye Jou and Tuo{-}Hung Hou and Tian{-}Sheuan Chang}, title = {A Multi-Bit Near-RRAM based Computing Macro with Highly Computing Parallelism for {CNN} Application}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, year = {2024}, crossref = {DBLP:conf/date/2024}, url = {https://ieeexplore.ieee.org/document/10546874}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/LinZWHWGJHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCCCLLL24, author = {Chia{-}Hsiang Chang and Pang{-}Cheng Chen and Hsiang{-}Chi Cheng and Chung{-}Hung Chen and Chun{-}Yen Lin and Chih{-}Ting Lin and Tsung{-}Hsien Lin}, title = {A VCO-Based Readout {ADC} for Quasi-Static Sensing Applications in 3-{\(\mathrm{\mu}\)}m Low-Temperature Poly-Silicon Thin-Film Transistor Technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, year = {2024}, crossref = {DBLP:conf/iscas/2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558274}, doi = {10.1109/ISCAS58744.2024.10558274}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCCCLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraMZKLPJCHHNTLLLCAAWCCLC24, author = {Hidehiro Fujiwara and Haruki Mori and Wei{-}Chang Zhao and Kinshuk Khare and Cheng{-}En Lee and Xiaochen Peng and Vineet Joshi and Chao{-}Kai Chuang and Shu{-}Huan Hsu and Takeshi Hashizume and Toshiaki Naganuma and Chen{-}Hung Tien and Yao{-}Yi Liu and Yen{-}Chien Lai and Chia{-}Fu Lee and Tan{-}Li Chou and Kerem Akarvardar and Saman Adham and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {34.4 {A} 3nm, 32.5TOPS/W, 55.0TOPS/mm\({}^{\mbox{2}}\) and 3.78Mb/mm\({}^{\mbox{2}}\) Fully-Digital Compute-in-Memory Macro Supporting {INT12} {\texttimes} {INT12} with a Parallel-MAC Architecture and Foundry 6T-SRAM Bit Cell}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {572--574}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454556}, doi = {10.1109/ISSCC49657.2024.10454556}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraMZKLPJCHHNTLLLCAAWCCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24, author = {Ming{-}En Shih and Shih{-}Wei Hsieh and Ping{-}Yuan Tsai and Ming{-}Hung Lin and Pei{-}Kuei Tsung and En{-}Jui Chang and Jenwei Liang and Shu{-}Hsin Chang and Chung{-}Lun Huang and You{-}Yu Nian and Zhe Wan and Sushil Kumar and Cheng{-}Xin Xue and Gajanan Jedhe and Hidehiro Fujiwara and Haruki Mori and Chih{-}Wei Chen and Po{-}Hua Huang and Chih{-}Feng Juan and Chung{-}Yi Chen and Tsung{-}Yao Lin and Ch Wang and Chih{-}Cheng Chen and Kevin Jou}, title = {20.1 {NVE:} {A} 3nm 23.2TOPS/W 12b-Digital-CIM-Based Neural Engine for High-Resolution Visual-Quality Enhancement on Smart Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {360--362}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454482}, doi = {10.1109/ISSCC49657.2024.10454482}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WenHKHKCWCHLLHTTCCCC24, author = {Tai{-}Hao Wen and Hung{-}Hsi Hsu and Win{-}San Khwa and Wei{-}Hsing Huang and Zhao{-}En Ke and Yu{-}Hsiang Chin and Hua{-}Jin Wen and Yu{-}Chen Chang and Wei{-}Ting Hsu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shih{-}Hsih Teng and Chung{-}Cheng Chou and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {34.8 {A} 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2TFLOPS/W for {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {580--582}, year = {2024}, crossref = {DBLP:conf/isscc/2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454468}, doi = {10.1109/ISSCC49657.2024.10454468}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WenHKHKCWCHLLHTTCCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChangJHC24, author = {Chih{-}Wei Chang and Pei Jung and Chien{-}Che Hung and Yuan{-}Chih Chang}, title = {Detect Silent Failures with Network Sentinel}, booktitle = {{NOMS} 2024 {IEEE} Network Operations and Management Symposium, Seoul, Republic of Korea, May 6-10, 2024}, pages = {1--3}, year = {2024}, crossref = {DBLP:conf/noms/2024}, url = {https://doi.org/10.1109/NOMS59830.2024.10575696}, doi = {10.1109/NOMS59830.2024.10575696}, timestamp = {Tue, 16 Jul 2024 15:37:36 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChangJHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/ChangCLMST24, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Shortcut Partitions in Minor-Free Graphs: Steiner Point Removal, Distance Oracles, Tree Covers, and More}, booktitle = {Proceedings of the 2024 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2024, Alexandria, VA, USA, January 7-10, 2024}, pages = {5300--5331}, year = {2024}, crossref = {DBLP:conf/soda/2024}, url = {https://doi.org/10.1137/1.9781611977912.191}, doi = {10.1137/1.9781611977912.191}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soda/ChangCLMST24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZhangWCC24, author = {Qi{-}Xiang Zhang and Shun{-}Ping Wang and Yu{-}Wei Chan and Chih{-}Hung Chang}, title = {Image Detection of Rare Orthopedic Diseases based on Explainable {AI}}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACVW} 2024 - Workshops, Waikoloa, HI, USA, January 1-6, 2024}, pages = {654--659}, year = {2024}, crossref = {DBLP:conf/wacv/2024w}, url = {https://doi.org/10.1109/WACVW60836.2024.00075}, doi = {10.1109/WACVW60836.2024.00075}, timestamp = {Tue, 30 Apr 2024 09:16:29 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ZhangWCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12881, author = {Hsien{-}Chih Chang and Jie Gao and Hung Le}, title = {Computing Diameter+2 in Truly Subquadratic Time for Unit-Disk Graphs}, journal = {CoRR}, volume = {abs/2401.12881}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12881}, doi = {10.48550/ARXIV.2401.12881}, eprinttype = {arXiv}, eprint = {2401.12881}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12881.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17754, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Optimal Euclidean Tree Covers}, journal = {CoRR}, volume = {abs/2403.17754}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17754}, doi = {10.48550/ARXIV.2403.17754}, eprinttype = {arXiv}, eprint = {2403.17754}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09995, author = {Chieh Hubert Lin and Changil Kim and Jia{-}Bin Huang and Qinbo Li and Chih{-}Yao Ma and Johannes Kopf and Ming{-}Hsuan Yang and Hung{-}Yu Tseng}, title = {Taming Latent Diffusion Model for Neural Radiance Field Inpainting}, journal = {CoRR}, volume = {abs/2404.09995}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09995}, doi = {10.48550/ARXIV.2404.09995}, eprinttype = {arXiv}, eprint = {2404.09995}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/ChangCWLW23, author = {Kuei{-}Hu Chang and Hsiang{-}Yu Chung and Chia{-}Nan Wang and Yu{-}Dian Lai and Chi{-}Hung Wu}, title = {A New Hybrid Fermatean Fuzzy Set and Entropy Method for Risk Assessment}, journal = {Axioms}, volume = {12}, number = {1}, pages = {58}, year = {2023}, url = {https://doi.org/10.3390/axioms12010058}, doi = {10.3390/AXIOMS12010058}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/ChangCWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/WangLLCSWC23, author = {Ching{-}Wei Wang and Yu{-}Ching Lee and Yi{-}Jia Lin and Chun{-}Chieh Chang and Aung{-}Kyaw{-}Oo Sai and Chih{-}Hung Wang and Tai{-}Kuang Chao}, title = {Interpretable attention-based deep learning ensemble for personalized ovarian cancer treatment without manual annotations}, journal = {Comput. Medical Imaging Graph.}, volume = {107}, pages = {102233}, year = {2023}, url = {https://doi.org/10.1016/j.compmedimag.2023.102233}, doi = {10.1016/J.COMPMEDIMAG.2023.102233}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/WangLLCSWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/ChangLDDMHAPMBBHJ23, author = {Chi{-}Hung Chang and Hyongki Lee and Son K. Do and Tien Le Thuy Du and Kel N. Markert and Faisal Hossain and Shahryar Khalique Ahmad and Thanapon Piman and Chinaporn Meechaiya and Duong Du Bui and John D. Bolten and Euiho Hwang and Hahn Chul Jung}, title = {Operational forecasting inundation extents using {REOF} analysis {(FIER)} over lower Mekong and its potential economic impact on agriculture}, journal = {Environ. Model. Softw.}, volume = {162}, pages = {105643}, year = {2023}, url = {https://doi.org/10.1016/j.envsoft.2023.105643}, doi = {10.1016/J.ENVSOFT.2023.105643}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/ChangLDDMHAPMBBHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/HuCYL23, author = {Wei{-}Wen Hu and Chia{-}Hung Chang and Geng{-}Xi Yang and Chih{-}Peng Li}, title = {New Paradigm for Contactless Vital Sign Sensing Using {UWB} Radar and Hybrid Optical Wireless Communications}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {15}, number = {3}, pages = {121--124}, year = {2023}, url = {https://doi.org/10.1109/LES.2022.3198666}, doi = {10.1109/LES.2022.3198666}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/HuCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/NewmanGriffisDZTC23, author = {Denis R. Newman{-}Griffis and Bart Desmet and Ayah Zirikly and Suzanne Tamang and Chih{-}Hung Chang}, title = {Editorial: Artificial intelligence for human function and disability}, journal = {Frontiers Digit. Health}, volume = {5}, year = {2023}, url = {https://doi.org/10.3389/fdgth.2023.1282287}, doi = {10.3389/FDGTH.2023.1282287}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fdgth/NewmanGriffisDZTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hisas/HuangWKTCCCCTCLCPW23, author = {Chun{-}Te Huang and Tsai{-}Jung Wang and Li{-}Kuo Kuo and Ming{-}Ju Tsai and Cong{-}Tat Cia and Dung{-}Hung Chiang and Po{-}Jen Chang and Inn{-}Wen Chong and Yi{-}Shan Tsai and Yuan{-}Chia Chu and Chia{-}Jen Liu and Cheng{-}Hsu Chen and Kai{-}Chih Pai and Chieh{-}Liang Wu}, title = {Federated machine learning for predicting acute kidney injury in critically ill patients: a multicenter study in Taiwan}, journal = {Health Inf. Sci. Syst.}, volume = {11}, number = {1}, pages = {48}, year = {2023}, url = {https://doi.org/10.1007/s13755-023-00248-5}, doi = {10.1007/S13755-023-00248-5}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hisas/HuangWKTCCCCTCLCPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/ChenCW23, author = {Chi{-}Hung Chen and Jr{-}Chang Chen and I{-}Chen Wu}, title = {Special Issue on Advances in Computer Chinese Chess}, journal = {J. Int. Comput. Games Assoc.}, volume = {45}, number = {4}, pages = {111--112}, year = {2023}, url = {https://doi.org/10.3233/ICG-240244}, doi = {10.3233/ICG-240244}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/ChenCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChangHC23, author = {Guey{-}Yun Chang and Chia{-}Kai Hung and Chi{-}Hao Chen}, title = {A {CSI} Prediction Scheme for Satellite-Terrestrial Networks}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {9, May 1}, pages = {7774--7785}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3229683}, doi = {10.1109/JIOT.2022.3229683}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChangHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChiangHCLTZH23, author = {Kai{-}Wei Chiang and Chi{-}Hsin Huang and Hsiu{-}Wen Chang and Cheng{-}Xian Lin and Meng{-}Lun Tsai and Jhih{-}Cing Zeng and Mei{-}Chin Hung}, title = {Semantic Proximity Update of {GNSS/INS/VINS} for Seamless Vehicular Navigation Using Smartphone Sensors}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {17}, pages = {15736--15748}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3265267}, doi = {10.1109/JIOT.2023.3265267}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChiangHCLTZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungWHHCSKLLHTC23, author = {Je{-}Min Hung and Tai{-}Hao Wen and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for {AI} Edge Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {303--315}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200515}, doi = {10.1109/JSSC.2022.3200515}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HungWHHCSKLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NguyenDPCCCNHKL23, author = {Ngoc Thi Nguyen and Tien Le Thuy Du and Hyunkyu Park and Chi{-}Hung Chang and Sunghwa Choi and Hyosok Chae and E. James Nelson and Faisal Hossain and Donghwan Kim and Hyongki Lee}, title = {Estimating the Impacts of Ungauged Reservoirs Using Publicly Available Streamflow Simulations and Satellite Remote Sensing}, journal = {Remote. Sens.}, volume = {15}, number = {18}, pages = {4563}, year = {2023}, url = {https://doi.org/10.3390/rs15184563}, doi = {10.3390/RS15184563}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/NguyenDPCCCNHKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rptel/LooiWKCSCWLYCCC23, author = {Chee{-}Kit Looi and Su Luan Wong and Siu{-}Cheung Kong and Tak{-}Wai Chan and Ju{-}Ling Shih and Ben Chang and Ying{-}Tien Wu and Chen{-}Chung Liu and Charles Y. C. Yeh and Zhi{-}Hong Chen and Tzu{-}Chao Chien and Chih{-}Yueh Chou and Hui{-}Chun Hung and Hercy N. H. Cheng and Calvin C. Y. Liao}, title = {Interest-Driven Creator Theory: case study of embodiment in an experimental school in Taiwan}, journal = {Res. Pract. Technol. Enhanc. Learn.}, volume = {18}, pages = {23}, year = {2023}, url = {https://doi.org/10.58459/rptel.2023.18023}, doi = {10.58459/RPTEL.2023.18023}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rptel/LooiWKCSCWLYCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangCLJWLY23, author = {Yun{-}Han Chang and Chi{-}Wai Chow and Yuan{-}Zeng Lin and Yin{-}He Jian and Chih{-}Chun Wang and Yang Liu and Chien{-}Hung Yeh}, title = {Bi-Directional Free-Space Visible Light Communication Supporting Multiple Moveable Clients Using Light Diffusing Optical Fiber}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4725}, year = {2023}, url = {https://doi.org/10.3390/s23104725}, doi = {10.3390/S23104725}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChangCLJWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/HungTCW23, author = {Wei{-}Hsi Hung and Chih{-}Lang Tseng and Fang{-}Kai Chang and Yi{-}Che Wu}, title = {A mixed-methods approach to identifying and exploring the causes of the electronic service gap between hospital website developers and users}, journal = {Technol. Anal. Strateg. Manag.}, volume = {35}, number = {10}, pages = {1296--1309}, year = {2023}, url = {https://doi.org/10.1080/09537325.2021.2003322}, doi = {10.1080/09537325.2021.2003322}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/HungTCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BaiWHSWXHKX23, author = {Shuhan Bai and Hu Wan and Yun Huang and Xuan Sun and Fei Wu and Changsheng Xie and Hung{-}Chih Hsieh and Tei{-}Wei Kuo and Chun Jason Xue}, title = {Pipette: Efficient Fine-Grained Reads for SSDs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {12}, pages = {4721--4734}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3276520}, doi = {10.1109/TCAD.2023.3276520}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/BaiWHSWXHKX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SeeNTCMLL23, author = {Jin{-}Chuan See and Hui{-}Fuang Ng and Hung{-}Khoon Tan and Jing{-}Jing Chang and Kai Ming Mok and Wai{-}Kong Lee and Chih{-}Yang Lin}, title = {Cryptensor: {A} Resource-Shared Co-Processor to Accelerate Convolutional Neural Network and Polynomial Convolution}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {12}, pages = {4735--4748}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3296375}, doi = {10.1109/TCAD.2023.3296375}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/SeeNTCMLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YinLWCCSC23, author = {Ping{-}Hung Yin and Chih{-}Wen Lu and Jia{-}Shyang Wang and Yuan{-}Chang Chien and Cheng{-}Te Chou and Guo{-}Dung John Su and Poki Chen}, title = {A 216 {\texttimes} 216 Global-Shutter {CMOS} Image Sensor With Embedded Analog Memory and Automatic Exposure Control for Under-Display Optical Fingerprint Sensing Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {3}, pages = {1189--1201}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2022.3229035}, doi = {10.1109/TCSI.2022.3229035}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/YinLWCCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinLCCLS23, author = {Chih{-}Lung Lin and Po{-}Cheng Lai and Jui{-}Hung Chang and Yi{-}Chien Chen and Po{-}Chun Lai and Li{-}Wei Shih}, title = {Reducing Leakage Current Using {LTPS-TFT} Pixel Circuit in {AMOLED} Smartwatch Displays}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8588--8597}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3208593}, doi = {10.1109/TIE.2022.3208593}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinLCCLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ChangL23a, author = {Ho{-}Feng Chang and Chih{-}Hung G. Li}, title = {Real-Time Visual-Servo Navigation for Map-Free Self-Driving in Unstructured Outdoor Environments}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {489--494}, year = {2023}, crossref = {DBLP:conf/aimech/2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196174}, doi = {10.1109/AIM46323.2023.10196174}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ChangL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChangLYCWLC23, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1081--1091}, year = {2023}, crossref = {DBLP:conf/cvpr/2023w}, url = {https://doi.org/10.1109/CVPRW59228.2023.00115}, doi = {10.1109/CVPRW59228.2023.00115}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChangLYCWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/LiaoPC23, author = {Chang{-}Chien Liao and Chih{-}Hung Peng and Hsin{-}Lu Chang}, title = {Software-as-a-Service (SaaS) Innovation in the Context of Software Industry: a Resource Orchestration Perspective}, booktitle = {31st European Conference on Information Systems - Co-creating Sustainable Digital Futures, {ECIS} 2023, Kristiansan, Norway, June 11-16, 2023}, year = {2023}, crossref = {DBLP:conf/ecis/2023}, url = {https://aisel.aisnet.org/ecis2023\_rip/34}, timestamp = {Wed, 19 Jul 2023 10:09:16 +0200}, biburl = {https://dblp.org/rec/conf/ecis/LiaoPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esorics/LiuKHLCHCH23, author = {Tzu{-}Li Liu and Yu{-}Te Ku and Ming{-}Chien Ho and Feng{-}Hao Liu and Ming{-}Ching Chang and Chih{-}Fan Hsu and Wei{-}Chao Chen and Shih{-}Hao Hung}, title = {An Efficient {CKKS-FHEW/TFHE} Hybrid Encrypted Inference Framework}, booktitle = {Computer Security. {ESORICS} 2023 International Workshops - CPS4CIP, ADIoT, SecAssure, WASP, TAURIN, PriST-AI, and SECAI, The Hague, The Netherlands, September 25-29, 2023, Revised Selected Papers, Part {II}}, pages = {535--551}, year = {2023}, crossref = {DBLP:conf/esorics/2023w2}, url = {https://doi.org/10.1007/978-3-031-54129-2\_32}, doi = {10.1007/978-3-031-54129-2\_32}, timestamp = {Mon, 25 Mar 2024 20:43:51 +0100}, biburl = {https://dblp.org/rec/conf/esorics/LiuKHLCHCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/ChangCLMST23, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Covering Planar Metrics (and Beyond): {O(1)} Trees Suffice}, booktitle = {64th {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2023, Santa Cruz, CA, USA, November 6-9, 2023}, pages = {2231--2261}, year = {2023}, crossref = {DBLP:conf/focs/2023}, url = {https://doi.org/10.1109/FOCS57990.2023.00139}, doi = {10.1109/FOCS57990.2023.00139}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/focs/ChangCLMST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangFHWCC23, author = {Cheng Chang and Yong{-}Yi Fanjiang and Chi{-}Huang Hung and Li{-}Feng Wang and Ya{-}Jou Chang and Wen{-}Hsuan Chang}, title = {Design and implementation of a scoring system for computer image recognition technology}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {638--639}, year = {2023}, crossref = {DBLP:conf/gcce/2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315316}, doi = {10.1109/GCCE59613.2023.10315316}, timestamp = {Thu, 23 Nov 2023 21:16:31 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChangFHWCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/YehCYT23, author = {Wei{-}Ting Yeh and Chung{-}Lun Chang and Shang{-}Chih Yin and Chien{-}Hung Tsai}, title = {Mixed-Level Design Methodology With SystemVerilog Behavior Models for Digitally Controlled Power Converter ICs}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {1172--1175}, year = {2023}, crossref = {DBLP:conf/gcce/2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315270}, doi = {10.1109/GCCE59613.2023.10315270}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/YehCYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccm/WuHCCC23, author = {Chih{-}Jou Wu and Chang{-}Ching Hung and Nai{-}Chi Chen and Kuo{-}Yang Chang and Yu{-}Chi Chen}, title = {Distributed Privacy-preserving Support Vector Machine Training Scheme on Vertically Partitioned Data}, booktitle = {Proceedings of the 2023 11th International Conference on Computer and Communications Management, {ICCCM} 2023, Nagoya, Japan, August 4-6, 2023}, pages = {226--234}, year = {2023}, crossref = {DBLP:conf/icccm/2023}, url = {https://doi.org/10.1145/3617733.3617770}, doi = {10.1145/3617733.3617770}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccm/WuHCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinTCCLW23, author = {Yan{-}Heng Lin and Yu{-}Tse Tsan and Yu{-}Wei Chan and Chih{-}Hung Chang and Chia{-}Chen Lin and Hsuan{-}Fu Wang}, title = {Implementation of a Quality Evaluation System for Chest Compression based on OpenPose Model}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {583--584}, year = {2023}, crossref = {DBLP:conf/icce-tw/2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226758}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226758}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LinTCCLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsiehWXLTCYCLLLLLCK23, author = {Sung{-}En Hsieh and Chun{-}Hao Wei and Cheng{-}Xin Xue and Hung{-}Wei Lin and Wei{-}Hsuan Tu and En{-}Jui Chang and Kai{-}Taing Yang and Po{-}Heng Chen and Wei{-}Nan Liao and Li Lian Low and Chia{-}Da Lee and Allen{-}Cl Lu and Jenwei Liang and Chih{-}Chung Cheng and Tzung{-}Hung Kang}, title = {A 70.85-86.27TOPS/W PVT-Insensitive 8b Word-Wise {ACIM} with Post-Processing Relaxation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {136--137}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067335}, doi = {10.1109/ISSCC42615.2023.10067335}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsiehWXLTCYCLLLLLCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangWHKLJHCCLLTHCCC23, author = {Wei{-}Hsing Huang and Tai{-}Hao Wen and Je{-}Min Hung and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Hung{-}Hsi Hsu and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chuna{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile Al-Edge Processor with 4MB {SLC-MLC} Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {258--259}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067610}, doi = {10.1109/ISSCC42615.2023.10067610}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HuangWHKLJHCCLLTHCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoriZLLHCHTLWACFWCCLC23, author = {Haruki Mori and Wei{-}Chang Zhao and Cheng{-}En Lee and Chia{-}Fu Lee and Yu{-}Hao Hsu and Chao{-}Kai Chuang and Takeshi Hashizume and Hao{-}Chun Tung and Yao{-}Yi Liu and Shin{-}Rung Wu and Kerem Akarvardar and Tan{-}Li Chou and Hidehiro Fujiwara and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {A 4nm 6163-TOPS/W/b {\textdollar}{\textbackslash}mathbf\{4790-TOPS/mm\{2\}/b\}{\textdollar} {SRAM} Based Digital-Computing-in-Memory Macro Supporting Bit-Width Flexibility and Simultaneous {MAC} and Weight Update}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {132--133}, year = {2023}, crossref = {DBLP:conf/isscc/2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067555}, doi = {10.1109/ISSCC42615.2023.10067555}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoriZLLHCHTLWACFWCCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kse/ChangWWH23, author = {Chin{-}Chih Chang and Chi{-}Hung Wei and Hao{-}Wei Wu and Sean Hsiao}, title = {A Fitness Movement Evaluation System Using Deep Learning}, booktitle = {15th International Conference on Knowledge and Systems Engineering, {KSE} 2023, Hanoi, Vietnam, October 18-20, 2023}, pages = {1--6}, year = {2023}, crossref = {DBLP:conf/kse/2023}, url = {https://doi.org/10.1109/KSE59128.2023.10299493}, doi = {10.1109/KSE59128.2023.10299493}, timestamp = {Tue, 21 Nov 2023 22:37:01 +0100}, biburl = {https://dblp.org/rec/conf/kse/ChangWWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/AhmadOSWNCHCWHS23, author = {Zohauddin Ahmad and Sung{-}Yi Ou and Wei{-}Chih Su and Po{-}Shun Wang and Naseem and Jyehong Chen and Yung{-}Jr Hung and You{-}Chia Chang and Chia{-}Chien Wei and Tzyy{-}Sheng Horng and Jin{-}Wei Shi}, title = {Enhanced Velocity Sensitivity in 4-D {FMCW} LiDAR by Use of Avalanche Photodiode with Cascaded Multiplication Layer}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, year = {2023}, crossref = {DBLP:conf/ofc/2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117190}, doi = {10.23919/OFC49934.2023.10117190}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/AhmadOSWNCHCWHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangCWJG0Y23, author = {Yun{-}Han Chang and Chi{-}Wai Chow and Chih{-}Chun Wang and Yin{-}He Jian and Wahyu Hendra Gunawan and Yang Liu and Chien{-}Hung Yeh}, title = {Free-Space Visible Light Communication with Downstream and Upstream Transmissions Supporting Multiple Moveable Receivers Using Light-Diffusing Fiber}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, year = {2023}, crossref = {DBLP:conf/ofc/2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116419}, doi = {10.23919/OFC49934.2023.10116419}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangCWJG0Y23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangTCWTLY23, author = {Yun{-}Han Chang and Deng{-}Cheng Tsai and Chi{-}Wai Chow and Chih{-}Chun Wang and Shang{-}Yen Tsai and Yang Liu and Chien{-}Hung Yeh}, title = {Lightweight Light-Diffusing Fiber Transmitter Equipped Unmanned-Aerial-Vehicle {(UAV)} for Large Field-of-View {(FOV)} Optical Wireless Communication}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, year = {2023}, crossref = {DBLP:conf/ofc/2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117205}, doi = {10.23919/OFC49934.2023.10117205}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangTCWTLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChangCCLWLCLWLN23, author = {Jonathan Chang and Yen{-}Huei Chen and Gary Chan and Kuo{-}Cheng Lin and Po{-}Sheng Wang and Yangsyu Lin and Sevic Chen and Peijiun Lin and Ching{-}Wei Wu and Chih{-}Yu Lin and Yi{-}Hsin Nien and Hidehiro Fujiwara and Atul Katoch and Robin Lee and Hung{-}Jen Liao and Jhon{-}Jhy Liaw and Shien{-}Yang Michael Wu and Quincy Li}, title = {A 3nm 256Mb {SRAM} in FinFET Technology with New Array Banking Architecture and Write-Assist Circuitry Scheme for High-Density and Low-VMIN Applications}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185287}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185287}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChangCCLWLCLWLN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChangXDJLCLLKJG23, author = {En{-}Jui Chang and Cheng{-}Xin Xue and Chetan Deshpande and Gajanan Jedhe and Jenwei Liang and Chih{-}Chung Cheng and Hung{-}Wei Lin and Chia{-}Da Lee and Sushil Kumar and Kim Soon Jway and Zijie Guo and Ritesh Garg and Allen{-}Cl Lu and Chien{-}Hung Lin and Meng{-}Han Hsieh and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on Vision}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185296}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185296}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChangXDJLCLLKJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HsiehLLHHWL23, author = {Chih{-}Chang Hsieh and Hang{-}Ting Lue and Yung{-}Chun Li and Shuo{-}Nan Hung and Chun{-}Hsiung Hung and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Chip Demonstration of a High-Density (43Gb) and High-Search-Bandwidth (300Gb/s) 3D {NAND} Based In-Memory Search Accelerator for Ternary Content Addressable Memory {(TCAM)} and Proximity Search of Hamming Distance}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185361}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185361}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HsiehLLHHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HuangHLCWCKL23, author = {Yao{-}Hung Huang and Yu{-}Cheng Hsieh and Yu{-}Cheng Lin and Yue{-}Der Chih and Eric Wang and Jonathan Chang and Ya{-}Chin King and Chrong Jung Lin}, title = {High Density Embedded 3D Stackable Via {RRAM} in Advanced {MCU} Applications}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185230}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185230}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HuangHLCWCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185326}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185326}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/WenHHWCLCSKWLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSCHCCSWYCLRW23, author = {Ming{-}Hung Wu and Ming{-}Chun Hong and Ching Shih and Yao{-}Jen Chang and Yu{-}Chen Hsin and Shih{-}Ching Chiu and Kuan{-}Ming Chen and Yi{-}Hui Su and Chih{-}Yao Wang and Shan{-}Yi Yang and Guan{-}Long Chen and Hsin{-}Han Lee and Sk. Ziaur Rahaman and I{-}Jung Wang and Chen{-}Yi Shih and Tsun{-}Chun Chang and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Tuo{-}Hung Hou}, title = {{U-MRAM:} Transistor-Less, High-Speed {(10} ns), Low-Voltage {(0.6} V), Field-Free Unipolar {MRAM} for High-Density Data Memory}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, year = {2023}, crossref = {DBLP:conf/vlsit/2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185336}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185336}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSCHCCSWYCLRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06215, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Covering Planar Metrics (and Beyond): {O(1)} Trees Suffice}, journal = {CoRR}, volume = {abs/2306.06215}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06215}, doi = {10.48550/ARXIV.2306.06215}, eprinttype = {arXiv}, eprint = {2306.06215}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06215.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06235, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Resolving the Steiner Point Removal Problem in Planar Graphs via Shortcut Partitions}, journal = {CoRR}, volume = {abs/2306.06235}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06235}, doi = {10.48550/ARXIV.2306.06235}, eprinttype = {arXiv}, eprint = {2306.06235}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14313, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, journal = {CoRR}, volume = {abs/2306.14313}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14313}, doi = {10.48550/ARXIV.2306.14313}, eprinttype = {arXiv}, eprint = {2306.14313}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-15280, author = {De{-}Ming Chian and Feng{-}Ji Chen and Yu{-}Chen Chang and Chao{-}Kai Wen and Chi{-}Hung Wu and Fu{-}Kang Wang and Kai{-}Kit Wong and Chan{-}Byoung Chae}, title = {Active RIS-Assisted {MIMO-OFDM} System: Analyses and Prototype Measurements}, journal = {CoRR}, volume = {abs/2307.15280}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.15280}, doi = {10.48550/ARXIV.2307.15280}, eprinttype = {arXiv}, eprint = {2307.15280}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-15280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00555, author = {Hsien{-}Chih Chang and Jonathan Conroy and Hung Le and Lazar Milenkovic and Shay Solomon and Cuong Than}, title = {Shortcut Partitions in Minor-Free Graphs: Steiner Point Removal, Distance Oracles, Tree Covers, and More}, journal = {CoRR}, volume = {abs/2308.00555}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00555}, doi = {10.48550/ARXIV.2308.00555}, eprinttype = {arXiv}, eprint = {2308.00555}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07993, author = {Dalong Zheng and Zebin Wu and Jia Liu and Chih{-}Cheng Hung and Zhihui Wei}, title = {Explicit Change Relation Learning for Change Detection in {VHR} Remote Sensing Images}, journal = {CoRR}, volume = {abs/2311.07993}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07993}, doi = {10.48550/ARXIV.2311.07993}, eprinttype = {arXiv}, eprint = {2311.07993}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07993.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HsuZCC22, author = {Chih{-}Hung Hsu and Jun{-}Yi Zeng and An{-}Yuan Chang and Shu{-}Qi Cai}, title = {Deploying Industry 4.0 Enablers to Strengthen Supply Chain Resilience to Mitigate Ripple Effects: An Empirical Study of Top Relay Manufacturer in China}, journal = {{IEEE} Access}, volume = {10}, pages = {114829--114855}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3215620}, doi = {10.1109/ACCESS.2022.3215620}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HsuZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LienCYLH22, author = {Chang{-}Hua Lien and Hao{-}Chin Chang and Ker{-}Wei Yu and Hung{-}Chih Li and Yi{-}You Hou}, title = {Robust Mixed Performance Control of Uncertain {T-S} Fuzzy Systems With Interval Time-Varying Delay by Sampled-Data Input}, journal = {{IEEE} Access}, volume = {10}, pages = {28109--28121}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3157875}, doi = {10.1109/ACCESS.2022.3157875}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LienCYLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinCCLCKWP22, author = {Chih{-}Lung Lin and Jui{-}Hung Chang and Fu{-}Hsing Chen and Po{-}Cheng Lai and Yi{-}Chien Chen and Cheng{-}Han Ke and Chia{-}En Wu and Jia{-}Tian Peng}, title = {New Driving Structure to Increase Pixel Charging Ratio for {UHD} TFT-LCDs With High Frame Rate}, journal = {{IEEE} Access}, volume = {10}, pages = {85114--85126}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3197667}, doi = {10.1109/ACCESS.2022.3197667}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinCCLCKWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MaCCCCCYL22, author = {Yi{-}Wei Ma and Chun{-}Yao Chang and Yen{-}Neng Chiang and Jiann{-}Liang Chen and Chih{-}Hung Chen and Wen{-}Tsung Chang and Shun{-}Ching Yang and Ying{-}Hsun Lai}, title = {Analysis and Response Strategy of Cross-Community Rumors Using Mixed Multilayer Method for Enterprise Cyber Warriors}, journal = {{IEEE} Access}, volume = {10}, pages = {131386--131393}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3228113}, doi = {10.1109/ACCESS.2022.3228113}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MaCCCCCYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HuHHHTLSKYL22, author = {Chih{-}Yao Hu and Chung{-}Lieh Hung and Yen{-}Chang Huang and Po{-}Han Huang and Da{-}Yo Tseng and Yi{-}Hsuan Lin and Fang{-}Ju Sun and Fu{-}Jen Kao and Hung{-}I Yeh and Yen{-}Yu Liu}, title = {Alcohol patch test with hue-saturation-value model analysis predicts {ALDH2} genetic polymorphism}, journal = {Comput. Biol. Medicine}, volume = {147}, pages = {105783}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105783}, doi = {10.1016/J.COMPBIOMED.2022.105783}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/HuHHHTLSKYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/WangCLLLHLWC22, author = {Ching{-}Wei Wang and Cheng{-}Chang Chang and Yu{-}Ching Lee and Yi{-}Jia Lin and Shih{-}Chang Lo and Po{-}Chao Hsu and Yi{-}An Liou and Chih{-}Hung Wang and Tai{-}Kuang Chao}, title = {Weakly supervised deep learning for prediction of treatment effectiveness on ovarian cancer from histopathology images}, journal = {Comput. Medical Imaging Graph.}, volume = {99}, pages = {102093}, year = {2022}, url = {https://doi.org/10.1016/j.compmedimag.2022.102093}, doi = {10.1016/J.COMPMEDIMAG.2022.102093}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/WangCLLLHLWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/ChenCLC22, author = {Chih{-}Hung Chen and Sin{-}Yi Chiu and Shun{-}Shii Lin and Jr{-}Chang Chen}, title = {Monte{\_}Alpha Wins the EinStein W{\"{u}}rfelt Nicht Tournament}, journal = {J. Int. Comput. Games Assoc.}, volume = {44}, number = {3}, pages = {111--113}, year = {2022}, url = {https://doi.org/10.3233/ICG-230219}, doi = {10.3233/ICG-230219}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icga/ChenCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/ChangLCL22, author = {Jui{-}Hung Chang and Chia{-}Lun Lee and Fu{-}Hsing Chen and Chih{-}Lung Lin}, title = {Optical properties of a-Si: {H} thin-film transistors by illumination by white light with different colour temperatures}, journal = {{IET} Circuits Devices Syst.}, volume = {16}, number = {5}, pages = {399--409}, year = {2022}, url = {https://doi.org/10.1049/cds2.12114}, doi = {10.1049/CDS2.12114}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/ChangLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ChangSLCWCL22, author = {Kuo{-}Hao Chang and Yi{-}Jyun Sun and Chi{-}An Lai and Li{-}Der Chen and Chih{-}Hung Wang and Chung{-}Jung Chen and Chih{-}Ming Lin}, title = {Big data analytics energy-saving strategies for air compressors in the semiconductor industry - an empirical study}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {6}, pages = {1782--1794}, year = {2022}, url = {https://doi.org/10.1080/00207543.2020.1870015}, doi = {10.1080/00207543.2020.1870015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ChangSLCWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChienYJCLC22, author = {Hung{-}Wei Chang Chien and Tsung{-}Lung Yang and Wang{-}Chuan Juang and Yen{-}Yu Arthur Chen and Yu{-}Chuan (Jack) Li and Chih{-}Yu Chen}, title = {Pilot Report for Intracranial Hemorrhage Detection with Deep Learning Implanted Head Computed Tomography Images at Emergency Department}, journal = {J. Medical Syst.}, volume = {46}, number = {7}, pages = {49}, year = {2022}, url = {https://doi.org/10.1007/s10916-022-01833-z}, doi = {10.1007/S10916-022-01833-Z}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChienYJCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuCLHCXWKCHTL22, author = {Yen{-}Cheng Chiu and Tung{-}Cheng Chang and Chun{-}Ying Lee and Je{-}Min Hung and Kuang{-}Tang Chang and Cheng{-}Xin Xue and Ssu{-}Yen Wu and Hui{-}Yao Kao and Peng Chen and Hsiao{-}Yu Huang and Shih{-}Hsih Teng and Chieh{-}Pu Lo and Yi{-}Chun Shih and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Yier Jin and Meng{-}Fan Chang}, title = {A 22-nm 1-Mb 1024-b Read Data-Protected {STT-MRAM} Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1936--1949}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3112182}, doi = {10.1109/JSSC.2021.3112182}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuCLHCXWKCHTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/WangCCSH22, author = {I{-}Ting Wang and Chih{-}Cheng Chang and Yen{-}Yu Chen and Yi{-}Shin Su and Tuo{-}Hung Hou}, title = {Two-dimensional materials for artificial synapses: toward a practical application}, journal = {Neuromorph. Comput. Eng.}, volume = {2}, number = {1}, pages = {12003}, year = {2022}, url = {https://doi.org/10.1088/2634-4386/ac5086}, doi = {10.1088/2634-4386/AC5086}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/WangCCSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YenCWC22, author = {Chih{-}Ta Yen and Un{-}Hung Chen and Guo{-}Chang Wang and Zong{-}Xian Chen}, title = {Non-Invasive Blood Glucose Estimation System Based on a Neural Network with Dual-Wavelength Photoplethysmography and Bioelectrical Impedance Measuring}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4452}, year = {2022}, url = {https://doi.org/10.3390/s22124452}, doi = {10.3390/S22124452}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YenCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/SungCCYC22, author = {Yi{-}Wei Sung and James C. Chu and Yao{-}Jen Chang and Jou{-}Chun Yeh and Yen{-}Hung Chou}, title = {Optimizing mix of heterogeneous buses and chargers in electric bus scheduling problems}, journal = {Simul. Model. Pract. Theory}, volume = {119}, pages = {102584}, year = {2022}, url = {https://doi.org/10.1016/j.simpat.2022.102584}, doi = {10.1016/J.SIMPAT.2022.102584}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/SungCCYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/BanCHW22, author = {Jung{-}Chao Ban and Chih{-}Hung Chang and Wen{-}Guei Hu and Yu{-}Liang Wu}, title = {Topological entropy for shifts of finite type over {Z} and trees}, journal = {Theor. Comput. Sci.}, volume = {930}, pages = {24--32}, year = {2022}, url = {https://doi.org/10.1016/j.tcs.2022.07.007}, doi = {10.1016/J.TCS.2022.07.007}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/BanCHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/PengWWC22, author = {Chih{-}Hung Peng and Ling{-}Ling Wu and Chih{-}Ping Wei and Chun{-}Mao Chang}, title = {Intrafirm Network Structure and Firm Innovation Performance: The Moderating Role of Environmental Uncertainty}, journal = {{IEEE} Trans. Engineering Management}, volume = {69}, number = {4}, pages = {1173--1184}, year = {2022}, url = {https://doi.org/10.1109/TEM.2020.2973456}, doi = {10.1109/TEM.2020.2973456}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/PengWWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChanKYCHT22, author = {Yu{-}Wei Chan and Tsan{-}Ching Kang and Chao{-}Tung Yang and Chih{-}Hung Chang and Shih{-}Meng Huang and Yin{-}Te Tsai}, title = {Tool wear prediction using convolutional bidirectional {LSTM} networks}, journal = {J. Supercomput.}, volume = {78}, number = {1}, pages = {810--832}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03903-4}, doi = {10.1007/S11227-021-03903-4}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ChanKYCHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WuLLCTCCL22, author = {Fu{-}Hsing Wu and Huey{-}Jen Lai and Hsuan{-}Hung Lin and Po{-}Chou Chan and Chien{-}Ming Tseng and Kun{-}Min Chang and Yung{-}Fu Chen and Chih{-}Sheng Lin}, title = {Predictive models for detecting patients more likely to develop acute myocardial infarctions}, journal = {J. Supercomput.}, volume = {78}, number = {2}, pages = {2043--2071}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03916-z}, doi = {10.1007/S11227-021-03916-Z}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/WuLLCTCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/YehLKHLCW22, author = {Chia{-}Hung Yeh and Chu{-}Han Lin and Li{-}Wei Kang and Chih{-}Hsiang Huang and Min{-}Hui Lin and Chuan{-}Yu Chang and Chua{-}Chin Wang}, title = {Lightweight Deep Neural Network for Joint Learning of Underwater Object Detection and Color Conversion}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {11}, pages = {6129--6143}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3072414}, doi = {10.1109/TNNLS.2021.3072414}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/YehLKHLCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asse/LeeSWHC22, author = {Chih{-}Hung Lee and Kun{-}Sheng Sun and Yun{-}Qiao Wang and Ji{-}Hwei Horng and Chin{-}Chen Chang}, title = {Secret Message {CDMA} Multiplexing via {QR} Codes}, booktitle = {{ASSE} 2022: 3rd Asia Service Sciences and Software Engineering Conference, Macao, February 24 - 26, 2022}, pages = {52--59}, year = {2022}, crossref = {DBLP:conf/asse/2022}, url = {https://doi.org/10.1145/3523181.3523189}, doi = {10.1145/3523181.3523189}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asse/LeeSWHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChenCCTC22, author = {Po{-}Chih Chen and Chih{-}Hung Chang and Yu{-}Wei Chan and Yin{-}Te Tsai and William C. Chu}, title = {An Approach to Real-Time Fall Detection based on OpenPose and {LSTM}}, booktitle = {46th {IEEE} Annual Computers, Software, and Applications Conferenc, {COMPSAC} 2022, Los Alamitos, CA, USA, June 27 - July 1, 2022}, pages = {1573--1578}, year = {2022}, crossref = {DBLP:conf/compsac/2022}, url = {https://doi.org/10.1109/COMPSAC54236.2022.00250}, doi = {10.1109/COMPSAC54236.2022.00250}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/ChenCCTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Bai0H00XHKX22, author = {Shuhan Bai and Hu Wan and Yun Huang and Xuan Sun and Fei Wu and Changsheng Xie and Hung{-}Chih Hsieh and Tei{-}Wei Kuo and Chun Jason Xue}, title = {Pipette: efficient fine-grained reads for SSDs}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {385--390}, year = {2022}, crossref = {DBLP:conf/dac/2022}, url = {https://doi.org/10.1145/3489517.3530467}, doi = {10.1145/3489517.3530467}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Bai0H00XHKX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiCCLKCH22, author = {Hao{-}Yu Chi and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Chien{-}Nan Liu and Yun{-}Chih Kuo and Ya{-}Hsin Chang and Kuan{-}Hsien Ho}, title = {Practical Substrate Design Considering Symmetrical and Shielding Routes}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {951--956}, year = {2022}, crossref = {DBLP:conf/date/2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774733}, doi = {10.23919/DATE54114.2022.9774733}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/ChiCCLKCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/ChenSCHTTLLLJHH22, author = {Kai{-}Hsun Chen and Huan{-}Ping Su and Wei{-}Chiu Chuang and Hung{-}Chang Hsiao and Wangda Tan and Zhankun Tang and Xun Liu and Yanbo Liang and Wen{-}Chih Lo and Wanqiang Ji and Byron Hsu and Keqiu Hu and HuiYang Jian and Quan Zhou and Chien{-}Min Wang}, title = {Apache submarine: a unified machine learning platform made simple}, booktitle = {EuroMLSys '22: Proceedings of the 2nd European Workshop on Machine Learning and Systems, Rennes, France, April 5 - 8, 2022}, pages = {101--108}, year = {2022}, crossref = {DBLP:conf/eurosys/2022ml}, url = {https://doi.org/10.1145/3517207.3526984}, doi = {10.1145/3517207.3526984}, timestamp = {Thu, 14 Apr 2022 16:20:57 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/ChenSCHTTLLLJHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChenMLCY22, author = {Chih{-}Hung Chen and Yiwei Ma and Ying{-}Hsun Lai and Wen{-}Tsung Chang and Shun{-}Ching Yang}, title = {Analyzing Disinformation with the Active Propagation Strategy}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {262--266}, year = {2022}, crossref = {DBLP:conf/icact/2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728847}, doi = {10.23919/ICACT53585.2022.9728847}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChenMLCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceb/ChangH22, author = {Chih{-}Wei Chang and Wei{-}Hsi Hung}, title = {Key factors of adopting energy management systems in building sector in Taiwan}, booktitle = {International Conference on Electronic Business, {ICEB} 2022, Bangkok, Thailand, October 13-17, 2022}, pages = {27}, year = {2022}, crossref = {DBLP:conf/iceb/2022}, url = {https://aisel.aisnet.org/iceb2022/27}, timestamp = {Tue, 21 Feb 2023 11:02:19 +0100}, biburl = {https://dblp.org/rec/conf/iceb/ChangH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/YenTHHZCP22, author = {Chih{-}Feng Yen and Shen{-}Hao Tsao and Yu{-}Ya Huang and Hung{-}Chang Hsu and You{-}Ru Zhong and Po{-}Chih Chen and Zhong{-}Wei Pan}, title = {Fabrication and Electrical Properties of Novel ZnTiO3/Si Capacitors with Various Zn(NO3)2 Concentrations}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {221--224}, year = {2022}, crossref = {DBLP:conf/ickii/2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983549}, doi = {10.1109/ICKII55100.2022.9983549}, timestamp = {Wed, 04 Jan 2023 16:48:28 +0100}, biburl = {https://dblp.org/rec/conf/ickii/YenTHHZCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChangL22, author = {Chih{-}Chiang Chang and Hung{-}yi Lee}, title = {Exploring Continuous Integrate-and-Fire for Adaptive Simultaneous Speech Translation}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {5175--5179}, year = {2022}, crossref = {DBLP:conf/interspeech/2022}, url = {https://doi.org/10.21437/Interspeech.2022-10627}, doi = {10.21437/INTERSPEECH.2022-10627}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraMZCNCHS22, author = {Hidehiro Fujiwara and Haruki Mori and Wei{-}Chang Zhao and Mei{-}Chen Chuang and Rawan Naous and Chao{-}Kai Chuang and Takeshi Hashizume and Dar Sun and Chia{-}Fu Lee and Kerem Akarvardar and Saman Adham and Tan{-}Li Chou and Mahmut Ersin Sinangil and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {A 5-nm 254-TOPS/W 221-TOPS/mm\({}^{\mbox{2}}\) Fully-Digital Computing-in-Memory Macro Supporting Wide-Range Dynamic-Voltage-Frequency Scaling and Simultaneous {MAC} and Write Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731754}, doi = {10.1109/ISSCC42614.2022.9731754}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraMZCNCHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungHHCWSKLLHTC22, author = {Je{-}Min Hung and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Tai{-}Hao Wen and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/isscc/2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731715}, doi = {10.1109/ISSCC42614.2022.9731715}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HungHHCWSKLLHTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwslt/ChangCL22, author = {Chih{-}Chiang Chang and Shun{-}Po Chuang and Hung{-}yi Lee}, title = {Anticipation-Free Training for Simultaneous Machine Translation}, booktitle = {Proceedings of the 19th International Conference on Spoken Language Translation, IWSLT@ACL 2022, Dublin, Ireland (in-person and online), May 26-27, 2022}, pages = {43--61}, year = {2022}, crossref = {DBLP:conf/iwslt/2022}, url = {https://doi.org/10.18653/v1/2022.iwslt-1.5}, doi = {10.18653/V1/2022.IWSLT-1.5}, timestamp = {Wed, 12 Oct 2022 08:58:55 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/ChangCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/HuangSLL22, author = {Ren{-}Tsung Huang and Chih{-}Hung Shih and Tsan{-}Chang Lin and Wen{-}Yu Lin}, title = {The Study on Illegal Online Gambling Investigation in Taiwan}, booktitle = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 26th International Conference KES-2022, Verona, Italy and Virtual Event, 7-9 September 2022}, pages = {2901--2910}, year = {2022}, crossref = {DBLP:conf/kes/2022}, url = {https://doi.org/10.1016/j.procs.2022.09.348}, doi = {10.1016/J.PROCS.2022.09.348}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kes/HuangSLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, year = {2022}, crossref = {DBLP:conf/micro/2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChangWHW22, author = {Hsin{-}Fu Chang and Michael I.{-}C. Wang and Chi{-}Hsiang Hung and Charles H.{-}P. Wen}, title = {Enabling Malware Detection with Machine Learning on Programmable Switch}, booktitle = {2022 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2022, Budapest, Hungary, April 25-29, 2022}, pages = {1--5}, year = {2022}, crossref = {DBLP:conf/noms/2022}, url = {https://doi.org/10.1109/NOMS54207.2022.9789939}, doi = {10.1109/NOMS54207.2022.9789939}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChangWHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChenC022, author = {Chien{-}Hung Chen and Yung{-}Chun Chang and Chih Hao Ku}, title = {Deep Neural Network-based Question Answering System for Customer Relationship Management}, booktitle = {26th Pacific Asia Conference on Information Systems, {PACIS} 2022, Virtual Event / Taipei, Taiwan / Sydney, Australia, July 5-9, 2022}, pages = {62}, year = {2022}, crossref = {DBLP:conf/pacis/2022}, url = {https://aisel.aisnet.org/pacis2022/62}, timestamp = {Fri, 22 Jul 2022 11:46:17 +0200}, biburl = {https://dblp.org/rec/conf/pacis/ChenC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChenCH22, author = {Hung{-}Hsin Chen and Chih{-}Hao Chang and Shih{-}Hao Hung}, title = {hKVS: a framework for designing a high throughput heterogeneous key-value store with SmartNIC and {RDMA}}, booktitle = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2022, Virtual Event, Japan, October 3-6, 2022}, pages = {99--106}, year = {2022}, crossref = {DBLP:conf/racs/2022}, url = {https://doi.org/10.1145/3538641.3561495}, doi = {10.1145/3538641.3561495}, timestamp = {Tue, 25 Oct 2022 16:57:35 +0200}, biburl = {https://dblp.org/rec/conf/racs/ChenCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/SuLKTCWC22, author = {Ja{-}Hwung Su and Yi{-}Wen Liao and Ming{-}Hung Kao and Yung{-}Wen Tsai and Chih{-}Jui Chang and Hsiu{-}Wei Wu and Cheng{-}Wei Chen}, title = {Alignment of Visual Senses and Acoustical Senses based on Emotion Recognitions}, booktitle = {Joint 12th International Conference on Soft Computing and Intelligent Systems and 23rd International Symposium on Advanced Intelligent Systems, SCIS{\&}ISIS 2022, Ise, Japan, November 29 - Dec. 2, 2022}, pages = {1--6}, year = {2022}, crossref = {DBLP:conf/scisisis/2022}, url = {https://doi.org/10.1109/SCISISIS55246.2022.10001938}, doi = {10.1109/SCISISIS55246.2022.10001938}, timestamp = {Tue, 10 Jan 2023 23:00:18 +0100}, biburl = {https://dblp.org/rec/conf/scisisis/SuLKTCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChangKF22, author = {Wan{-}Ting Chang and Chih{-}Hung Kuo and Li{-}Chun Fang}, title = {Variational Channel Distribution Pruning and Mixed-Precision Quantization for Neural Network Model Compression}, booktitle = {2022 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022}, pages = {1--3}, year = {2022}, crossref = {DBLP:conf/vlsi-dat/2022}, url = {https://doi.org/10.1109/VLSI-DAT54769.2022.9768055}, doi = {10.1109/VLSI-DAT54769.2022.9768055}, timestamp = {Mon, 23 May 2022 16:36:24 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChangKF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {379--380}, year = {2022}, crossref = {DBLP:conf/vlsit/2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830503}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830503}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/HongCHLCSCYWRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangWSYYWCLTCH22, author = {Chang{-}Feng Yang and Chun{-}Yu Wu and Meng{-}Chun Shih and Ming{-}Ta Yang and Ming{-}Han Yang and Yu{-}Tien Wu and Ta{-}Chun Chien and Chih{-}Wei Lai and Shih{-}Chi Tsai and Wen{-}Ting Chu and Arthur Hung}, title = {Demonstration of High Endurance Capability on Mega-Bit {RRAM} Macro and Model of ppm Level Failures}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {318--319}, year = {2022}, crossref = {DBLP:conf/vlsit/2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830374}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830374}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YangWSYYWCLTCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-12868, author = {Chih{-}Chiang Chang and Shun{-}Po Chuang and Hung{-}yi Lee}, title = {Anticipation-free Training for Simultaneous Translation}, journal = {CoRR}, volume = {abs/2201.12868}, year = {2022}, url = {https://arxiv.org/abs/2201.12868}, eprinttype = {arXiv}, eprint = {2201.12868}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-12868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09595, author = {Chih{-}Chiang Chang and Hung{-}yi Lee}, title = {Exploring Continuous Integrate-and-Fire for Adaptive Simultaneous Speech Translation}, journal = {CoRR}, volume = {abs/2204.09595}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09595}, doi = {10.48550/ARXIV.2204.09595}, eprinttype = {arXiv}, eprint = {2204.09595}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09595.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLHCYLKC21, author = {Mei{-}Juan Chen and Jie{-}Ru Lin and Yu{-}Chih Hsu and Yi{-}Sheng Ciou and Chia{-}Hung Yeh and Min{-}Hui Lin and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Fast 3D-HEVC Depth Intra Coding Based on Boundary Continuity}, journal = {{IEEE} Access}, volume = {9}, pages = {79588--79599}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3083498}, doi = {10.1109/ACCESS.2021.3083498}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLHCYLKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YuYCTKCHLCLSS21, author = {Chih{-}Jui Yu and Hsing{-}Jung Yeh and Chun{-}Chao Chang and Jui{-}Hsiang Tang and Wei{-}Yu Kao and Wen{-}Chao Chen and Yi{-}Jin Huang and Chien{-}Hung Li and Wei{-}Hao Chang and Yun{-}Ting Lin and Herdiantri Sufriyana and Emily Chia{-}Yu Su}, title = {Lightweight deep neural networks for cholelithiasis and cholecystitis detection by point-of-care ultrasound}, journal = {Comput. Methods Programs Biomed.}, volume = {211}, pages = {106382}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106382}, doi = {10.1016/J.CMPB.2021.106382}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YuYCTKCHLCLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/WuCKLC21, author = {Chun{-}Hsun Wu and Bu{-}Wei Chen and Wei{-}Hung Ko and Chih{-}Wei Liu and Le{-}Ren Chang{-}Chien}, title = {Phase sequence interchange scheme for suppressing transient cross regulation on the compensator controlled and non-compensator controlled single-inductor dual-output buck converter}, journal = {{IET} Circuits Devices Syst.}, volume = {15}, number = {7}, pages = {657--669}, year = {2021}, url = {https://doi.org/10.1049/cds2.12062}, doi = {10.1049/CDS2.12062}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cds/WuCKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ChuHTCLCY21, author = {Wenqing Chu and Wei{-}Chih Hung and Yi{-}Hsuan Tsai and Yu{-}Ting Chang and Yijun Li and Deng Cai and Ming{-}Hsuan Yang}, title = {Learning to Caricature via Semantic Shape Transform}, journal = {Int. J. Comput. Vis.}, volume = {129}, number = {9}, pages = {2663--2679}, year = {2021}, url = {https://doi.org/10.1007/s11263-021-01489-1}, doi = {10.1007/S11263-021-01489-1}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ChuHTCLCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijghpc/JengLHCCC21, author = {Tzung{-}Han Jeng and Wen{-}Yang Luo and Chuan{-}Chiang Huang and Chien{-}Chih Chen and Kuang{-}Hung Chang and Yi{-}Ming Chen}, title = {Cloud Computing for Malicious Encrypted Traffic Analysis and Collaboration}, journal = {Int. J. Grid High Perform. Comput.}, volume = {13}, number = {3}, pages = {12--29}, year = {2021}, url = {https://doi.org/10.4018/IJGHPC.2021070102}, doi = {10.4018/IJGHPC.2021070102}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijghpc/JengLHCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/HuangKC21, author = {Guang{-}Li Huang and Tuba Kocaturk and Chi{-}Hung Chi}, title = {Discovering Traffic Anomaly Propagation in Urban Space Using Enhanced Traffic Change Peaks}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {20}, number = {5}, pages = {1363--1382}, year = {2021}, url = {https://doi.org/10.1142/S0219622021410017}, doi = {10.1142/S0219622021410017}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitdm/HuangKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ir/ChangLWLL21, author = {Chia{-}Yang Chang and Shie{-}Jue Lee and Chih{-}Hung Wu and Chih{-}Feng Liu and Ching{-}Kuan Liu}, title = {Using word semantic concepts for plagiarism detection in text documents}, journal = {Inf. Retr. J.}, volume = {24}, number = {4-5}, pages = {298--321}, year = {2021}, url = {https://doi.org/10.1007/s10791-021-09394-4}, doi = {10.1007/S10791-021-09394-4}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ir/ChangLWLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/HungTCH21, author = {Wei{-}Hsi Hung and Chih{-}Lang Tseng and Fang{-}Kai Chang and Chin{-}Fu Ho}, title = {Effects of Utilitarian and Hedonic Emotion on the Use of Online Banking Services}, journal = {J. Glob. Inf. Manag.}, volume = {29}, number = {6}, pages = {1--20}, year = {2021}, url = {https://doi.org/10.4018/jgim.20211101.oa5}, doi = {10.4018/JGIM.20211101.OA5}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgim/HungTCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangHCTTCLYHLKW21, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Li{-}Yang Tang and Yen{-}Fu Tu and Po{-}Chih Chang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design of a Bone-Guided Cochlear Implant Microsystem With Monopolar Biphasic Multiple Stimulations and Evoked Compound Action Potential Acquisition and Its In Vivo Verification}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {3062--3076}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3087629}, doi = {10.1109/JSSC.2021.3087629}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangHCTTCLYHLKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YinLWCLC21, author = {Ping{-}Hung Yin and Chih{-}Wen Lu and Jia{-}Shyang Wang and Keng{-}Li Chang and Fu{-}Kuo Lin and Poki Chen}, title = {A 368 {\texttimes} 184 Optical Under-Display Fingerprint Sensor Comprising Hybrid Arrays of Global and Rolling Shutter Pixels With Shared Pixel-Level ADCs}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {3}, pages = {763--777}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3042894}, doi = {10.1109/JSSC.2020.3042894}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YinLWCLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/HsuYZCZ21, author = {Chih{-}Hung Hsu and Xuehua Yang and Tingyi Zhang and An{-}Yuan Chang and Qingwen Zheng}, title = {Deploying Big Data Enablers to Strengthen Supply Chain Agility to Mitigate Bullwhip Effect: An Empirical Study of China's Electronic Manufacturers}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {16}, number = {7}, pages = {3375--3405}, year = {2021}, url = {https://doi.org/10.3390/jtaer16070183}, doi = {10.3390/JTAER16070183}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jtaer/HsuYZCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/ZuSHWHC21, author = {Enhou Zu and Ming{-}Hung Shu and Jui{-}Chan Huang and Tzu{-}Jung Wu and Chih{-}Wei Hsu and Yuan{-}Chieh Chang}, title = {Development of a Monitoring and Management System for Nonheritage Tourist Attractions Based on Mobile {GIS} and Multisensor Technology}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {9130244:1--9130244:11}, year = {2021}, url = {https://doi.org/10.1155/2021/9130244}, doi = {10.1155/2021/9130244}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/ZuSHWHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenCHYLH21, author = {Yi{-}An Chen and Chung{-}Pai Chang and Wei{-}Chia Hung and Jiun{-}Yee Yen and Chih{-}Heng Lu and Cheinway Hwang}, title = {Space-Time Evolutions of Land Subsidence in the Choushui River Alluvial Fan (Taiwan) from Multiple-Sensor Observations}, journal = {Remote. Sens.}, volume = {13}, number = {12}, pages = {2281}, year = {2021}, url = {https://doi.org/10.3390/rs13122281}, doi = {10.3390/RS13122281}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenCHYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KangCYCKYLLLLCN21, author = {Keng{-}Hao Kang and Wei{-}An Chao and Che{-}Ming Yang and Ming{-}Chien Chung and Yu{-}Ting Kuo and Chih{-}Hsiang Yeh and Hsin{-}Chang Liu and Chun{-}Hung Lin and Chih{-}Pin Lin and Jyh{-}Jong Liao and Jui{-}Ming Chang and Yin Jeh Ngui and Chien{-}Hsin Chen and Tung{-}Lin Tai}, title = {Rigidity Strengthening of Landslide Materials Measured by Seismic Interferometry}, journal = {Remote. Sens.}, volume = {13}, number = {14}, pages = {2834}, year = {2021}, url = {https://doi.org/10.3390/rs13142834}, doi = {10.3390/RS13142834}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KangCYCKYLLLLCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/GaoPCC21, author = {Zhongwei Gao and Changqing Pan and Chang{-}Ho Choi and Chih{-}Hung Chang}, title = {Continuous-Flow Photocatalytic Microfluidic-Reactor for the Treatment of Aqueous Contaminants, Simplicity, and Complexity: {A} Mini-Review}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1325}, year = {2021}, url = {https://doi.org/10.3390/sym13081325}, doi = {10.3390/SYM13081325}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/GaoPCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/LiYLCLTHLLKXS21, author = {Chao{-}Chieh Li and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Yu{-}Tso Lin and Tsung{-}Hsien Tsai and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A Compact Transformer-Based Fractional-N {ADPLL} in 10-nm FinFET {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {5}, pages = {1881--1891}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3059484}, doi = {10.1109/TCSI.2021.3059484}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/LiYLCLTHLLKXS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HungCLSC21, author = {Yi{-}Wen Hung and Yung{-}Chih Chen and Chi Lo and Austin Go So and Shih{-}Chieh Chang}, title = {Dynamic Workload Allocation for Edge Computing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {3}, pages = {519--529}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3049520}, doi = {10.1109/TVLSI.2021.3049520}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HungCLSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChangWW21, author = {Zhan{-}Lun Chang and Chih{-}Yu Wang and Hung{-}Yu Wei}, title = {Flat-Rate Pricing and Truthful Offloading Mechanism in Multi-Layer Edge Computing}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {20}, number = {9}, pages = {6107--6121}, year = {2021}, url = {https://doi.org/10.1109/TWC.2021.3071722}, doi = {10.1109/TWC.2021.3071722}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ChangWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChuangCCL21, author = {Shun{-}Po Chuang and Yung{-}Sung Chuang and Chih{-}Chiang Chang and Hung{-}yi Lee}, title = {Investigating the Reordering Capability in CTC-based Non-Autoregressive End-to-End Speech Translation}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, pages = {1068--1077}, year = {2021}, crossref = {DBLP:conf/acl/2021f}, url = {https://doi.org/10.18653/v1/2021.findings-acl.92}, doi = {10.18653/V1/2021.FINDINGS-ACL.92}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChuangCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangLWL21, author = {Sheng{-}Jui Huang and Yi{-}Hsien Lin and Chi{-}Hung Weng and Yi{-}Chang Lu}, title = {A Real Time Video Stabilizer Based on Feature Trajectories and Global Mesh Warping}, booktitle = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2021, Penang, Malaysia, November 22-26, 2021}, pages = {69--72}, year = {2021}, crossref = {DBLP:conf/apccas/2021}, url = {https://doi.org/10.1109/APCCAS51387.2021.9687624}, doi = {10.1109/APCCAS51387.2021.9687624}, timestamp = {Fri, 11 Feb 2022 09:29:50 +0100}, biburl = {https://dblp.org/rec/conf/apccas/HuangLWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinTSLCSLCWH21, author = {Chih{-}Sheng Lin and Fu{-}Cheng Tsai and Jian{-}Wei Su and Sih{-}Han Li and Tian{-}Sheuan Chang and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 48 {TOPS} and 20943 {TOPS/W} 512kb Computation-in-SRAM Macro for Highly Reconfigurable Ternary {CNN} Acceleration}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, year = {2021}, crossref = {DBLP:conf/asscc/2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634797}, doi = {10.1109/A-SSCC53895.2021.9634797}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LinTSLCSLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HsuLL21, author = {Yu{-}Cheng Hsu and Ming{-}Chang Lin and Chih{-}Hung G. Li}, title = {Mobility Improvement on the Two-Wheeled Dynamically Balanced Robot - {\textdollar}{\textbackslash}mathrm\{J\}4.{\textbackslash}beta{\textdollar}}, booktitle = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, pages = {442--447}, year = {2021}, crossref = {DBLP:conf/case/2021}, url = {https://doi.org/10.1109/CASE49439.2021.9551427}, doi = {10.1109/CASE49439.2021.9551427}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/HsuLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/LiC21, author = {Chih{-}Hung G. Li and Yu{-}Hsiang Chang}, title = {Socially Compliant Navigation in Indoor Corridors Based on Reinforcement Learning}, booktitle = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, pages = {1985--1990}, year = {2021}, crossref = {DBLP:conf/case/2021}, url = {https://doi.org/10.1109/CASE49439.2021.9551393}, doi = {10.1109/CASE49439.2021.9551393}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/LiC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/ChangWSWKLH21, author = {Chih{-}Yao Chang and Kuan{-}Ju Wu and Yao{-}Luen Shen and Tian{-}Li Wu and Wei{-}Hung Kuo and Suh{-}Fang Lin and Chih{-}Fang Huang}, title = {Fabrication of Light-Emitting AlGaN/GaN High Electron Mobility Transistors with a Single Quantum Well Inserted}, booktitle = {Device Research Conference, {DRC} 2021, Santa Barbara, CA, USA, June 20-23, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/drc/2021}, url = {https://doi.org/10.1109/DRC52342.2021.9467154}, doi = {10.1109/DRC52342.2021.9467154}, timestamp = {Tue, 06 Jul 2021 17:09:36 +0200}, biburl = {https://dblp.org/rec/conf/drc/ChangWSWKLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/WengLCWQQSCL21, author = {Jui{-}Hung Weng and Wei{-}Chi Lo and Chih{-}Hsien Cheng and Jiaxing Wang and Pengfei Qiao and Jipeng Qi and Chih{-}Chiang Shen and Constance J. Chang{-}Hasnain and Gong{-}Ru Lin}, title = {Inductance Impact on Digital Encoding Performance of 850-nm Multimode VCSELs for 50-Gbps {NRZ-OOK} Data Link}, booktitle = {European Conference on Optical Communication, {ECOC} 2021, Bordeaux, France, September 13-16, 2021}, pages = {1--4}, year = {2021}, crossref = {DBLP:conf/ecoc/2021}, url = {https://doi.org/10.1109/ECOC52684.2021.9605887}, doi = {10.1109/ECOC52684.2021.9605887}, timestamp = {Wed, 01 Dec 2021 17:46:03 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/WengLCWQQSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangLL0W21, author = {Zhan{-}Lun Chang and Chun{-}Yen Lee and Chia{-}Hung Lin and Chih{-}Yu Wang and Hung{-}Yu Wei}, title = {Game-Theoretic Intrusion Prevention System Deployment for Mobile Edge Computing}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, year = {2021}, crossref = {DBLP:conf/globecom/2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685866}, doi = {10.1109/GLOBECOM46510.2021.9685866}, timestamp = {Mon, 07 Feb 2022 09:24:07 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChangLL0W21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgsp/AlkhaleefahTLTM21, author = {Mohammad Alkhaleefah and Narendra Babu Tatini and Hung{-}Te Lee and Tan{-}Hsu Tan and Shang{-}Chih Ma and Yang{-}Lang Chang}, title = {YOLOv3-mobile for Real-time Pedestrian Detection on Embedded {GPU}}, booktitle = {{ICGSP} 2021: The 5th International Conference on Graphics and Signal Processing, Nagoya Japan, June 25 - 27, 2021}, pages = {27--31}, year = {2021}, crossref = {DBLP:conf/icgsp/2021}, url = {https://doi.org/10.1145/3474906.3474915}, doi = {10.1145/3474906.3474915}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icgsp/AlkhaleefahTLTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihLFSLNCLLMZS21, author = {Yu{-}Der Chih and Po{-}Hao Lee and Hidehiro Fujiwara and Yi{-}Chun Shih and Chia{-}Fu Lee and Rawan Naous and Yu{-}Lin Chen and Chieh{-}Pu Lo and Cheng{-}Han Lu and Haruki Mori and Wei{-}Cheng Zhao and Dar Sun and Mahmut E. Sinangil and Yen{-}Huei Chen and Tan{-}Li Chou and Kerem Akarvardar and Hung{-}Jen Liao and Yih Wang and Meng{-}Fan Chang and Tsung{-}Yung Jonathan Chang}, title = {An 89TOPS/W and 16.3TOPS/mm\({}^{\mbox{2}}\) All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {252--254}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365766}, doi = {10.1109/ISSCC42613.2021.9365766}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihLFSLNCLLMZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraNLPHWLC21, author = {Hidehiro Fujiwara and Yi{-}Hsin Nien and Chih{-}Yu Lin and Hsien{-}Yu Pan and Hao{-}Wen Hsu and Shin{-}Rung Wu and Yao{-}Yi Liu and Yen{-}Huei Chen and Hung{-}Jen Liao and Jonathan Chang}, title = {A 5nm 5.7GHz@1.0V and 1.3GHz@0.5V 4kb Standard-Cell- Based Two-Port Register File with a 16T Bitcell with No Half-Selection Issue}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {340--342}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366000}, doi = {10.1109/ISSCC42613.2021.9366000}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraNLPHWLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuCLLLWCHRPLCSL21, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Tianlong Pan and Sih{-}Han Li and Shih{-}Chieh Chang and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {16.3 {A} 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for {AI} Edge Chips}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {250--252}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365984}, doi = {10.1109/ISSCC42613.2021.9365984}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuCLLLWCHRPLCSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHKHHCCLJSKLL21, author = {Cheng{-}Xin Xue and Je{-}Min Hung and Hui{-}Yao Kao and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Peng Chen and Ta{-}Wei Liu and Chuan{-}Jia Jhang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {245--247}, year = {2021}, crossref = {DBLP:conf/isscc/2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365769}, doi = {10.1109/ISSCC42613.2021.9365769}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueHKHHCCLJSKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HsuKCCSC21, author = {Ying{-}Yu Hsu and Po{-}Chun Kuo and Chih{-}Lun Chuang and Po{-}Hao Chang and Hung{-}Hao Shen and Chen{-}Feng Chiang}, title = {A 7nm 0.46pJ/bit 20Gbps with {BER} 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, year = {2021}, crossref = {DBLP:conf/vlsic/2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492439}, doi = {10.23919/VLSICIRCUITS52068.2021.9492439}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HsuKCCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-04840, author = {Shun{-}Po Chuang and Yung{-}Sung Chuang and Chih{-}Chiang Chang and Hung{-}yi Lee}, title = {Investigating the Reordering Capability in CTC-based Non-Autoregressive End-to-End Speech Translation}, journal = {CoRR}, volume = {abs/2105.04840}, year = {2021}, url = {https://arxiv.org/abs/2105.04840}, eprinttype = {arXiv}, eprint = {2105.04840}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-04840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021}, url = {https://arxiv.org/abs/2105.07809}, eprinttype = {arXiv}, eprint = {2105.07809}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-09615, author = {Kai{-}Hsun Chen and Huan{-}Ping Su and Wei{-}Chiu Chuang and Hung{-}Chang Hsiao and Wangda Tan and Zhankun Tang and Xun Liu and Yanbo Liang and Wen{-}Chih Lo and Wanqiang Ji and Byron Hsu and Keqiu Hu and HuiYang Jian and Quan Zhou and Chien{-}Min Wang}, title = {Apache Submarine: {A} Unified Machine Learning Platform Made Simple}, journal = {CoRR}, volume = {abs/2108.09615}, year = {2021}, url = {https://arxiv.org/abs/2108.09615}, eprinttype = {arXiv}, eprint = {2108.09615}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-09615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangYLLK20, author = {Chih{-}Hung Chang and Chao{-}Tung Yang and Jheng{-}Yue Lee and Chuan{-}Lin Lai and Chia{-}Chen Kuo}, title = {On Construction and Performance Evaluation of a Virtual Desktop Infrastructure With {GPU} Accelerated}, journal = {{IEEE} Access}, volume = {8}, pages = {170162--170173}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023924}, doi = {10.1109/ACCESS.2020.3023924}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangYLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehHLC20, author = {Chia{-}Hung Yeh and Yao{-}Pao Huang and Chih{-}Yang Lin and Chuan{-}Yu Chang}, title = {Transfer2Depth: Dual Attention Network With Transfer Learning for Monocular Depth Estimation}, journal = {{IEEE} Access}, volume = {8}, pages = {86081--86090}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2992815}, doi = {10.1109/ACCESS.2020.2992815}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehHLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/ChouHTC20, author = {Chih{-}Lun Chou and Min{-}Ling Hung and Chia{-}Wen Tsai and Yu{-}Chih Chang}, title = {Developing and validating a scale for measuring teachers' readiness for flipped classrooms in junior high schools}, journal = {Br. J. Educ. Technol.}, volume = {51}, number = {4}, pages = {1420--1435}, year = {2020}, url = {https://doi.org/10.1111/bjet.12895}, doi = {10.1111/BJET.12895}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bjet/ChouHTC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LinHSCCCHLCCHTS20, author = {Yang{-}Chi{-}Dung Lin and Hsi{-}Yuan Huang and Sirjana Shrestha and Chih{-}Hung Chou and Yen{-}Hua Chen and Chi{-}Ru Chen and Hsiao{-}Chin Hong and Jing Li and Yi{-}An Chang and Men{-}Yee Chiew and Ya{-}Rong Huang and Siang{-}Jyun Tu and Ting{-}Hsuan Sun and Shun{-}Long Weng and Ching{-}Ping Tseng and Hsien{-}Da Huang}, title = {Multi-omics profiling reveals microRNA-mediated insulin signaling networks}, journal = {{BMC} Bioinform.}, volume = {21-S}, number = {13}, pages = {389}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-03678-0}, doi = {10.1186/S12859-020-03678-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LinHSCCCHLCCHTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HsuLJLCHFL20, author = {Kai{-}Cheng Hsu and Ching{-}Heng Lin and Kory R. Johnson and Chi{-}Hung Liu and Ting{-}Yu Chang and Kuo{-}Lun Huang and Yang{-}Cheng Fann and Tsong{-}Hai Lee}, title = {Autodetect extracranial and intracranial artery stenosis by machine learning using ultrasound}, journal = {Comput. Biol. Medicine}, volume = {116}, pages = {103569}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2019.103569}, doi = {10.1016/J.COMPBIOMED.2019.103569}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/HsuLJLCHFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LiaoCLTJHCLHWLH20, author = {Yu{-}Chin Liao and Po{-}Sung Chen and Chao{-}Hsiu Li and Pei{-}Hua Tsai and Jason S. C. Jang and Ker{-}Chang Hsieh and Chih{-}Yen Chen and Ping{-}Hung Lin and Jacob C. Huang and Hsin{-}Jay Wu and Yu{-}Chieh Lo and Chang{-}Wei Huang and I{-}Yu Tsao}, title = {Development of Novel Lightweight Dual-Phase Al-Ti-Cr-Mn-V Medium-Entropy Alloys with High Strength and Ductility}, journal = {Entropy}, volume = {22}, number = {1}, pages = {74}, year = {2020}, url = {https://doi.org/10.3390/e22010074}, doi = {10.3390/E22010074}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LiaoCLTJHCLHWLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/LeeCLSHC20, author = {Tsung{-}Han Lee and Lin{-}Huang Chang and Jiun{-}Jian Liaw and Yu{-}Chu Shih and Chih{-}Lin Hu and Hung{-}Chi Chu}, title = {Service-aware objective function with QoS for {RPL} routing}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {35}, number = {1}, pages = {49--60}, year = {2020}, url = {https://doi.org/10.1504/IJAHUC.2020.109132}, doi = {10.1504/IJAHUC.2020.109132}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijahuc/LeeCLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/AkinC20, author = {Hasan Akin and Chih{-}Hung Chang}, title = {The Entropy and Reversibility of Cellular Automata on Cayley Tree}, journal = {Int. J. Bifurc. Chaos}, volume = {30}, number = {4}, pages = {2050061:1--2050061:12}, year = {2020}, url = {https://doi.org/10.1142/S0218127420500613}, doi = {10.1142/S0218127420500613}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/AkinC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/BanCH20, author = {Jung{-}Chao Ban and Chih{-}Hung Chang and Nai{-}Zhu Huang}, title = {Entropy Bifurcation of Neural Networks on Cayley Trees}, journal = {Int. J. Bifurc. Chaos}, volume = {30}, number = {1}, pages = {2050015:1--2050015:13}, year = {2020}, url = {https://doi.org/10.1142/S0218127420500157}, doi = {10.1142/S0218127420500157}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/BanCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiuCC20, author = {Chih{-}Wei Liu and Hung{-}Yu Chen and Le{-}Ren Chang{-}Chien}, title = {Auto-tuning charge balance control for improving transient response on buck converter}, journal = {Int. J. Circuit Theory Appl.}, volume = {48}, number = {6}, pages = {965--979}, year = {2020}, url = {https://doi.org/10.1002/cta.2767}, doi = {10.1002/CTA.2767}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiuCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdet/ChangTTLY20, author = {Chih{-}Tsan Chang and Cheng{-}Yu Tsai and Hung{-}Hsu Tsai and Yuen{-}Ju Li and Pao{-}Ta Yu}, title = {An Online Multi-User Real-Time Seamless Co-Reading System for Collaborative Group Learning}, journal = {Int. J. Distance Educ. Technol.}, volume = {18}, number = {4}, pages = {51--70}, year = {2020}, url = {https://doi.org/10.4018/IJDET.2020100104}, doi = {10.4018/IJDET.2020100104}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdet/ChangTTLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jais/KangJPSL20, author = {Lele Kang and Qiqi Jiang and Chih{-}Hung Peng and Choon Ling Sia and Ting{-}Peng Liang}, title = {Managing Change with the Support of Smart Technology: {A} Field Investigation of Ride-Hailing Services}, journal = {J. Assoc. Inf. Syst.}, volume = {21}, number = {6}, pages = {4}, year = {2020}, url = {https://doi.org/10.17705/1jais.00647}, doi = {10.17705/1JAIS.00647}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jais/KangJPSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005754}, doi = {10.1109/JSSC.2020.3005754}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZCSLTSHWWHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLLHSHTCJYX20, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Jing Li and Kai{-}Yao Huang and Sirjana Shrestha and Hsiao{-}Chin Hong and Yun Tang and Yi{-}Gang Chen and Chen{-}Nan Jin and Yuan Yu and Jia{-}Tong Xu and Yue{-}Ming Li and Xiao{-}Xuan Cai and Zhen{-}Yu Zhou and Xiao{-}Hang Chen and Yuan{-}Yuan Pei and Liang Hu and Jin{-}Jiang Su and Shi{-}Dong Cui and Fei Wang and Yue{-}Yang Xie and Si{-}Yuan Ding and Meng{-}Fan Luo and Chih{-}Hung Chou and Nai{-}Wen Chang and Kai{-}Wen Chen and Yu{-}Hsiang Cheng and Xin{-}Hong Wan and Wen{-}Lian Hsu and Tzong{-}Yi Lee and Feng{-}Xiang Wei and Hsien{-}Da Huang}, title = {miRTarBase 2020: updates to the experimentally validated microRNA?target interaction database}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D148--D154}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz896}, doi = {10.1093/NAR/GKZ896}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLLHSHTCJYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenHYTLLHHT20, author = {Chang{-}Le Chen and Yung{-}Chin Hsu and Li{-}Ying Yang and Yu{-}Hung Tung and Wen{-}Bin Luo and Chih{-}Min Liu and Tzung{-}Jeng Hwang and Hai{-}Gwo Hwu and Wen{-}Yih Isaac Tseng}, title = {Generalization of diffusion magnetic resonance imaging-based brain age prediction model through transfer learning}, journal = {NeuroImage}, volume = {217}, pages = {116831}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116831}, doi = {10.1016/J.NEUROIMAGE.2020.116831}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenHYTLLHHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LinCCHLCY20, author = {Tang{-}Huang Lin and Kuo{-}En Chang and Hai{-}Po Chan and Ta{-}Chih Hsiao and Neng{-}Huei Lin and Ming{-}Tung Chuang and Hung{-}Yi Yeh}, title = {Potential Approach for Single-Peak Extinction Fitting of Aerosol Profiles Based on In Situ Measurements for the Improvement of Surface {PM2.5} Retrieval from Satellite {AOD} Product}, journal = {Remote. Sens.}, volume = {12}, number = {13}, pages = {2174}, year = {2020}, url = {https://doi.org/10.3390/rs12132174}, doi = {10.3390/RS12132174}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LinCCHLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinHFL20, author = {Ming{-}Chang Lin and Po{-}Yu Han and Yi{-}Hua Fan and Chih{-}Hung G. Li}, title = {Development of Compound Fault Diagnosis System for Gearbox Based on Convolutional Neural Network}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6169}, year = {2020}, url = {https://doi.org/10.3390/s20216169}, doi = {10.3390/S20216169}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinHFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhVJGCTGNSCMLAI20, author = {Minseok Oh and Sergey Velichko and Scott Johnson and Michael Guidash and Hung{-}Chih Chang and Daniel Tekleab and Bob Gravelle and Steve Nicholes and Maheedhar Suryadevara and Dave Collins and Rick Mauritzson and Lin Lin and Shaheen Amanullah and Manuel Innocent}, title = {Automotive 3.0 {\(\mathrm{\mu}\)}m Pixel High Dynamic Range Sensor with {LED} Flicker Mitigation}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1390}, year = {2020}, url = {https://doi.org/10.3390/s20051390}, doi = {10.3390/S20051390}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/OhVJGCTGNSCMLAI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangCLC20, author = {Robert Chen{-}Hao Chang and Wei{-}Chih Chen and Lin Liu and Sheng{-}Hung Cheng}, title = {An {AC-DC} Rectifier With Active and Non-Overlapping Control for Piezoelectric Vibration Energy Harvesting}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {6}, pages = {969--973}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2927167}, doi = {10.1109/TCSII.2019.2927167}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChangCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinDCSCLL20, author = {Chih{-}Lung Lin and Ming{-}Yang Deng and Wen{-}Ching Chiu and Li{-}Wei Shih and Jui{-}Hung Chang and Yu{-}Sheng Lin and Ching{-}En Lee}, title = {A Pre-Bootstrapping Method for Use in Gate Driver Circuits to Improve the Scan Pulse Delay of High-Resolution {TFT-LCD} Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {8}, pages = {7015--7024}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2940000}, doi = {10.1109/TIE.2019.2940000}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LinDCSCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KaoHOSSLC20, author = {Jui{-}Hung Kao and Po{-}Huan Hsiao and Yen{-}Jen Oyang and Wei{-}Zen Sun and Chih{-}Hong Sun and Horng{-}Twu Liaw and Shin{-}Wen Chang}, title = {The study for dispatch decision of medical emergency resources with real-time spatial analysis}, journal = {J. Supercomput.}, volume = {76}, number = {3}, pages = {1604--1627}, year = {2020}, url = {https://doi.org/10.1007/s11227-018-2358-x}, doi = {10.1007/S11227-018-2358-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KaoHOSSLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/KoCD20, author = {Hung{-}Chih Ko and Je{-}Yuan Chang and Jian{-}Jiun Ding}, title = {Deep Priors Inside an Unrolled and Adaptive Deconvolution Model}, booktitle = {Computer Vision - {ACCV} 2020 - 15th Asian Conference on Computer Vision, Kyoto, Japan, November 30 - December 4, 2020, Revised Selected Papers, Part {II}}, pages = {371--388}, year = {2020}, crossref = {DBLP:conf/accv/2020-2}, url = {https://doi.org/10.1007/978-3-030-69532-3\_23}, doi = {10.1007/978-3-030-69532-3\_23}, timestamp = {Sat, 20 Mar 2021 23:21:15 +0100}, biburl = {https://dblp.org/rec/conf/accv/KoCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LiuCDCJDHCCTKJ20, author = {Hung{-}Chih Liu and Hsun{-}Wei Chan and Henry Lopez Davila and Kang{-}Lun Chiu and Chih{-}Wei Jen and Ngoc{-}Giang Doan and Zheng{-}Chun Huang and Hsin{-}Ting Chang and Nien{-}Hsiang Chang and Pei{-}Yun Tsai and Yen{-}Cheng Kuan and Shyh{-}Jye Jou}, title = {A 16/64 {QAM} Baseband SoC for mm-Wave Transceiver with Self-Healing for {FD/FI} {IQ} Mismatch, {LO} Leakage and {CFO/SCO/PNC}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--2}, year = {2020}, crossref = {DBLP:conf/asscc/2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336151}, doi = {10.1109/A-SSCC48613.2020.9336151}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LiuCDCJDHCCTKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ChangWHPTY20, author = {Yu{-}Ting Chang and Qiaosong Wang and Wei{-}Chih Hung and Robinson Piramuthu and Yi{-}Hsuan Tsai and Ming{-}Hsuan Yang}, title = {Mixup-CAM: Weakly-supervised Semantic Segmentation via Uncertainty Regularization}, booktitle = {31st British Machine Vision Conference 2020, {BMVC} 2020, Virtual Event, UK, September 7-10, 2020}, year = {2020}, crossref = {DBLP:conf/bmvc/2020}, url = {https://www.bmvc2020-conference.com/assets/papers/0367.pdf}, timestamp = {Wed, 03 Feb 2021 08:35:02 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/ChangWHPTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/YinLWCLCB20, author = {Ping{-}Hung Yin and Chih{-}Wen Lu and Jia{-}Shyang Wang and Keng{-}Li Chang and Fu{-}Kuo Lin and Chia{-}Jung Chang and Gen{-}Chiuan Bai}, title = {A 368 {\texttimes} 184 Optical Under-Display Fingerprint Sensor With Global Shutter and High-Dynamic-Range Operation}, booktitle = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, pages = {1--4}, year = {2020}, crossref = {DBLP:conf/cicc/2020}, url = {https://doi.org/10.1109/CICC48029.2020.9075898}, doi = {10.1109/CICC48029.2020.9075898}, timestamp = {Mon, 04 May 2020 10:04:38 +0200}, biburl = {https://dblp.org/rec/conf/cicc/YinLWCLCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChangWHPT020, author = {Yu{-}Ting Chang and Qiaosong Wang and Wei{-}Chih Hung and Robinson Piramuthu and Yi{-}Hsuan Tsai and Ming{-}Hsuan Yang}, title = {Weakly-Supervised Semantic Segmentation via Sub-Category Exploration}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {8988--8997}, year = {2020}, crossref = {DBLP:conf/cvpr/2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Chang\_Weakly-Supervised\_Semantic\_Segmentation\_via\_Sub-Category\_Exploration\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00901}, timestamp = {Tue, 31 Aug 2021 14:00:04 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChangWHPT020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuHCC20, author = {Xiang{-}Xiu Wu and Yi{-}Wen Hung and Yung{-}Chih Chen and Shih{-}Chieh Chang}, title = {Accuracy Tolerant Neural Networks Under Aggressive Power Optimization}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {774--779}, year = {2020}, crossref = {DBLP:conf/date/2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116475}, doi = {10.23919/DATE48585.2020.9116475}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/WuHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccmb/ChenJLHCH20, author = {Yen{-}Hung Chen and Pi{-}Tzong Jan and Ching{-}Neng Lai and ChunWei Huang and Chih{-}Han Chang and Yo{-}Cih Huang}, title = {Detecting Linking Flooding Attacks using Deep Convolution Network}, booktitle = {{ICCMB} 2020: The 3rd International Conference on Computers in Management and Business, Tokyo, Japan, January 31 - February 2, 2020}, pages = {70--74}, year = {2020}, crossref = {DBLP:conf/iccmb/2020}, url = {https://doi.org/10.1145/3383845.3383871}, doi = {10.1145/3383845.3383871}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccmb/ChenJLHCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LaiJHC20, author = {Wen{-}Cheng Lai and Sheng{-}Lyang Jang and Chih{-}Ting Hung and Li{-}Shin Chang}, title = {Divide-by-5 Injection-Locked Frequency Divider Using Assisted Low-modulus Injection Technique}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, year = {2020}, crossref = {DBLP:conf/icecsys/2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294839}, doi = {10.1109/ICECS49266.2020.9294839}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/LaiJHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/TsaiYLWCLLCLCWK20, author = {Chia{-}Chi Tsai and Yong{-}Hsiang Yang and Hung{-}Wei Lin and Bo{-}Xun Wu and En{-}Chih Chang and Hung Yu Liu and Jhih{-}Sheng Lai and Po Yuan Chen and Jia{-}Jheng Lin and Jen Shuo Chang and Li{-}Jen Wang and Ted T. Kuo and Jenq{-}Neng Hwang and Jiun{-}In Guo}, title = {The 2020 Embedded Deep Learning Object Detection Model Compression Competition for Traffic in Asian Countries}, booktitle = {2020 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2020, London, UK, July 6-10, 2020}, pages = {1--6}, year = {2020}, crossref = {DBLP:conf/icmcs/2020w}, url = {https://doi.org/10.1109/ICMEW46912.2020.9106010}, doi = {10.1109/ICMEW46912.2020.9106010}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/TsaiYLWCLLCLCWK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LinCC20, author = {Chun{-}Yu Lin and Chih{-}Ming Chen and Chih{-}Hung Chang}, title = {An Image Retrieval System Based on Automatic Image Annotation to Facilitate Digital Humanities Research}, booktitle = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, pages = {80--83}, year = {2020}, crossref = {DBLP:conf/iiaiaai/2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020.00026}, doi = {10.1109/IIAI-AAI50415.2020.00026}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/LinCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TzangCHT20, author = {Shyh{-}Weir Tzang and Chun{-}Ping Chang and Chih{-}Hsing Hung and Yung{-}Shun Tsai}, title = {Black-Litterman Model and Momentum Strategy: Evidence of Taiwan Top 50 {ETF}}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, pages = {490--497}, year = {2020}, crossref = {DBLP:conf/imis/2020}, url = {https://doi.org/10.1007/978-3-030-50399-4\_47}, doi = {10.1007/978-3-030-50399-4\_47}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/TzangCHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCLC20, author = {Robert Chen{-}Hao Chang and Wei{-}Chih Chen and Lin Liu and Sheng{-}Hung Cheng}, title = {An {AC} - {DC} Rectifier with Active and Non-Overlapping Control for Piezoelectric Vibration Energy Harvesting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1}, year = {2020}, crossref = {DBLP:conf/iscas/2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180421}, doi = {10.1109/ISCAS45731.2020.9180421}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihSLCLLCLSSCC20, author = {Yu{-}Der Chih and Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Chieh{-}Pu Lo and Meng{-}Chun Shih and Kuei{-}Hung Shen and Harry Chuang and Tsung{-}Yung Jonathan Chang}, title = {13.3 {A} 22nm 32Mb Embedded {STT-MRAM} with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150{\textdegree}C and High Immunity to Magnetic Field Interference}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {222--224}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062955}, doi = {10.1109/ISSCC19947.2020.9062955}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihSLCLLCLSSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLCWTCWLCCWGHC20, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Hung Tsai and Ying{-}Jui Chen and Tung{-}Hsing Wu and Hue{-}Min Lin and Han{-}Liang Chou and Abrams Chen and Andy{-}HB Wang and W. C. Gu and Wayne Hsieh and Jing{-}Ying Chang and Shou{-}Chun Liao and Chen{-}Tsai Ho and Larry Chu and Sokonisa Wei and Chi{-}Hui Wang and Kevin Jou}, title = {21.3 {A} 5.69mm\({}^{\mbox{2}}\) 0.98nJ/Pixel Image-Processing SoC with 24b High-Dynamic-Range and Multiple Sensor Format Support for Automotive Applications}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {326--328}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063063}, doi = {10.1109/ISSCC19947.2020.9063063}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLCWTCWLCCWGHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCTHKWTHLLWKC20, author = {Chien{-}Hung Lin and Chih{-}Chung Cheng and Yi{-}Min Tsai and Sheng{-}Je Hung and Yu{-}Ting Kuo and Perry H. Wang and Pei{-}Kuei Tsung and Jeng{-}Yun Hsu and Wei{-}Chih Lai and Chia{-}Hung Liu and Shao{-}Yu Wang and Chin{-}Hua Kuo and Chih{-}Yu Chang and Ming{-}Hsien Lee and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {7.1 {A} 3.4-to-13.3TOPS/W 3.6TOPS Dual-Core Deep-Learning Accelerator for Versatile {AI} Applications in 7nm 5G Smartphone SoC}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {134--136}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063111}, doi = {10.1109/ISSCC19947.2020.9063111}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCTHKWTHLLWKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShuCLWHCHHLZC20, author = {Yun{-}Shiang Shu and Zhi{-}Xin Chen and Yu{-}Hong Lin and Su{-}Hao Wu and Wei{-}Hsiang Huang and Albert Yen{-}Chih Chiou and Chang{-}Yang Huang and Hung{-}Yi Hsieh and Fan{-}Wei Liao and Teng{-}Feng Zou and Ping Chen}, title = {26.1 {A} 4.5mm\({}^{\mbox{2}}\) Multimodal Biosensing SoC for PPG, ECG, {BIOZ} and {GSR} Acquisition in Consumer Wearable Devices}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {400--402}, year = {2020}, crossref = {DBLP:conf/isscc/2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063112}, doi = {10.1109/ISSCC19947.2020.9063112}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShuCLWHCHHLZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LinLTCCC20, author = {Yu{-}Sheng Lin and Hung Chang Lu and Yang{-}Bin Tsao and Yi{-}Min Chih and Wei{-}Chao Chen and Shao{-}Yi Chien}, title = {GrateTile: Efficient Sparse Tensor Tiling for {CNN} Processing}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2020, Coimbra, Portugal, October 20-22, 2020}, pages = {1--6}, year = {2020}, crossref = {DBLP:conf/sips/2020}, url = {https://doi.org/10.1109/SiPS50750.2020.9195243}, doi = {10.1109/SIPS50750.2020.9195243}, timestamp = {Tue, 03 Nov 2020 16:23:57 +0100}, biburl = {https://dblp.org/rec/conf/sips/LinLTCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChouCTLLKSCHL020, author = {Mao{-}Hsuan Chou and Ya{-}Tin Chang and Tsung{-}Hsien Tsai and Tsung{-}Che Lu and Chia{-}Chun Liao and Hung{-}Yi Kuo and Ruey{-}Bin Sheen and Chih{-}Hsien Chang and Kenny C.{-}H. Hsieh and Alvin Leng Sun Loke and Mark Chen}, title = {Embedded {PLL} Phase Noise Measurement Based on a {PFD/CP} {MASH} 1-1-1 {\(\Delta\)}{\(\Sigma\)} Time-to-Digital Converter in 7nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, year = {2020}, crossref = {DBLP:conf/vlsic/2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162789}, doi = {10.1109/VLSICIRCUITS18222.2020.9162789}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChouCTLLKSCHL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/HongCQHT020, author = {Weixiang Hong and Yu{-}Ting Chang and Haifang Qin and Wei{-}Chih Hung and Yi{-}Hsuan Tsai and Ming{-}Hsuan Yang}, title = {Image Hashing via Linear Discriminant Learning}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2020, Snowmass Village, CO, USA, March 1-5, 2020}, pages = {2520--2528}, year = {2020}, crossref = {DBLP:conf/wacv/2020}, url = {https://doi.org/10.1109/WACV45572.2020.9093487}, doi = {10.1109/WACV45572.2020.9093487}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/HongCQHT020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-07196, author = {Hung{-}yi Lee and Cheng{-}Hao Ho and Chien{-}Fu Lin and Chiung{-}Chih Chang and Chih{-}Wei Lee and Yau{-}Shian Wang and Tsung{-}Yuan Hsu and Kuan{-}Yu Chen}, title = {Investigation of Sentiment Controllable Chatbot}, journal = {CoRR}, volume = {abs/2007.07196}, year = {2020}, url = {https://arxiv.org/abs/2007.07196}, eprinttype = {arXiv}, eprint = {2007.07196}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-07196.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-01183, author = {Yu{-}Ting Chang and Qiaosong Wang and Wei{-}Chih Hung and Robinson Piramuthu and Yi{-}Hsuan Tsai and Ming{-}Hsuan Yang}, title = {Weakly-Supervised Semantic Segmentation via Sub-category Exploration}, journal = {CoRR}, volume = {abs/2008.01183}, year = {2020}, url = {https://arxiv.org/abs/2008.01183}, eprinttype = {arXiv}, eprint = {2008.01183}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-01183.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-01201, author = {Yu{-}Ting Chang and Qiaosong Wang and Wei{-}Chih Hung and Robinson Piramuthu and Yi{-}Hsuan Tsai and Ming{-}Hsuan Yang}, title = {Mixup-CAM: Weakly-supervised Semantic Segmentation via Uncertainty Regularization}, journal = {CoRR}, volume = {abs/2008.01201}, year = {2020}, url = {https://arxiv.org/abs/2008.01201}, eprinttype = {arXiv}, eprint = {2008.01201}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-01201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-05090, author = {Wenqing Chu and Wei{-}Chih Hung and Yi{-}Hsuan Tsai and Yu{-}Ting Chang and Yijun Li and Deng Cai and Ming{-}Hsuan Yang}, title = {Learning to Caricature via Semantic Shape Transform}, journal = {CoRR}, volume = {abs/2008.05090}, year = {2020}, url = {https://arxiv.org/abs/2008.05090}, eprinttype = {arXiv}, eprint = {2008.05090}, timestamp = {Wed, 13 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-05090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-08685, author = {Yu{-}Sheng Lin and Hung Chang Lu and Yang{-}Bin Tsao and Yi{-}Min Chih and Wei{-}Chao Chen and Shao{-}Yi Chien}, title = {GrateTile: Efficient Sparse Tensor Tiling for {CNN} Processing}, journal = {CoRR}, volume = {abs/2009.08685}, year = {2020}, url = {https://arxiv.org/abs/2009.08685}, eprinttype = {arXiv}, eprint = {2009.08685}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-08685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangGHL19, author = {Chih{-}Yuan Chang and Sy{-}Jye Gou and San{-}Shan Hung and Yi{-}Ting Lin}, title = {Performance Analysis of Indoor Smart Environmental Control Factors: Using Temperature to Control the Rate of Formaldehyde Emission}, journal = {{IEEE} Access}, volume = {7}, pages = {163749--163756}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950142}, doi = {10.1109/ACCESS.2019.2950142}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChangGHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLCLLKL19, author = {Fu{-}Hsing Chen and Chia{-}Lun Lee and Jui{-}Hung Chang and Wei{-}Sheng Liao and Chieh{-}An Lin and Chia{-}Wei Kuo and Chih{-}Lung Lin}, title = {Long-Term Behavior of Hydrogenated Amorphous Silicon Thin-Film Transistors Covered With Color Filters for Use in Optical Sensors}, journal = {{IEEE} Access}, volume = {7}, pages = {116172--116178}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936405}, doi = {10.1109/ACCESS.2019.2936405}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLCLLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenYPCL19, author = {Syuan{-}Yi Chen and Bochen Yang and Tse{-}An Pu and Chih{-}Hung Chang and Ruei{-}Cing Lin}, title = {Active Current Sharing of a Parallel {DC-DC} Converters System Using Bat Algorithm Optimized Two-DOF {PID} Control}, journal = {{IEEE} Access}, volume = {7}, pages = {84757--84769}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2925064}, doi = {10.1109/ACCESS.2019.2925064}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenYPCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ans/LeeCZL19, author = {Duan{-}Shin Lee and Cheng{-}Shang Chang and Miao Zhu and Hung{-}Chih Li}, title = {A generalized configuration model with degree correlations and its percolation analysis}, journal = {Appl. Netw. Sci.}, volume = {4}, number = {1}, pages = {124}, year = {2019}, url = {https://doi.org/10.1007/s41109-019-0240-2}, doi = {10.1007/S41109-019-0240-2}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ans/LeeCZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChenLHLCTCL19, author = {Chia{-}Hung Chen and Yan{-}Wei Lee and Yao{-}Sian Huang and Wei{-}Ren Lan and Ruey{-}Feng Chang and Chih{-}Yen Tu and Chih{-}Yu Chen and Wei{-}Chih Liao}, title = {Computer-aided diagnosis of endobronchial ultrasound images using convolutional neural network}, journal = {Comput. Methods Programs Biomed.}, volume = {177}, pages = {175--182}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.05.020}, doi = {10.1016/J.CMPB.2019.05.020}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChenLHLCTCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/ChangLHBJCSYSB19, author = {Chi{-}Hung Chang and Hyongki Lee and Faisal Hossain and Senaka Basnayake and Susantha Jayasinghe and Farrukh Chishtie and David Saah and Hanwen Yu and Khem Sothea and Duong Du Bui}, title = {A model-aided satellite-altimetry-based flood forecasting system for the Mekong River}, journal = {Environ. Model. Softw.}, volume = {112}, pages = {112--127}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2018.11.017}, doi = {10.1016/J.ENVSOFT.2018.11.017}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/ChangLHBJCSYSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LinLSLLCWLJ19, author = {Jian{-}Liang Lin and Ya{-}Hsuan Lee and Cheng{-}Hsuan Shih and Sheng{-}Yen Lin and Hung{-}Chih Lin and Shen{-}Kai Chang and Peng Wang and Lin Liu and Chi{-}Cheng Ju}, title = {Efficient Projection and Coding Tools for 360{\textdegree} Video}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {1}, pages = {84--97}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2899660}, doi = {10.1109/JETCAS.2019.2899660}, timestamp = {Thu, 16 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LinLSLLCWLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/HungLWCY19, author = {Shih{-}Hsuan Hung and Yu{-}Chi Lai and Shih{-}Chang Wong and Chia{-}Hsing Chiu and Chih{-}Yuan Yao}, title = {Arbitrary Screen-Aware Manga Reading Framework with Parameter-Optimized Panel Extraction}, journal = {{IEEE} Multim.}, volume = {26}, number = {2}, pages = {55--65}, year = {2019}, url = {https://doi.org/10.1109/MMUL.2018.2875859}, doi = {10.1109/MMUL.2018.2875859}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeemm/HungLWCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangCLHLL19, author = {Chen{-}Lin Chang and Chia{-}Hung Chang and Da{-}Huei Li and Wei{-}Wen Hu and Yi{-}Lun Lo and Chih{-}Peng Li}, title = {An impulse radio duty-cycled radar with ultra-wideband {VCO} using frequency hopping technique}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {13}, pages = {20190318}, year = {2019}, url = {https://doi.org/10.1587/elex.16.20190318}, doi = {10.1587/ELEX.16.20190318}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangCLHLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/ChangKC19, author = {Yung{-}Chun Chang and Chih Hao Ku and Chun{-}Hung Chen}, title = {Social media analytics: Extracting and visualizing Hilton hotel ratings and reviews from TripAdvisor}, journal = {Int. J. Inf. Manag.}, volume = {48}, pages = {263--279}, year = {2019}, url = {https://doi.org/10.1016/j.ijinfomgt.2017.11.001}, doi = {10.1016/J.IJINFOMGT.2017.11.001}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/ChangKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jche/TsaiCHYCY19, author = {Hung{-}Hsu Tsai and Chih{-}Tsan Chang and Xin{-}Yu Hou and You{-}Ming Yong and Kuo{-}Ching Chiou and Pao{-}Ta Yu}, title = {Interactive student response system with iBeacon and web-socket for flipped classroom learning}, journal = {J. Comput. High. Educ.}, volume = {31}, number = {2}, pages = {340--361}, year = {2019}, url = {https://doi.org/10.1007/s12528-019-09226-x}, doi = {10.1007/S12528-019-09226-X}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jche/TsaiCHYCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChangJYC19, author = {Chih{-}Hung Chang and Fuu{-}Cheng Jiang and Chao{-}Tung Yang and Sheng{-}Cang Chou}, title = {On construction of a big data warehouse accessing platform for campus power usages}, journal = {J. Parallel Distributed Comput.}, volume = {133}, pages = {40--50}, year = {2019}, url = {https://doi.org/10.1016/j.jpdc.2019.05.011}, doi = {10.1016/J.JPDC.2019.05.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ChangJYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShihLCLLCLYYCCC19, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40-nm 16-Mb, Embedded Perpendicular-MRAM With Hybrid-Resistance Reference, Sub- {\textdollar}{\textbackslash}mu{\textdollar} {A} Sensing Resolution, and 17.5-nS Read Access Time}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {4}, pages = {1029--1038}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2889106}, doi = {10.1109/JSSC.2018.2889106}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShihLCLLCLYYCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimLCBJBCH19, author = {Donghwan Kim and Hyongki Lee and Chi{-}Hung Chang and Duong Du Bui and Susantha Jayasinghe and Senaka Basnayake and Farrukh Chishtie and Euiho Hwang}, title = {Daily River Discharge Estimation Using Multi-Mission Radar Altimetry Data and Ensemble Learning Regression in the Lower Mekong River Basin}, journal = {Remote. Sens.}, volume = {11}, number = {22}, pages = {2684}, year = {2019}, url = {https://doi.org/10.3390/rs11222684}, doi = {10.3390/RS11222684}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimLCBJBCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangMNCCLH19, author = {Wei{-}Ling Chang and Chinchun Meng and Jung{-}Hung Ni and Kai{-}Chun Chang and Chih{-}Kai Chang and Po{-}Yi Lee and Yen{-}Lin Huang}, title = {Analytical Noise Optimization of Single-/Dual-Band {MOS} LNAs With Substrate and Metal Loss Effects of Inductors}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {7}, pages = {2454--2467}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2892065}, doi = {10.1109/TCSI.2019.2892065}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChangMNCCLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ChangCKC19, author = {Min{-}Kuan Chang and Feng{-}Tsun Chien and Chih{-}Hung Kuo and Yen{-}Chang Chen}, title = {On the Accumulated Loopback Self-Interference of Two-Way Full-Duplex {AF} Relaying Systems}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {5}, pages = {3167--3181}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2019.2899872}, doi = {10.1109/TCOMM.2019.2899872}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ChangCKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChenLHLSL19, author = {Yung{-}Fu Chen and Chih{-}Sheng Lin and Chun{-}Fu Hong and Dah{-}Jye Lee and Changming Sun and Hsuan{-}Hung Lin}, title = {Design of a Clinical Decision Support System for Predicting Erectile Dysfunction in Men Using {NHIRD} Dataset}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {23}, number = {5}, pages = {2127--2137}, year = {2019}, url = {https://doi.org/10.1109/JBHI.2018.2877595}, doi = {10.1109/JBHI.2018.2877595}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ChenLHLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChiuCLWDLHLLCJC19, author = {Kang{-}Lun Chiu and Hsun{-}Wei Chan and Wei{-}Che Lee and Chang{-}Ting Wu and Henry Lopez Davila and Hung{-}Chih Liu and Meng{-}Yuan Huang and Chun{-}Yi Liu and Tsai{-}Hua Lee and Hsin{-}Ting Chang and Chih{-}Wei Jen and Nien{-}Hsiang Chang and Pei{-}Yun Tsai and Yen{-}Cheng Kuan and Shyh{-}Jye Jou}, title = {A Millimeter Wave Digital {CMOS} Baseband Transceiver for Wireless {LAN} Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {275--278}, year = {2019}, crossref = {DBLP:conf/asscc/2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056920}, doi = {10.1109/A-SSCC47793.2019.9056920}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChiuCLWDLHLLCJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LiuTSCLHCJ19, author = {Tsu{-}Ming Liu and Chang{-}Hung Tsai and Shawn Shih and Chih{-}Kai Chang and Jia{-}Ying Lin and Wayne Hsieh and Yung{-}Chang Chang and Chi{-}Cheng Ju}, title = {A 0.7mm\({}^{\mbox{2}}\) 8.54mW FocusNet Display {LSI} for Power Reduction on {OLED} Smart-phones}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {53--56}, year = {2019}, crossref = {DBLP:conf/asscc/2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056910}, doi = {10.1109/A-SSCC47793.2019.9056910}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LiuTSCLHCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangHT19, author = {Chih{-}Hung Chang and Che{-}Lun Hung and Chuan Yi Tang}, title = {A Review of Deep Learning in Computer-Aided Drug Design}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1856--1861}, year = {2019}, crossref = {DBLP:conf/bibm/2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8982968}, doi = {10.1109/BIBM47256.2019.8982968}, timestamp = {Fri, 09 Apr 2021 17:11:15 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/ChangLH19, author = {Yu{-}Ming Chang and Chih{-}Hung G. Li and Yi{-}Feng Hong}, title = {Real-Time Object Coordinate Detection and Manipulator Control Using Rigidly Trained Convolutional Neural Networks}, booktitle = {15th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2019, Vancouver, BC, Canada, August 22-26, 2019}, pages = {1347--1352}, year = {2019}, crossref = {DBLP:conf/case/2019}, url = {https://doi.org/10.1109/COASE.2019.8842973}, doi = {10.1109/COASE.2019.8842973}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/ChangLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HongCL19, author = {Yi{-}Feng Hong and Yu{-}Ming Chang and Chih{-}Hung G. Li}, title = {Real-time Visual-Based Localization for Mobile Robot Using Structured-View Deep Learning}, booktitle = {15th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2019, Vancouver, BC, Canada, August 22-26, 2019}, pages = {1353--1358}, year = {2019}, crossref = {DBLP:conf/case/2019}, url = {https://doi.org/10.1109/COASE.2019.8842974}, doi = {10.1109/COASE.2019.8842974}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/HongCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangWLLPLWSSCH19, author = {Chih{-}Cheng Chang and Ming{-}Hung Wu and Jia{-}Wei Lin and Chun{-}Hsien Li and Vivek Parmar and Heng{-}Yuan Lee and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Manan Suri and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {{NV-BNN:} An Accurate Deep Convolutional Neural Network Based on Binary {STT-MRAM} for Adaptive {AI} Edge}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {30}, year = {2019}, crossref = {DBLP:conf/dac/2019}, url = {https://doi.org/10.1145/3316781.3317872}, doi = {10.1145/3316781.3317872}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChangWLLPLWSSCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinSHNTH19, author = {Ting{-}You Lin and Chauchin Su and Chung{-}Chih Hung and Karuna Nidhi and Chily Tu and Shao{-}Chang Huang}, title = {Package and Chip Accelerated Aging Methods for Power {MOSFET} Reliability Evaluation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1661--1666}, year = {2019}, crossref = {DBLP:conf/date/2019}, url = {https://doi.org/10.23919/DATE.2019.8714895}, doi = {10.23919/DATE.2019.8714895}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinSHNTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TsaiCLCHKY19, author = {Tsai{-}Hsuan Tsai and Hsien{-}Tsung Chang and Shin{-}Da Liao and Hui{-}Fang Chiu and Ko{-}Chun Hung and Chun{-}Yi Kuo and Chih{-}Wei Yang}, title = {Employing a Voice-Based Emotion-Recognition Function in a Social Chatbot to Foster Social and Emotional Learning Among Preschoolers}, booktitle = {{HCI} International 2019 - Late Breaking Papers - 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings}, pages = {341--356}, year = {2019}, crossref = {DBLP:conf/hci/2019lbp}, url = {https://doi.org/10.1007/978-3-030-30033-3\_27}, doi = {10.1007/978-3-030-30033-3\_27}, timestamp = {Mon, 02 Sep 2019 15:24:04 +0200}, biburl = {https://dblp.org/rec/conf/hci/TsaiCLCHKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/KuCWCH19, author = {Chih Hao Ku and Yung{-}Chun Chang and Yichuan Wang and Chien{-}Hung Chen and Shih{-}Hui Hsiao}, title = {Artificial Intelligence and Visual Analytics: {A} Deep-Learning Approach to Analyze Hotel Reviews {\&} Responses}, booktitle = {52nd Hawaii International Conference on System Sciences, {HICSS} 2019, Grand Wailea, Maui, Hawaii, USA, January 8-11, 2019}, pages = {1--10}, year = {2019}, crossref = {DBLP:conf/hicss/2019}, url = {https://hdl.handle.net/10125/59963}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/KuCWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeLTCJCH19, author = {Chang{-}Chi Lee and Yu{-}Sung Liu and Chun{-}Hsiang Tseng and Jeng{-}Yue Chen and Fuh{-}Shyang Juang and Yung{-}Ying Chang and Hung{-}Chih Hsu}, title = {Pesticide Residue Testing System for Fruits and Vegetables by Color Identification Technology}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, year = {2019}, crossref = {DBLP:conf/icce-tw/2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991943}, doi = {10.1109/ICCE-TW46550.2019.8991943}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeLTCJCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/ChangCCYHH19, author = {Yong{-}Nong Chang and Hung{-}Liang Cheng and Chien{-}Hsuan Chang and Hau{-}Chen Yen and Chih{-}Chiang Hua and Wei{-}Di Huang}, title = {Design and Implementation of Interleaved Boost Converters Featuring {ZVS}}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, pages = {423--428}, year = {2019}, crossref = {DBLP:conf/icit2/2019}, url = {https://doi.org/10.1109/ICIT.2019.8755232}, doi = {10.1109/ICIT.2019.8755232}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/ChangCCYHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/GongSCTLCH19, author = {Cihun{-}Siyong Alex Gong and Chih{-}Hui Simon Su and Yu{-}Chieh Chuang and Kuei{-}Hung Tseng and Tien{-}Hua Li and Chih{-}Hsiung Chang and Lung{-}Hsien Huang}, title = {Feature extraction of rotating apparatus using acoustic sensing technology}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {254--256}, year = {2019}, crossref = {DBLP:conf/icufn/2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806033}, doi = {10.1109/ICUFN.2019.8806033}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/GongSCTLCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LinCCLC19, author = {Yao{-}San Lin and Wan{-}Ni Cheng and Chien{-}Chih Chen and Der{-}Chiang Li and Hung{-}Yu Chen}, title = {Generating Synthetic Samples to Improve Small Sample Learning with Mixed Numerical and Categorical Attributes}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {567--572}, year = {2019}, crossref = {DBLP:conf/iiaiaai/2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00121}, doi = {10.1109/IIAI-AAI.2019.00121}, timestamp = {Tue, 25 Feb 2020 17:16:42 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/LinCCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangLCLC19, author = {Yu{-}Hsiang Chuang and Chang{-}Tzu Lin and Hung{-}Ming Chen and Chi{-}Han Lee and Ting{-}Sheng Chen}, title = {More Effective Power Network Prototyping by Analytical and Centroid Learning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, year = {2019}, crossref = {DBLP:conf/iscas/2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702701}, doi = {10.1109/ISCAS.2019.8702701}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangLCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/FathoniYCH19, author = {Halim Fathoni and Chao{-}Tung Yang and Chih{-}Hung Chang and Chin{-}Yin Huang}, title = {Performance Comparison of Lightweight Kubernetes in Edge Devices}, booktitle = {Pervasive Systems, Algorithms and Networks - 16th International Symposium, {I-SPAN} 2019, Naples, Italy, September 16-20, 2019, Proceedings}, pages = {304--309}, year = {2019}, crossref = {DBLP:conf/ispan/2019}, url = {https://doi.org/10.1007/978-3-030-30143-9\_25}, doi = {10.1007/978-3-030-30143-9\_25}, timestamp = {Wed, 17 Aug 2022 11:16:50 +0200}, biburl = {https://dblp.org/rec/conf/ispan/FathoniYCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraLPLHLLC19, author = {Hidehiro Fujiwara and Chih{-}Yu Lin and Hsien{-}Yu Pan and Cheng{-}Han Lin and Po{-}Yi Huang and Kao{-}Cheng Lin and Jhon{-}Jhy Liaw and Yen{-}Huei Chen and Hung{-}Jen Liao and Jonathan Chang}, title = {A 7nm 2.1GHz Dual-Port {SRAM} with {WL-RC} Optimization and Dummy-Read-Recovery Circuitry to Mitigate Read- Disturb-Write Issue}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {390--392}, year = {2019}, crossref = {DBLP:conf/isscc/2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662415}, doi = {10.1109/ISSCC.2019.8662415}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraLPLHLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ltec/NurhayatiMCN19, author = {Nurhayati and Dina Anika Marhayani and Chih{-}Hung Chang and Marja Naaranoja}, title = {Math Anxiety Analysis in Indonesian Elementary School}, booktitle = {Learning Technology for Education Challenges - 8th International Workshop, {LTEC} 2019, Zamora, Spain, July 15-18, 2019, Proceedings}, pages = {292--301}, year = {2019}, crossref = {DBLP:conf/ltec/2019}, url = {https://doi.org/10.1007/978-3-030-20798-4\_25}, doi = {10.1007/978-3-030-20798-4\_25}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ltec/NurhayatiMCN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/ChenCCNK19, author = {Zi{-}Yuan Chen and Chih{-}Hung Chang and Yi{-}Pei Chen and Jijnasa Nayak and Lun{-}Wei Ku}, title = {UHop: An Unrestricted-Hop Relation Extraction Framework for Knowledge-Based Question Answering}, booktitle = {Proceedings of the 2019 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2019, Minneapolis, MN, USA, June 2-7, 2019, Volume 1 (Long and Short Papers)}, pages = {345--356}, year = {2019}, crossref = {DBLP:conf/naacl/2019-1}, url = {https://doi.org/10.18653/v1/n19-1031}, doi = {10.18653/V1/N19-1031}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/ChenCCNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HuangLC19, author = {Yi{-}Hao Huang and Chih{-}Hung G. Li and Yu{-}Ming Chang}, title = {Illumination-Robust Object Coordinate Detection by Adopting Pix2Pix {GAN} for Training Image Generation}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--6}, year = {2019}, crossref = {DBLP:conf/taai/2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959837}, doi = {10.1109/TAAI48200.2019.8959837}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/HuangLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsungCLCH19, author = {Pei{-}Kuei Tsung and Tung{-}Chien Chen and Chien{-}Hung Lin and Chih{-}Yu Chang and Jih{-}Ming Hsu}, title = {Heterogeneous Computing for Edge {AI}}, booktitle = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2019, Hsinchu, Taiwan, April 22-25, 2019}, pages = {1--2}, year = {2019}, crossref = {DBLP:conf/vlsi-dat/2019}, url = {https://doi.org/10.1109/VLSI-DAT.2019.8741613}, doi = {10.1109/VLSI-DAT.2019.8741613}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsungCLCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-01246, author = {Zi{-}Yuan Chen and Chih{-}Hung Chang and Yi{-}Pei Chen and Jijnasa Nayak and Lun{-}Wei Ku}, title = {UHop: An Unrestricted-Hop Relation Extraction Framework for Knowledge-Based Question Answering}, journal = {CoRR}, volume = {abs/1904.01246}, year = {2019}, url = {http://arxiv.org/abs/1904.01246}, eprinttype = {arXiv}, eprint = {1904.01246}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-01246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-03448, author = {Duan{-}Shin Lee and Cheng{-}Shang Chang and Miao Zhu and Hung{-}Chih Li}, title = {A Generalized Configuration Model with Degree Correlations and Its Percolation Analysis}, journal = {CoRR}, volume = {abs/1909.03448}, year = {2019}, url = {http://arxiv.org/abs/1909.03448}, eprinttype = {arXiv}, eprint = {1909.03448}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-03448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/ChouHHHHLCLHLCH18, author = {Chih{-}Hung Chou and Hsi{-}Yuan Huang and Wei{-}Chih Huang and Sheng{-}Da Hsu and Chung{-}Der Hsiao and Chia{-}Yu Liu and Yu{-}Hung Chen and Yu{-}Chen Liu and Wei{-}Yun Huang and Meng{-}Lin Lee and Yi{-}Chang Chen and Hsien{-}Da Huang}, title = {The aquatic animals' transcriptome resource for comparative functional analysis}, journal = {{BMC} Genom.}, volume = {19}, number = {{S2}}, year = {2018}, url = {https://doi.org/10.1186/s12864-018-4463-x}, doi = {10.1186/S12864-018-4463-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/ChouHHHHLCLHLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChenCYW18, author = {Sherry Y. Chen and Chih{-}Hung Chang and Tzu{-}Chi Yang and Jen{-}Hang Wang}, title = {An investigation of the development of an animated E-book: {A} gender difference approach}, journal = {Comput. Hum. Behav.}, volume = {88}, pages = {28--36}, year = {2018}, url = {https://doi.org/10.1016/j.chb.2018.06.018}, doi = {10.1016/J.CHB.2018.06.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/ChenCYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/LinHHCHSCC18, author = {Shang{-}Chih Lin and Chang{-}Hung Huang and Chi{-}Pin Hsu and Shih{-}Sheng Chang and Pang{-}Hsin Hsieh and Hsin{-}Nung Shih and Yu{-}Han Chang and Yi{-}Sheng Chan}, title = {Pose-induced effects of femur and tibia on accuracy of model-based radiostereometric analysis}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {6}, number = {5}, pages = {556--563}, year = {2018}, url = {https://doi.org/10.1080/21681163.2017.1278620}, doi = {10.1080/21681163.2017.1278620}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/LinHHCHSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/LiLCCL18, author = {Der{-}Chiang Li and Wu{-}Kuo Lin and Chien{-}Chih Chen and Hung{-}Yu Chen and Liang{-}Sian Lin}, title = {Rebuilding sample distributions for small dataset learning}, journal = {Decis. Support Syst.}, volume = {105}, pages = {66--76}, year = {2018}, url = {https://doi.org/10.1016/j.dss.2017.10.013}, doi = {10.1016/J.DSS.2017.10.013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dss/LiLCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChangCCWHCTCH18, author = {Chih{-}Cheng Chang and Pin{-}Chun Chen and Teyuh Chou and I{-}Ting Wang and Boris Hudec and Che{-}Chia Chang and Chia{-}Ming Tsai and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {Mitigating Asymmetric Nonlinear Weight Update Effects in Hardware Neural Network Based on Analog Resistive Synapse}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {1}, pages = {116--124}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2017.2771529}, doi = {10.1109/JETCAS.2017.2771529}, timestamp = {Sun, 06 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChangCCWHCTCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChangHLC18, author = {Chih{-}Ming Chang and Min{-}Ling Hung and Eric Jui{-}Lin Lu and Chien Chou}, title = {The Virtues of Taiwanese Internet-Using Adolescents: The Development and Validation of the Cyber Virtues Scale}, journal = {J. Educ. Technol. Soc.}, volume = {21}, number = {1}, pages = {104--111}, year = {2018}, url = {https://www.j-ets.net/ETS/journals/21\_1/10.pdf}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChangHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/ChangCFHH18, author = {Hung{-}Jui Chang and Jr{-}Chang Chen and Gang{-}Yu Fan and Chih{-}Wen Hsueh and Tsan{-}sheng Hsu}, title = {Using Chinese dark chess endgame databases to validate and fine-tune game evaluation functions}, journal = {J. Int. Comput. Games Assoc.}, volume = {40}, number = {2}, pages = {45--60}, year = {2018}, url = {https://doi.org/10.3233/ICG-180048}, doi = {10.3233/ICG-180048}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/ChangCFHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/ChangCHH18, author = {Hung{-}Jui Chang and Jr{-}Chang Chen and Chih{-}Wen Hsueh and Tsan{-}sheng Hsu}, title = {Analysis and efficient solutions for 2 {\texttimes} 4 Chinese dark chess}, journal = {J. Int. Comput. Games Assoc.}, volume = {40}, number = {2}, pages = {61--76}, year = {2018}, url = {https://doi.org/10.3233/ICG-180049}, doi = {10.3233/ICG-180049}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/ChangCHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ol/ChangFS18, author = {Huilan Chang and Hung{-}Lin Fu and Chih{-}Huai Shih}, title = {Learning a hidden uniform hypergraph}, journal = {Optim. Lett.}, volume = {12}, number = {1}, pages = {55--62}, year = {2018}, url = {https://doi.org/10.1007/s11590-017-1131-z}, doi = {10.1007/S11590-017-1131-Z}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ol/ChangFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsengWCLLYT18, author = {Shi{-}Chang Tseng and Tongyu Wu and Jung{-}Chuan Chou and Yi{-}Hung Liao and Chih{-}Hsien Lai and Siao{-}Jie Yan and Ting{-}Wei Tseng}, title = {Investigation of Sensitivities and Drift Effects of the Arrayed Flexible Chloride Sensor Based on RuO\({}_{\mbox{2}}\)/GO at Different Temperatures}, journal = {Sensors}, volume = {18}, number = {2}, pages = {632}, year = {2018}, url = {https://doi.org/10.3390/s18020632}, doi = {10.3390/S18020632}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TsengWCLLYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/HsiehCCYHB18, author = {Sun{-}Yuan Hsieh and Chi{-}Ting Chen and Chi{-}Hao Chen and Tzu{-}Hsiang Yen and Hung{-}Chang Hsiao and Rajkumar Buyya}, title = {Novel Scheduling Algorithms for Efficient Deployment of MapReduce Applications in Heterogeneous Computing Environments}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {6}, number = {4}, pages = {1080--1095}, year = {2018}, url = {https://doi.org/10.1109/TCC.2016.2552518}, doi = {10.1109/TCC.2016.2552518}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/HsiehCCYHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/HwangYH18, author = {Chih{-}Lyang Hwang and Chang{-}Chia Yang and John Y. Hung}, title = {Path Tracking of an Autonomous Ground Vehicle With Different Payloads by Hierarchical Improved Fuzzy Dynamic Sliding-Mode Control}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {26}, number = {2}, pages = {899--914}, year = {2018}, url = {https://doi.org/10.1109/TFUZZ.2017.2698370}, doi = {10.1109/TFUZZ.2017.2698370}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/HwangYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChangCLL18, author = {Robert Chen{-}Hao Chang and Hung{-}Lieh Chen and Chih{-}Hung Lin and Kuang{-}Hao Lin}, title = {Design of a Low-Complexity Real-Time Arrhythmia Detection System}, journal = {J. Signal Process. Syst.}, volume = {90}, number = {1}, pages = {145--156}, year = {2018}, url = {https://doi.org/10.1007/s11265-017-1221-2}, doi = {10.1007/S11265-017-1221-2}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChangCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChouYJC18, author = {Sheng{-}Cang Chou and Chao{-}Tung Yang and Fuu{-}Cheng Jiang and Chih{-}Hung Chang}, title = {The Implementation of a Data-Accessing Platform Built from Big Data Warehouse of Electric Loads}, booktitle = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 2}, pages = {87--92}, year = {2018}, crossref = {DBLP:conf/compsac/2018-2}, url = {https://doi.org/10.1109/COMPSAC.2018.10208}, doi = {10.1109/COMPSAC.2018.10208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChouYJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChuC18, author = {William Cheng{-}Chung Chu and Chih{-}Hung Chang}, title = {Message from the {SCA} 2018 Workshop Organizers}, booktitle = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 2}, pages = {1}, year = {2018}, crossref = {DBLP:conf/compsac/2018-2}, url = {https://doi.org/10.1109/COMPSAC.2018.10193}, doi = {10.1109/COMPSAC.2018.10193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChuC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/WengYC18, author = {Jia{-}Yow Weng and Chao{-}Tung Yang and Chih{-}Hung Chang}, title = {The Integration of Shared Storages with the CephFS and Rados Gateway for Big Data Accessing}, booktitle = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 2}, pages = {93--98}, year = {2018}, crossref = {DBLP:conf/compsac/2018-2}, url = {https://doi.org/10.1109/COMPSAC.2018.10209}, doi = {10.1109/COMPSAC.2018.10209}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/WengYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChengCCCL18, author = {Chun{-}An Cheng and Chien{-}Hsuan Chang and Hung{-}Liang Cheng and En{-}Chih Chang and Chin{-}Chih Lai}, title = {A Novel {LED} Tube Lamp Driver with Power-Factor Correction for Indoor Lighting Applications}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {700--701}, year = {2018}, crossref = {DBLP:conf/gcce/2018}, url = {https://doi.org/10.1109/GCCE.2018.8574803}, doi = {10.1109/GCCE.2018.8574803}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChengCCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/ChouCWWCHCT18, author = {Shih{-}Jie Chou and Fong{-}Zhi Chen and Rui{-}Cian Weng and Wen{-}Hong Wu and Chun{-}Li Chang and Chi{-}Hung Hwang and Chih{-}Yen Chen and Vipin Ting}, title = {Application of Bluetooth low energy indoor positioning to optical lenses manufacturing factory}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2018, Houston, TX, USA, May 14-17, 2018}, pages = {1--6}, year = {2018}, crossref = {DBLP:conf/i2mtc/2018}, url = {https://doi.org/10.1109/I2MTC.2018.8409674}, doi = {10.1109/I2MTC.2018.8409674}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/ChouCWWCHCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbl/ChangTLTY18, author = {Chih{-}Tsan Chang and Cheng{-}Yu Tsai and Yuen{-}Ju Li and Hung{-}Hsu Tsai and Pao{-}Ta Yu}, title = {Seamless Co-reading System for Collaborative Group Learning}, booktitle = {Blended Learning. Enhancing Learning Success - 11th International Conference, {ICBL} 2018, Osaka, Japan, July 31 - August 2, 2018, Proceedings}, pages = {287--297}, year = {2018}, crossref = {DBLP:conf/icbl/2018}, url = {https://doi.org/10.1007/978-3-319-94505-7\_23}, doi = {10.1007/978-3-319-94505-7\_23}, timestamp = {Sun, 04 Aug 2024 19:42:00 +0200}, biburl = {https://dblp.org/rec/conf/icbl/ChangTLTY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengDHCSCPCWJ18, author = {An{-}Chieh Cheng and Jin{-}Dong Dong and Chi{-}Hung Hsu and Shu{-}Huan Chang and Min Sun and Shih{-}Chieh Chang and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Da{-}Cheng Juan}, title = {Searching toward pareto-optimal device-aware neural architectures}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {136}, year = {2018}, crossref = {DBLP:conf/iccad/2018}, url = {https://doi.org/10.1145/3240765.3243494}, doi = {10.1145/3240765.3243494}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChengDHCSCPCWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChangCZ18, author = {Chih{-}Hung Chang and Chih{-}Ming Chen and Rong{-}Hua Zhao}, title = {An Effective Group Incentive Mechanism in a Collaborative Problem-Based Learning System for Enhancing Positive Peer Interaction and Learning Performance}, booktitle = {7th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2018, Yonago, Japan, July 8-13, 2018}, pages = {170--175}, year = {2018}, crossref = {DBLP:conf/iiaiaai/2018}, url = {https://doi.org/10.1109/IIAI-AAI.2018.00041}, doi = {10.1109/IIAI-AAI.2018.00041}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChangCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TsaiTHC18, author = {Yung{-}Shun Tsai and Shyh{-}Weir Tzang and Chih{-}Hsing Hung and Chun{-}Ping Chang}, title = {The Relationship Between Dividend, Business Cycle, Institutional Investor and Stock Risk}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, pages = {793--800}, year = {2018}, crossref = {DBLP:conf/imis/2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_78}, doi = {10.1007/978-3-319-93554-6\_78}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/TsaiTHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/TzangHCT18, author = {Shyh{-}Weir Tzang and Chih{-}Hsing Hung and Chun{-}Ping Chang and Yung{-}Shun Tsai}, title = {Commercial Real Estate Evaluation: The Real Options Approach}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, pages = {801--807}, year = {2018}, crossref = {DBLP:conf/imis/2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_79}, doi = {10.1007/978-3-319-93554-6\_79}, timestamp = {Mon, 11 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/TzangHCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/YangLLCLK18, author = {Chao{-}Tung Yang and Jung{-}Chun Liu and Jheng{-}Yue Lee and Chih{-}Hung Chang and Chuan{-}Lin Lai and Chia{-}Chen Kuo}, title = {The Implementation of a Virtual Desktop Infrastructure with {GPU} Accelerated on OpenStack}, booktitle = {15th International Symposium on Pervasive Systems, Algorithms and Networks, {I-SPAN} 2018, Yichang, China, October 16-18, 2018}, pages = {366--370}, year = {2018}, crossref = {DBLP:conf/ispan/2018}, url = {https://doi.org/10.1109/I-SPAN.2018.00069}, doi = {10.1109/I-SPAN.2018.00069}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispan/YangLLCLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuYCLHSWHCLYS18, author = {Meng{-}Yi Wu and Tsao{-}Hsin Yang and Lun{-}Chun Chen and Chi{-}Chang Lin and Hao{-}Chun Hu and Fang{-}Ying Su and Chih{-}Min Wang and James Po{-}Hao Huang and Hsin{-}Ming Chen and Chris Chun{-}Hung Lu and Evans Ching{-}Song Yang and Rick Shih{-}Jye Shen}, title = {A {PUF} scheme using competing oxide rupture with bit error rate approaching zero}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {130--132}, year = {2018}, crossref = {DBLP:conf/isscc/2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310218}, doi = {10.1109/ISSCC.2018.8310218}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuYCLHSWHCLYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/LiHLHYTCHH18, author = {Cheng{-}Ru Li and Chih{-}Ning Hsu and Yi{-}Cheng Lin and Min{-}Wei Hung and Ching{-}Ching Yang and Hsin{-}Yi Tsai and Yu{-}Ju Chang and Kuo{-}Cheng Huang and Wen{-}Tse Hsiao}, title = {Integrating temperature, humidity, and optical aerosol sensors for a wireless module for three-dimensional space monitoring}, booktitle = {2018 {IEEE} Sensors Applications Symposium, {SAS} 2018, Seoul, South Korea, March 12-14, 2018}, pages = {1--4}, year = {2018}, crossref = {DBLP:conf/sas2/2018}, url = {https://doi.org/10.1109/SAS.2018.8336731}, doi = {10.1109/SAS.2018.8336731}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sas2/LiHLHYTCHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChangCCCYLC18, author = {Shih{-}Hsiu Chang and Ching{-}Ya Chiu and Chia{-}Sheng Chang and Kuo{-}Wei Chen and Chih{-}Yuan Yao and Ruen{-}Rone Lee and Hung{-}Kuo Chu}, title = {Generating 360 outdoor panorama dataset with reliable sun position estimation}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {22:1--22:2}, year = {2018}, crossref = {DBLP:conf/siggrapha/2018posters}, url = {https://doi.org/10.1145/3283289.3283348}, doi = {10.1145/3283289.3283348}, timestamp = {Sun, 02 Dec 2018 12:01:29 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChangCCCYLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShihLCLLCLYYCCC18, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, Sub-{\(\mu\)}A Sensing Resolution, and 17.5NS Read Access Time}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {79--80}, year = {2018}, crossref = {DBLP:conf/vlsic/2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502260}, doi = {10.1109/VLSIC.2018.8502260}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShihLCLLCLYYCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/ChangHCCPHYC18, author = {Ya{-}Kuei Chang and Jui{-}Wei Huang and Chien{-}Hua Chen and Chien{-}Wen Chen and Jian{-}Wei Peng and Min{-}Chun Hu and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, title = {A lightweight and efficient system for tracking handheld objects in virtual reality}, booktitle = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, pages = {43:1--43:2}, year = {2018}, crossref = {DBLP:conf/vrst/2018}, url = {https://doi.org/10.1145/3281505.3281567}, doi = {10.1145/3281505.3281567}, timestamp = {Thu, 08 Jul 2021 14:25:35 +0200}, biburl = {https://dblp.org/rec/conf/vrst/ChangHCCPHYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wicon/HungCYY18, author = {Chih{-}Ching Hung and Nai{-}Chang Cheng and Shang{-}En Yu and Hong{-}Tsu Young}, title = {Factors Influencing Online Group Buying in Taiwan: An Empirical Study Based on the {TPB} Framework}, booktitle = {Wireless Internet - 11th {EAI} International Conference, WiCON 2018, Taipei, Taiwan, October 15-16, 2018, Proceedings}, pages = {254--262}, year = {2018}, crossref = {DBLP:conf/wicon/2018}, url = {https://doi.org/10.1007/978-3-030-06158-6\_26}, doi = {10.1007/978-3-030-06158-6\_26}, timestamp = {Mon, 21 Jan 2019 19:24:43 +0100}, biburl = {https://dblp.org/rec/conf/wicon/HungCYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wicon/HungYCWCY18, author = {Chih{-}Ching Hung and Shang{-}En Yu and Nai{-}Chang Cheng and Chun{-}Hsien Wang and Wen{-}Hsin Chiang and Hong{-}Tsu Young}, title = {An Investigation of Alliance Portfolio Diversity Impact on Firm Performance}, booktitle = {Wireless Internet - 11th {EAI} International Conference, WiCON 2018, Taipei, Taiwan, October 15-16, 2018, Proceedings}, pages = {353--366}, year = {2018}, crossref = {DBLP:conf/wicon/2018}, url = {https://doi.org/10.1007/978-3-030-06158-6\_34}, doi = {10.1007/978-3-030-06158-6\_34}, timestamp = {Mon, 21 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wicon/HungYCWCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-08812, author = {Yu{-}Hung Chang and Liwei Zhang and Xingjian Wang and Shiang{-}Ting Yeh and Simon Mak and Chih{-}Li Sung and C. F. Jeff Wu and Vigor Yang}, title = {Kernel-smoothed proper orthogonal decomposition (KSPOD)-based emulation for prediction of spatiotemporally evolving flow dynamics}, journal = {CoRR}, volume = {abs/1802.08812}, year = {2018}, url = {http://arxiv.org/abs/1802.08812}, eprinttype = {arXiv}, eprint = {1802.08812}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-08812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-10332, author = {Chi{-}Hung Hsu and Shu{-}Huan Chang and Da{-}Cheng Juan and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Shih{-}Chieh Chang}, title = {{MONAS:} Multi-Objective Neural Architecture Search using Reinforcement Learning}, journal = {CoRR}, volume = {abs/1806.10332}, year = {2018}, url = {http://arxiv.org/abs/1806.10332}, eprinttype = {arXiv}, eprint = {1806.10332}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-10332.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-04925, author = {Jung{-}Chao Ban and Chih{-}Hung Chang and Yu{-}Hsiung Huang}, title = {Complexity of Shift Spaces on Semigroups}, journal = {CoRR}, volume = {abs/1808.04925}, year = {2018}, url = {http://arxiv.org/abs/1808.04925}, eprinttype = {arXiv}, eprint = {1808.04925}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-04925.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-09830, author = {An{-}Chieh Cheng and Jin{-}Dong Dong and Chi{-}Hung Hsu and Shu{-}Huan Chang and Min Sun and Shih{-}Chieh Chang and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Da{-}Cheng Juan}, title = {Searching Toward Pareto-Optimal Device-Aware Neural Architectures}, journal = {CoRR}, volume = {abs/1808.09830}, year = {2018}, url = {http://arxiv.org/abs/1808.09830}, eprinttype = {arXiv}, eprint = {1808.09830}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-09830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ChangLL17, author = {Chih{-}Tsung Chang and Po{-}Lei Lee and En{-}Hung Lin}, title = {Variable delay digital comb filter extraction of weak phase signals for {SSVEP}}, journal = {Biomed. Signal Process. Control.}, volume = {31}, pages = {211--216}, year = {2017}, url = {https://doi.org/10.1016/j.bspc.2016.08.008}, doi = {10.1016/J.BSPC.2016.08.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/ChangLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/Chang17, author = {Chih{-}Hung Chang}, title = {\emph{k}-Mixing Properties of Multidimensional Cellular Automata}, journal = {J. Cell. Autom.}, volume = {12}, number = {3-4}, pages = {285--303}, year = {2017}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-12-number-3-4-2016/jca-12-3-4-p-285-303/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/Chang17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/HungC17, author = {Chih{-}Cheng Hung and Eun Suk Chang}, title = {Moran's {I} for impulse noise detection and removal in color images}, journal = {J. Electronic Imaging}, volume = {26}, number = {2}, pages = {23023}, year = {2017}, url = {https://doi.org/10.1117/1.JEI.26.2.023023}, doi = {10.1117/1.JEI.26.2.023023}, timestamp = {Fri, 07 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/HungC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChangYLC17, author = {Chih{-}Ming Chang and Kai{-}Jie Yang and James Chien{-}Mo Li and Hung Chen}, title = {Test Pattern Compression for Probabilistic Circuits}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {23--27}, year = {2017}, crossref = {DBLP:conf/ats/2017}, url = {https://doi.org/10.1109/ATS.2017.17}, doi = {10.1109/ATS.2017.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChangYLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/ChangLLFCLCG17, author = {Chuan{-}Chung Chang and Hsin{-}Hsiang Lo and Han{-}Hsuan Lin and Zhi{-}Rong Fan and Shao{-}Hsuan Cheng and Chih{-}Hung Lu and Fu{-}Ming Chuang and Jiun{-}In Guo}, title = {Localized High Dynamic Range Plenoptic Image Compression}, booktitle = {Computational Imaging XV, Burlingame, California, USA, 29 January 2017 - 2 February 2017}, pages = {163--168}, year = {2017}, crossref = {DBLP:conf/cimaging/2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.17.COIMG-440}, doi = {10.2352/ISSN.2470-1173.2017.17.COIMG-440}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cimaging/ChangLLFCLCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/HsiehLPYCH17, author = {Fan{-}Chun Hsieh and Ping{-}Hung Lin and Hsu{-}Pin Pan and Chih{-}Sheng Yu and Chun{-}Ming Chang and Yi{-}Chiuen Hu}, title = {Mechanical behavior of photopolymer for additive manufacturing applications}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2017, Torino, Italy, May 22-25, 2017}, pages = {1--4}, year = {2017}, crossref = {DBLP:conf/i2mtc/2017}, url = {https://doi.org/10.1109/I2MTC.2017.7969726}, doi = {10.1109/I2MTC.2017.7969726}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/HsiehLPYCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuCH17, author = {Ren{-}Shuo Liu and Yun{-}Sheng Chang and Chih{-}Wen Hung}, title = {{VST:} {A} virtual stress testing framework for discovering bugs in {SSD} flash-translation layers}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {283--290}, year = {2017}, crossref = {DBLP:conf/iccad/2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203790}, doi = {10.1109/ICCAD.2017.8203790}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/HoC17, author = {Chih{-}Hung Ho and Yen{-}Lin Chiu}, title = {The Influence of Online Academic Information Search on Students' Epistemic Change}, booktitle = {6th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {725--728}, year = {2017}, crossref = {DBLP:conf/iiaiaai/2017}, url = {https://doi.org/10.1109/IIAI-AAI.2017.137}, doi = {10.1109/IIAI-AAI.2017.137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/HoC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChangFCHH17, author = {Hung{-}Jui Chang and Gang{-}Yu Fan and Jr{-}Chang Chen and Chih{-}Wen Hsueh and Tsan{-}sheng Hsu}, title = {Validating and Fine-Tuning of Game Evaluation Functions Using Endgame Databases}, booktitle = {Computer Games - 6th Workshop, {CGW} 2017, Held in Conjunction with the 26th International Conference on Artificial Intelligence, {IJCAI} 2017, Melbourne, VIC, Australia, August, 20, 2017, Revised Selected Papers}, pages = {137--150}, year = {2017}, crossref = {DBLP:conf/ijcai/2017cgw}, url = {https://doi.org/10.1007/978-3-319-75931-9\_10}, doi = {10.1007/978-3-319-75931-9\_10}, timestamp = {Thu, 15 Feb 2018 11:16:17 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/ChangFCHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HsuCCC17, author = {Tse{-}Chuan Hsu and Dong{-}Meau Chang and Chih{-}Hung Chang and Rei{-}Heng Cheng}, title = {Rapid Construction of a Big Data Analysis System with Creative Comparison Methods}, booktitle = {14th International Symposium on Pervasive Systems, Algorithms and Networks {\&} 11th International Conference on Frontier of Computer Science and Technology {\&} Third International Symposium of Creative Computing, {ISPAN-FCST-ISCC} 2017, Exeter, United Kingdom, June 21-23, 2017}, pages = {485--490}, year = {2017}, crossref = {DBLP:conf/ispan/2017}, url = {https://doi.org/10.1109/ISPAN-FCST-ISCC.2017.46}, doi = {10.1109/ISPAN-FCST-ISCC.2017.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HsuCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCCSCFLLHLL17, author = {Jonathan Chang and Yen{-}Huei Chen and Wei{-}Min Chan and Sahil Preet Singh and Hank Cheng and Hidehiro Fujiwara and Jih{-}Yu Lin and Kao{-}Cheng Lin and John Hung and Robin Lee and Hung{-}Jen Liao and Jhon{-}Jhy Liaw and Quincy Li and Chih{-}Yung Lin and Mu{-}Chi Chiang and Shien{-}Yang Wu}, title = {12.1 {A} 7nm 256Mb {SRAM} in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {206--207}, year = {2017}, crossref = {DBLP:conf/isscc/2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870333}, doi = {10.1109/ISSCC.2017.7870333}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCCSCFLLHLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/ChengCLC17, author = {Hung{-}Wei Cheng and Pin{-}Tarng Chen and Ying{-}Chih Lo and Po{-}Lun Chang}, title = {Validated Simulation: The Preliminary Experience of Anesthesiologist Board Examination in Taiwan}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, pages = {1256}, year = {2017}, crossref = {DBLP:conf/medinfo/2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-1256}, doi = {10.3233/978-1-61499-830-3-1256}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/ChengCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinCH17, author = {Chih{-}Hung Lin and Shoou{-}Jinn Chang and Ting{-}Jen Hsueh}, title = {{WO3} nanoparticle with {MEMS} technology improve the sensing response by {HWCVD} system}, booktitle = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, pages = {190--193}, year = {2017}, crossref = {DBLP:conf/nems/2017}, url = {https://doi.org/10.1109/NEMS.2017.8017003}, doi = {10.1109/NEMS.2017.8017003}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/LinCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/ChangTCL17, author = {Chih{-}Kai Chang and Yu{-}Kai Tsai and Kai{-}Han Cheng and Liang{-}Hung Lu}, title = {A 0.3-V 7.6-fJ/conv-step delta-sigma time-to-digital converter with a gated-free ring oscillator}, booktitle = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2017, Strasbourg, France, June 25-28, 2017}, pages = {221--224}, year = {2017}, crossref = {DBLP:conf/newcas/2017}, url = {https://doi.org/10.1109/NEWCAS.2017.8010145}, doi = {10.1109/NEWCAS.2017.8010145}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/newcas/ChangTCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ShenLHP17, author = {Chih{-}Tsung Shen and Ching{-}Hao Lai and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Visual enhancement via reinforcement parameter learning for low backlighted display}, booktitle = {{SIGGRAPH} Asia 2017 Technical Briefs, Bangkok, Thailand, November 27 - 30, 2017}, pages = {18:1--18:4}, year = {2017}, crossref = {DBLP:conf/siggrapha/2017briefs}, url = {https://doi.org/10.1145/3145749.3149444}, doi = {10.1145/3145749.3149444}, timestamp = {Tue, 06 Nov 2018 16:58:04 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ShenLHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/TsaiWKTCHS17, author = {Kuen{-}Han Tsai and Yau{-}Shian Wang and Hsuan{-}Yu Kuo and Jui{-}Yi Tsai and Ching{-}Chih Chang and Hui{-}Ju Hung and Hong{-}Han Shuai}, title = {Multi-Source Learning for Sales Prediction}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2017, Taipei, Taiwan, December 1-3, 2017}, pages = {148--153}, year = {2017}, crossref = {DBLP:conf/taai/2017}, url = {https://doi.org/10.1109/TAAI.2017.38}, doi = {10.1109/TAAI.2017.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/TsaiWKTCHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-07841, author = {Shiang{-}Ting Yeh and Xingjian Wang and Chih{-}Li Sung and Simon Mak and Yu{-}Hung Chang and Liwei Zhang and C. F. Jeff Wu and Vigor Yang}, title = {Data-Driven Analysis and Common Proper Orthogonal Decomposition (CPOD)-Based Spatio-Temporal Emulator for Design Exploration}, journal = {CoRR}, volume = {abs/1709.07841}, year = {2017}, url = {http://arxiv.org/abs/1709.07841}, eprinttype = {arXiv}, eprint = {1709.07841}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-07841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-05895, author = {Chih{-}Cheng Chang and Pin{-}Chun Chen and Teyuh Chou and I{-}Ting Wang and Boris Hudec and Che{-}Chia Chang and Chia{-}Ming Tsai and Tian{-}Sheuan Chang and Tuo{-}Hung Hou}, title = {Mitigating Asymmetric Nonlinear Weight Update Effects in Hardware Neural Network based on Analog Resistive Synapse}, journal = {CoRR}, volume = {abs/1712.05895}, year = {2017}, url = {http://arxiv.org/abs/1712.05895}, eprinttype = {arXiv}, eprint = {1712.05895}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-05895.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ZhengYCW16, author = {Wenzhi Zheng and Chih{-}Hung Yuan and Wei{-}Hung Chang and Yen{-}Chun Jim Wu}, title = {Profile pictures on social media: Gender and regional differences}, journal = {Comput. Hum. Behav.}, volume = {63}, pages = {891--898}, year = {2016}, url = {https://doi.org/10.1016/j.chb.2016.06.041}, doi = {10.1016/J.CHB.2016.06.041}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ZhengYCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ChangLYC16, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and Chao{-}Tung Yang and Tzu{-}Chieh Chang}, title = {An approach of performance comparisons with OpenMP and {CUDA} parallel programming on multicore systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {28}, number = {16}, pages = {4230--4245}, year = {2016}, url = {https://doi.org/10.1002/cpe.3829}, doi = {10.1002/CPE.3829}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/ChangLYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChangTY16, author = {Bae{-}Muu Chang and Hung{-}Hsu Tsai and Chih{-}Yuan Yen}, title = {{SVM-PSO} based rotation-invariant image texture classification in {SVD} and {DWT} domains}, journal = {Eng. Appl. Artif. Intell.}, volume = {52}, pages = {96--107}, year = {2016}, url = {https://doi.org/10.1016/j.engappai.2016.02.005}, doi = {10.1016/J.ENGAPPAI.2016.02.005}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChangTY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/ChenCLYF16, author = {Ying{-}Nong Chen and Chi{-}Hung Chuang and Hsin{-}Min Lee and Chih{-}Chang Yu and Kuo{-}Chin Fan}, title = {Fall detection in dusky environment}, journal = {{EURASIP} J. Image Video Process.}, volume = {2016}, pages = {16}, year = {2016}, url = {https://doi.org/10.1186/s13640-016-0115-8}, doi = {10.1186/S13640-016-0115-8}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/ChenCLYF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/LeeLCW16, author = {Chong{-}Dao Lee and Chih{-}Peng Li and Ho{-}Hsuan Chang and Sen{-}Hung Wang}, title = {Further results on degree-2 perfect Gaussian integer sequences}, journal = {{IET} Commun.}, volume = {10}, number = {12}, pages = {1542--1552}, year = {2016}, url = {https://doi.org/10.1049/iet-com.2015.1144}, doi = {10.1049/IET-COM.2015.1144}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/LeeLCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/WangWTTKH16, author = {Hwang{-}Cheng Wang and Isaac Woungang and Kuo{-}Chang Ting and Chih{-}Cheng Tseng and Fang{-}Chang Kuo and Hung Te Huang}, title = {Co-tier downlink interference management in dense femtocell networks}, journal = {Int. J. Commun. Syst.}, volume = {29}, number = {17}, pages = {2534--2541}, year = {2016}, url = {https://doi.org/10.1002/dac.3196}, doi = {10.1002/DAC.3196}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/WangWTTKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/ChangLCHC16, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William Cheng{-}Chung Chu and Pao{-}Ann Hsiung and Dong{-}Meau Chang}, title = {SysML-Based Requirement Management to Improve Software Development}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {26}, number = {3}, pages = {491--512}, year = {2016}, url = {https://doi.org/10.1142/S0218194016500200}, doi = {10.1142/S0218194016500200}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijseke/ChangLCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChangC16, author = {Chih{-}Hung Chang and Huilan Chang}, title = {On the Bernoulli automorphism of reversible linear cellular automata}, journal = {Inf. Sci.}, volume = {345}, pages = {217--225}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2016.01.062}, doi = {10.1016/J.INS.2016.01.062}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChangC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/ChangA16, author = {Chih{-}Hung Chang and Hasan Akin}, title = {Some Ergodic Properties of One-Dimensional Invertible Cellular Automata}, journal = {J. Cell. Autom.}, volume = {11}, number = {2-3}, pages = {247--261}, year = {2016}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-11-number-2-3-2016/jca-11-2-3-p-247-261/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/ChangA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/LiYCS16, author = {Der{-}Chiang Li and Chun{-}Wu Yeh and Chieh{-}Chih Chen and Hung{-}Ta Shih}, title = {Using a diffusion wavelet neural network for short-term time series learning in the wafer level chip scale package process}, journal = {J. Intell. Manuf.}, volume = {27}, number = {6}, pages = {1261--1272}, year = {2016}, url = {https://doi.org/10.1007/s10845-014-0949-9}, doi = {10.1007/S10845-014-0949-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/LiYCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WuCL16, author = {Chih{-}Hung Wu and Wei{-}Lun Chen and Chang Hong Lin}, title = {Depth-based hand gesture recognition}, journal = {Multim. Tools Appl.}, volume = {75}, number = {12}, pages = {7065--7086}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2632-3}, doi = {10.1007/S11042-015-2632-3}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WuCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCSHLLYHWTTH16, author = {Chih{-}Hung Chou and Nai{-}Wen Chang and Sirjana Shrestha and Sheng{-}Da Hsu and Yu{-}Ling Lin and Wei{-}Hsiang Lee and Chi{-}Dung Yang and Hsiao{-}Chin Hong and Ting{-}Yen Wei and Siang{-}Jyun Tu and Tzi{-}Ren Tsai and Shu{-}Yi Ho and Ting{-}Yan Jian and Hsin{-}Yi Wu and Pin{-}Rong Chen and Nai{-}Chieh Lin and Hsin{-}Tzu Huang and Tzu{-}Ling Yang and Chung{-}Yuan Pai and Chun{-}San Tai and Wen{-}Liang Chen and Chia{-}Yen Huang and Chun{-}Chi Liu and Shun{-}Long Weng and Kuang{-}Wen Liao and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase 2016: updates to the experimentally validated miRNA-target interactions database}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {239--247}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1258}, doi = {10.1093/NAR/GKV1258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCSHLLYHWTTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/BanC16, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {When are two multi-layer cellular neural networks the same?}, journal = {Neural Networks}, volume = {79}, pages = {12--19}, year = {2016}, url = {https://doi.org/10.1016/j.neunet.2016.03.005}, doi = {10.1016/J.NEUNET.2016.03.005}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/BanC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/BanC16, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {The Spatial Complexity of Inhomogeneous Multi-layer Neural Networks}, journal = {Neural Process. Lett.}, volume = {43}, number = {1}, pages = {31--47}, year = {2016}, url = {https://doi.org/10.1007/s11063-014-9400-7}, doi = {10.1007/S11063-014-9400-7}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/BanC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ChangYHHK16, author = {Chih{-}Hung Chang and Chun{-}Wei Yang and Geng{-}Rong Hzu and Tzonelih Hwang and Shih{-}Hung Kao}, title = {Quantum dialogue protocols over collective noise using entanglement of {GHZ} state}, journal = {Quantum Inf. Process.}, volume = {15}, number = {7}, pages = {2971--2991}, year = {2016}, url = {https://doi.org/10.1007/s11128-016-1309-9}, doi = {10.1007/S11128-016-1309-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/ChangYHHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OuTLWC16, author = {Hung{-}Chih Ou and Kai{-}Han Tseng and Jhao{-}Yan Liu and I{-}Peng Wu and Yao{-}Wen Chang}, title = {Layout-Dependent Effects-Aware Analytical Analog Placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {8}, pages = {1243--1254}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2501293}, doi = {10.1109/TCAD.2015.2501293}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OuTLWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/WangLCL16, author = {Sen{-}Hung Wang and Chih{-}Peng Li and Ho{-}Hsuan Chang and Chong{-}Dao Lee}, title = {A Systematic Method for Constructing Sparse Gaussian Integer Sequences With Ideal Periodic Autocorrelation Functions}, journal = {{IEEE} Trans. Commun.}, volume = {64}, number = {1}, pages = {365--376}, year = {2016}, url = {https://doi.org/10.1109/TCOMM.2015.2498185}, doi = {10.1109/TCOMM.2015.2498185}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/WangLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/XuXTSCC16, author = {Dianxiang Xu and Weifeng Xu and Manghui Tu and Ning Shen and William C. Chu and Chih{-}Hung Chang}, title = {Automated Integration Testing Using Logical Contracts}, journal = {{IEEE} Trans. Reliab.}, volume = {65}, number = {3}, pages = {1205--1222}, year = {2016}, url = {https://doi.org/10.1109/TR.2015.2494685}, doi = {10.1109/TR.2015.2494685}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tr/XuXTSCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/ChienLL16, author = {Hung{-}Chang Chien and Chih{-}Hung Lai and Keng{-}Hao Liu}, title = {Unsupervised Band Selection Based on Group-Based Sparse Representation}, booktitle = {Computer Vision - {ACCV} 2016 Workshops - {ACCV} 2016 International Workshops, Taipei, Taiwan, November 20-24, 2016, Revised Selected Papers, Part {I}}, pages = {389--401}, year = {2016}, crossref = {DBLP:conf/accv/2016-w1}, url = {https://doi.org/10.1007/978-3-319-54407-6\_26}, doi = {10.1007/978-3-319-54407-6\_26}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/accv/ChienLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LaiHLJCJL16, author = {Shin{-}Chi Lai and Te{-}Hsuan Hung and Wen Chih Li and Yu{-}Syuan Jhang and Kuan{-}Ying Chang and Wen{-}Ho Juang and Ching{-}Hsing Luo}, title = {Low-cost prototype design of a portable {ECG} signal recorder}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {160--163}, year = {2016}, crossref = {DBLP:conf/apccas/2016}, url = {https://doi.org/10.1109/APCCAS.2016.7803922}, doi = {10.1109/APCCAS.2016.7803922}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LaiHLJCJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinCH16, author = {Mark Po{-}Hung Lin and Yao{-}Wen Chang and Chih{-}Ming Hung}, title = {Recent research development and new challenges in analog layout synthesis}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {617--622}, year = {2016}, crossref = {DBLP:conf/aspdac/2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428080}, doi = {10.1109/ASPDAC.2016.7428080}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LinCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/FujiwaraCLWSWLC16, author = {Hidehiro Fujiwara and Yen{-}Huei Chen and Chih{-}Yu Lin and Wei{-}Cheng Wu and Dar Sun and Shin{-}Rung Wu and Hung{-}Jen Liao and Jonathan Chang}, title = {A 64-Kb 0.37V 28nm 10T-SRAM with mixed-Vth read-port and boosted {WL} scheme for IoT applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {185--188}, year = {2016}, crossref = {DBLP:conf/asscc/2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844166}, doi = {10.1109/ASSCC.2016.7844166}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/FujiwaraCLWSWLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ChouCCCTSHCYCT16, author = {Ting{-}I Chou and Shih{-}Wen Chiu and Kwuang{-}Han Chang and Yi{-}Ju Chen and Chen{-}Ting Tang and Chung{-}Hung Shih and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Chia{-}Hsiang Yang and Herming Chiueh and Kea{-}Tiong Tang}, title = {Design of a 0.5 {V} 1.68mW nose-on-a-chip for rapid screen of chronic obstructive pulmonary disease}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {592--595}, year = {2016}, crossref = {DBLP:conf/biocas/2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833864}, doi = {10.1109/BIOCAS.2016.7833864}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/ChouCCCTSHCYCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuOC16, author = {I{-}Peng Wu and Hung{-}Chih Ou and Yao{-}Wen Chang}, title = {QB-trees: towards an optimal topological representation and its applications to analog layout designs}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {80:1--80:6}, year = {2016}, crossref = {DBLP:conf/dac/2016}, url = {https://doi.org/10.1145/2897937.2898074}, doi = {10.1145/2897937.2898074}, timestamp = {Tue, 06 Nov 2018 16:58:19 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuOC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuJLZCLL16, author = {Hsueh{-}Ju Lu and En{-}Jang Jang and Ang Lu and Yu Ting Zhang and Yu{-}He Chang and Chi{-}Hung Lin and Rung{-}Bin Lin}, title = {Practical ILP-based routing of standard cells}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {245--248}, year = {2016}, crossref = {DBLP:conf/date/2016}, url = {https://ieeexplore.ieee.org/document/7459313/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuJLZCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWCLCCCWHWCC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chia{-}Yun Cheng and Hue{-}Min Lin and Chun{-}Chia Chen and Min{-}Hao Chiu and Ping Chao and Ming{-}Long Wu and Meng{-}Jye Hu and Sheng{-}Jen Wang and Che{-}Hong Chen and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Fu{-}Chun Yeh and Chia{-}Hung Kao and Yi{-}Chang Chen and Chia{-}Lin Ho and Yenchieh Huang and Hsiao{-}En Chen and Chih{-}Wen Yang and Hsuan{-}Wen Peng}, title = {A 2.6mm\({}^{\mbox{2}}\) 0.19nJ/pixel {VP9} and multi-standard decoder {LSI} for Android 4K {TV} applications}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {109--112}, year = {2016}, crossref = {DBLP:conf/esscirc/2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598254}, doi = {10.1109/ESSCIRC.2016.7598254}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWCLCCCWHWCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TsaiCLHCCW16, author = {Tsai{-}Hsuan Tsai and Hsien{-}Tsung Chang and Yu{-}Wen Lin and Yi{-}Hao Hu and Chih{-}Wei Chen and Yi{-}Cheng Chen and Wei{-}Hung Wu}, title = {Running on the Gatherun Cloud Platform: Using Self-determination Theory to Increase Motivation to Participate in Sporting Events}, booktitle = {Universal Access in Human-Computer Interaction. Methods, Techniques, and Best Practices - 10th International Conference, {UAHCI} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings, Part {I}}, pages = {223--230}, year = {2016}, crossref = {DBLP:conf/hci/2016-7}, url = {https://doi.org/10.1007/978-3-319-40250-5\_22}, doi = {10.1007/978-3-319-40250-5\_22}, timestamp = {Fri, 25 Dec 2020 01:14:59 +0100}, biburl = {https://dblp.org/rec/conf/hci/TsaiCLHCCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WeiCWLC16, author = {Ming{-}Fan Wei and Robert Chen{-}Hao Chang and Chia{-}Yu Wang and Chih{-}Hung Lin and Hung{-}Lieh Chen}, title = {Design of a flexible {PPG} signal processing wireless device}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/icce-tw/2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7521007}, doi = {10.1109/ICCE-TW.2016.7521007}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WeiCWLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icores/WangCLC16, author = {Juite Wang and Chuan{-}Hung Cheng and Yung{-}I Lin and Chih{-}Hsin Chang}, title = {A Risk-based Real Options Framework for Flexible Technology Planning}, booktitle = {Proceedings of 5th the International Conference on Operations Research and Enterprise Systems {(ICORES} 2016), Rome, Italy, February 23-25, 2016}, pages = {279--286}, year = {2016}, crossref = {DBLP:conf/icores/2016}, url = {https://doi.org/10.5220/0005736702790286}, doi = {10.5220/0005736702790286}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icores/WangCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChangH0Y16, author = {Eun Suk Chang and Chih{-}Cheng Hung and Wenping Liu and Jihao Yina}, title = {A Denoising algorithm for remote sensing images with impulse noise}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {2905--2908}, year = {2016}, crossref = {DBLP:conf/igarss/2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729750}, doi = {10.1109/IGARSS.2016.7729750}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChangH0Y16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenLHP16, author = {Chih{-}Tsung Shen and Zongqing Lu and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Visual enhancement using sparsity-based image decomposition for low backlight displays}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2563--2566}, year = {2016}, crossref = {DBLP:conf/iscas/2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539116}, doi = {10.1109/ISCAS.2016.7539116}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenLHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChenHC16, author = {Hung{-}Chi Chen and Chih{-}Hao Hsu and Da{-}Kai Chang}, title = {Speed control for two series-connected five-phase permanent-magnet synchronous motors without position sensor}, booktitle = {25th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2016, Santa Clara, CA, USA, June 8-10, 2016}, pages = {198--203}, year = {2016}, crossref = {DBLP:conf/isie/2016}, url = {https://doi.org/10.1109/ISIE.2016.7744889}, doi = {10.1109/ISIE.2016.7744889}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChenHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/LinCCZFSL16, author = {Chi{-}Hung Lin and Chia{-}Shiang Chen and Yu{-}He Chang and Yu Ting Zhang and Shang{-}Rong Fang and Santanu Santra and Rung{-}Bin Lin}, title = {Design Space Exploration of FinFETs with Double Fin Heights for Standard Cell Library}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, pages = {673--678}, year = {2016}, crossref = {DBLP:conf/isvlsi/2016}, url = {https://doi.org/10.1109/ISVLSI.2016.72}, doi = {10.1109/ISVLSI.2016.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/LinCCZFSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangYLWYWLL16, author = {Wen{-}Hsin Chang and Sung{-}Yi Yang and Yi{-}Cheng Lin and Chih{-}Hung Wang and Huey{-}Ling You and Jiunn{-}Jong Wu and Mel S. Lee and Gwo{-}Bin Lee}, title = {An integrated microfluidic system for antibiotic resistance gene identification capable differentiating live and dead of vancomycin-resistant enterococcus}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {591--594}, year = {2016}, crossref = {DBLP:conf/nems/2016}, url = {https://doi.org/10.1109/NEMS.2016.7758321}, doi = {10.1109/NEMS.2016.7758321}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangYLWYWLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/MaCWLYLYWLL16, author = {Yu{-}Dong Ma and Wen{-}Hsin Chang and Chih{-}Hung Wang and Shih{-}Yuan Liu and Wen{-}Hsiang Yen and Kang Luo and Huey{-}Ling You and Jiunn{-}Jong Wu and Mel S. Lee and Gwo{-}Bin Lee}, title = {A self-driven microfluidic chip through a rapid surface modification of {PDMS} and its application for digital loop-mediated amplification {(LAMP)}}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {1--4}, year = {2016}, crossref = {DBLP:conf/nems/2016}, url = {https://doi.org/10.1109/NEMS.2016.7758187}, doi = {10.1109/NEMS.2016.7758187}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/MaCWLYLYWLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsa/ChuCHH16, author = {William Cheng{-}Chung Chu and Chih{-}Hung Chang and Tse{-}Chuan Hsu and Yen{-}Hua Huang}, title = {Applying Software Defined Methodologies to Software Computing}, booktitle = {Third International Conference on Trustworthy Systems and their Applications, {TSA} 2016, Wuhan, China, September 18-22, 2016}, pages = {29--35}, year = {2016}, crossref = {DBLP:conf/tsa/2016}, url = {https://doi.org/10.1109/TSA.2016.15}, doi = {10.1109/TSA.2016.15}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tsa/ChuCHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LiTYLCHLLKHCXS16, author = {Chao{-}Chieh Li and Tsung{-}Hsien Tsai and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Kenny Hsieh and Mark Chen and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A 0.034mm\({}^{\mbox{2}}\), 725fs {RMS} jitter, 1.8{\%}/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital {PLL} in 10nm FinFET {CMOS}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, year = {2016}, crossref = {DBLP:conf/vlsic/2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573551}, doi = {10.1109/VLSIC.2016.7573551}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LiTYLCHLLKHCXS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChangA16, author = {Chih{-}Hung Chang and Hasan Akin}, title = {Reversibility Problem of Multidimensional Finite Cellular Automata}, journal = {CoRR}, volume = {abs/1609.09572}, year = {2016}, url = {http://arxiv.org/abs/1609.09572}, eprinttype = {arXiv}, eprint = {1609.09572}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChangA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ChangKWT15, author = {Betty Chang and Chin Kuo and Chih{-}Hung Wu and Gwo{-}Hshiung Tzeng}, title = {Using Fuzzy Analytic Network Process to assess the risks in enterprise resource planning system implementation}, journal = {Appl. Soft Comput.}, volume = {28}, pages = {196--207}, year = {2015}, url = {https://doi.org/10.1016/j.asoc.2014.11.025}, doi = {10.1016/J.ASOC.2014.11.025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/ChangKWT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WeiLCAY15, author = {Chih{-}Ping Wei and Wen{-}Ben Lin and Hung{-}Chen Chen and Wen{-}Yu An and Wei{-}Chang Yeh}, title = {Finding experts in online forums for enhancing knowledge sharing and accessibility}, journal = {Comput. Hum. Behav.}, volume = {51}, pages = {325--335}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.04.055}, doi = {10.1016/J.CHB.2015.04.055}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/WeiLCAY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WuCY15, author = {Yen{-}Chun Jim Wu and Wei{-}Hung Chang and Chih{-}Hung Yuan}, title = {Do Facebook profile pictures reflect user's personality?}, journal = {Comput. Hum. Behav.}, volume = {51}, pages = {880--889}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2014.11.014}, doi = {10.1016/J.CHB.2014.11.014}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/WuCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsys/BanC15, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {On the Structure of Multilayer Cellular Neural Networks: Complexity between Two Layers}, journal = {Complex Syst.}, volume = {24}, number = {4}, year = {2015}, url = {https://doi.org/10.25088/complexsystems.24.4.311}, doi = {10.25088/COMPLEXSYSTEMS.24.4.311}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsys/BanC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/ChenCCLS15, author = {Kuan{-}Hung Chen and Cheng{-}Hao Chen and Chih{-}Hao Chang and Jun{-}Yu Liu and Ching{-}Lung Su}, title = {A Shape-Adaptive Low-Complexity Technique for 3D Free-Viewpoint Visual Applications}, journal = {Circuits Syst. Signal Process.}, volume = {34}, number = {2}, pages = {579--604}, year = {2015}, url = {https://doi.org/10.1007/s00034-014-9870-x}, doi = {10.1007/S00034-014-9870-X}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/ChenCCLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ChangFLHY15, author = {Yue{-}Shan Chang and Chih{-}Tien Fan and Win{-}Tsung Lo and Wan{-}Chun Hung and Shyan{-}Ming Yuan}, title = {Mobile cloud-based depression diagnosis using an ontology and a Bayesian network}, journal = {Future Gener. Comput. Syst.}, volume = {43-44}, pages = {87--98}, year = {2015}, url = {https://doi.org/10.1016/j.future.2014.05.004}, doi = {10.1016/J.FUTURE.2014.05.004}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ChangFLHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HsuLC15, author = {Chih{-}Cheng Hsu and Mark Po{-}Hung Lin and Yao{-}Tsung Chang}, title = {Crosstalk-aware multi-bit flip-flop generation for power optimization}, journal = {Integr.}, volume = {48}, pages = {146--157}, year = {2015}, url = {https://doi.org/10.1016/j.vlsi.2014.08.002}, doi = {10.1016/J.VLSI.2014.08.002}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HsuLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/YehFLCC15, author = {Chia{-}Hung Yeh and Shu{-}Jhen Fan{-}Jiang and Chih{-}Yang Lin and Min{-}Kuan Chang and Mei{-}Juan Chen}, title = {Inter-embedding error-resilient mechanism in scalable video coding}, journal = {Inf. Sci.}, volume = {294}, pages = {439--455}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2014.09.052}, doi = {10.1016/J.INS.2014.09.052}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/YehFLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/TingHYC15, author = {Der{-}Hong Ting and Nien{-}Lin Hsueh and Chao{-}Tung Yang and Chih{-}Hung Chang}, title = {A Cloud Service Implementation for Evaluating Design Pattern in Software Evolution}, journal = {J. Inf. Sci. Eng.}, volume = {31}, number = {3}, pages = {1051--1070}, year = {2015}, url = {http://www.iis.sinica.edu.tw/page/jise/2015/201505\_15.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/TingHYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenCWLPLCLLCWC15, author = {Yen{-}Huei Chen and Wei{-}Min Chan and Wei{-}Cheng Wu and Hung{-}Jen Liao and Kuo{-}Hua Pan and Jhon{-}Jhy Liaw and Tang{-}Hsuan Chung and Quincy Li and Chih{-}Yung Lin and Mu{-}Chi Chiang and Shien{-}Yang Wu and Jonathan Chang}, title = {A 16 nm 128 Mb {SRAM} in High-{\(\kappa\)} Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {170--177}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2349977}, doi = {10.1109/JSSC.2014.2349977}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenCWLPLCLLCWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungCYKLSHHLSHC15, author = {Chun{-}Hsiung Hung and Meng{-}Fan Chang and Yih{-}Shan Yang and Yao{-}Jen Kuo and Tzu{-}Neng Lai and Shin{-}Jang Shen and Jo{-}Yu Hsu and Shuo{-}Nan Hung and Hang{-}Ting Lue and Yen{-}Hao Shih and Shih{-}Lin Huang and Ti{-}Wen Chen and Tzung Shen Chen and Chung Kuang Chen and Chi{-}Yu Hung and Chih{-}Yuan Lu}, title = {Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate {BE-SONOS} {NAND} Flash Against Cross-Layer Process Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {6}, pages = {1491--1501}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413841}, doi = {10.1109/JSSC.2015.2413841}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HungCYKLSHHLSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChangCSHKK15, author = {Min{-}Kuan Chang and Ting{-}Chen Chen and Che{-}Ann Shen and Wan{-}Jen Huang and Chih{-}Hung Kuo and Chia{-}Chen Kuo}, title = {New results on connectivity in wireless network}, journal = {J. Vis. Commun. Image Represent.}, volume = {32}, pages = {74--82}, year = {2015}, url = {https://doi.org/10.1016/j.jvcir.2015.07.013}, doi = {10.1016/J.JVCIR.2015.07.013}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/ChangCSHKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehFLSC15, author = {Chia{-}Hung Yeh and Shu{-}Jhen Fan{-}Jiang and Chih{-}Yang Lin and Pei{-}Lun Suei and Min{-}Kuan Chang}, title = {A new intra prediction with adaptive template matching through finite state machine}, journal = {J. Vis. Commun. Image Represent.}, volume = {29}, pages = {33--45}, year = {2015}, url = {https://doi.org/10.1016/j.jvcir.2015.01.010}, doi = {10.1016/J.JVCIR.2015.01.010}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/YehFLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChangLHHC15, author = {Tao{-}Chih Chang and Chang{-}Chun Lee and Chia{-}Ping Hsieh and Sheng{-}Che Hung and Ren{-}Shin Cheng}, title = {Electrical characteristics and reliability performance of {IGBT} power device packaging by chip embedding technology}, journal = {Microelectron. Reliab.}, volume = {55}, number = {12}, pages = {2582--2588}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.10.004}, doi = {10.1016/J.MICROREL.2015.10.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChangLHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChouHHLCH15, author = {Chun{-}Tse Chou and Boris Hudec and Chung{-}Wei Hsu and Wei{-}Li Lai and Chih{-}Cheng Chang and Tuo{-}Hung Hou}, title = {Crossbar array of selector-less TaO\({}_{\mbox{x}}\)/TiO\({}_{\mbox{2}}\) bilayer {RRAM}}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2220--2223}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.04.002}, doi = {10.1016/J.MICROREL.2015.04.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChouHHLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/BanC15, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {Realization problem of multi-layer cellular neural networks}, journal = {Neural Networks}, volume = {70}, pages = {9--17}, year = {2015}, url = {https://doi.org/10.1016/j.neunet.2015.06.003}, doi = {10.1016/J.NEUNET.2015.06.003}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/BanC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LinCHCHC15, author = {Chun{-}Fu Lin and Chin{-}Sheng Chen and Wen{-}Jyi Hwang and Chih{-}Yen Chen and Chi{-}Hung Hwang and Chun{-}Li Chang}, title = {Novel outline features for pedestrian detection system with thermal images}, journal = {Pattern Recognit.}, volume = {48}, number = {11}, pages = {3440--3450}, year = {2015}, url = {https://doi.org/10.1016/j.patcog.2015.04.024}, doi = {10.1016/J.PATCOG.2015.04.024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/LinCHCHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ChangLYH15, author = {Chih{-}Hung Chang and Yi{-}Ping Luo and Chun{-}Wei Yang and Tzonelih Hwang}, title = {Intercept-and-resend attack on controlled bidirectional quantum direct communication and its improvement}, journal = {Quantum Inf. Process.}, volume = {14}, number = {9}, pages = {3515--3522}, year = {2015}, url = {https://doi.org/10.1007/s11128-015-1050-9}, doi = {10.1007/S11128-015-1050-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/ChangLYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePLHHCCH15, author = {Chi{-}Yuan Lee and Huan{-}Chih Peng and Shuo{-}Jen Lee and I{-}Ming Hung and Chien{-}Te Hsieh and Chuan{-}Sheng Chiou and Yu{-}Ming Chang and Yen{-}Pu Huang}, title = {A Flexible Three-in-One Microsensor for Real-Time Monitoring of Internal Temperature, Voltage and Current of Lithium Batteries}, journal = {Sensors}, volume = {15}, number = {5}, pages = {11485--11498}, year = {2015}, url = {https://doi.org/10.3390/s150511485}, doi = {10.3390/S150511485}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeePLHHCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiSLC15, author = {Yan{-}Rui Li and Chih{-}Chung Su and Wen{-}Jin Lin and Shuo{-}Hung Chang}, title = {Piezoelectric Sensor to Measure Soft and Hard Stiffness with High Sensitivity for Ultrasonic Transducers}, journal = {Sensors}, volume = {15}, number = {6}, pages = {13670--13679}, year = {2015}, url = {https://doi.org/10.3390/s150613670}, doi = {10.3390/S150613670}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiSLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HuangLWHLJ15, author = {Ching{-}Wen Huang and Kuo{-}Ping Lin and Ming{-}Chang Wu and Kuo{-}Chen Hung and Gia{-}Shie Liu and Chih{-}Hung Jen}, title = {Intuitionistic fuzzy {\textdollar}{\textdollar}c{\textdollar}{\textdollar} c -means clustering algorithm with neighborhood attraction in segmenting medical image}, journal = {Soft Comput.}, volume = {19}, number = {2}, pages = {459--470}, year = {2015}, url = {https://doi.org/10.1007/s00500-014-1264-2}, doi = {10.1007/S00500-014-1264-2}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/HuangLWHLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/KuoKHHHWLLYL15, author = {Po{-}Hung Kuo and Jui{-}Chang Kuo and Hsiao{-}Ting Hsueh and Jian{-}Yu Hsieh and Yi{-}Chun Huang and Tao Wang and Yen{-}Hung Lin and Chih{-}Ting Lin and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {A Smart {CMOS} Assay SoC for Rapid Blood Screening Test of Risk Prediction}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {9}, number = {6}, pages = {790--800}, year = {2015}, url = {https://doi.org/10.1109/TBCAS.2015.2507618}, doi = {10.1109/TBCAS.2015.2507618}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/KuoKHHHWLLYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoOCT15, author = {Kuan{-}Hsien Ho and Hung{-}Chih Ou and Yao{-}Wen Chang and Hui{-}Fang Tsao}, title = {Coupling-Aware Length-Ratio-Matching Routing for Capacitor Arrays in Analog Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {2}, pages = {161--172}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2014.2379656}, doi = {10.1109/TCAD.2014.2379656}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoOCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TsaiCWL15, author = {Chang{-}Hung Tsai and Yu{-}Ting Chih and Wing Hung Wong and Chen{-}Yi Lee}, title = {A Hardware-Efficient Sigmoid Function With Adjustable Precision for a Neural Network System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {11}, pages = {1073--1077}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2456531}, doi = {10.1109/TCSII.2015.2456531}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TsaiCWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinCHTC15, author = {Chih{-}Lung Lin and Yi{-}Ming Chang and Chia{-}Che Hung and Chun{-}Da Tu and Cheng{-}Yan Chuang}, title = {Position Estimation and Smooth Tracking With a Fuzzy-Logic-Based Adaptive Strong Tracking Kalman Filter for Capacitive Touch Panels}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {8}, pages = {5097--5108}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2396874}, doi = {10.1109/TIE.2015.2396874}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinCHTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ShenLYHP15, author = {Chih{-}Tsung Shen and Hung{-}Hsun Liu and Ming{-}Hsuan Yang and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Viewing-Distance Aware Super-Resolution for High-Definition Display}, journal = {{IEEE} Trans. Image Process.}, volume = {24}, number = {1}, pages = {403--418}, year = {2015}, url = {https://doi.org/10.1109/TIP.2014.2375639}, doi = {10.1109/TIP.2014.2375639}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ShenLYHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ChangLLWW15, author = {Ho{-}Hsuan Chang and Chih{-}Peng Li and Chong{-}Dao Lee and Sen{-}Hung Wang and Tsung{-}Cheng Wu}, title = {Perfect Gaussian Integer Sequences of Arbitrary Composite Length}, journal = {{IEEE} Trans. Inf. Theory}, volume = {61}, number = {7}, pages = {4107--4115}, year = {2015}, url = {https://doi.org/10.1109/TIT.2015.2438828}, doi = {10.1109/TIT.2015.2438828}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/ChangLLWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/Chang15, author = {Chih{-}Hung Chang}, title = {Deep and Shallow Architecture of Multilayer Neural Networks}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {26}, number = {10}, pages = {2477--2486}, year = {2015}, url = {https://doi.org/10.1109/TNNLS.2014.2387439}, doi = {10.1109/TNNLS.2014.2387439}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/Chang15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/HsiehCL15, author = {Hung{-}Yun Hsieh and Chih{-}Hua Chang and Wei{-}Chih Liao}, title = {Not Every Bit Counts: Data-Centric Resource Allocation for Correlated Data Gathering in Machine-to-Machine Wireless Networks}, journal = {{ACM} Trans. Sens. Networks}, volume = {11}, number = {2}, pages = {38:1--38:33}, year = {2015}, url = {https://doi.org/10.1145/2700270}, doi = {10.1145/2700270}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/HsiehCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ChenLCCTP15, author = {Ji Chen and Jen{-}Chung Lou and Kuan{-}Chang Chang and Ting{-}Chang Chang and Tsung{-}Ming Tsai and Chih{-}Hung Pan}, title = {Influence of nitrogen buffering on oxygen in indium-tin-oxide capped resistive random access memory with {NH3} treatment}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, year = {2015}, crossref = {DBLP:conf/asicon/2015}, url = {https://doi.org/10.1109/ASICON.2015.7516973}, doi = {10.1109/ASICON.2015.7516973}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ChenLCCTP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChungLLSLHLWHHC15, author = {Yuan{-}Hung Chung and Che{-}Hung Liao and Chun{-}Wei Lin and Yi{-}Shing Shih and Chin{-}Fu Li and Meng{-}Hsiung Hung and Ming{-}Chung Liu and Pi{-}An Wu and Jui{-}Lin Hsu and Ming{-}Yeh Hsu and Sheng{-}Hao Chen and Po{-}Yu Chang and Chih{-}Hao Chen and Yu{-}Hsien Chang and Jun{-}Yu Chen and Tao{-}Yao Chang and George Chien}, title = {A dual-band 802.11abgn/ac transceiver with integrated {PA} and {T/R} switch in a digital noise controlled SoC}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, year = {2015}, crossref = {DBLP:conf/cicc/2015}, url = {https://doi.org/10.1109/CICC.2015.7338361}, doi = {10.1109/CICC.2015.7338361}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChungLLSLHLWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cig/ChangHH15, author = {Hung{-}Jui Chang and Chih{-}Wen Hsueh and Tsan{-}sheng Hsu}, title = {Convergence and correctness analysis of Monte-Carlo tree search algorithms: {A} case study of 2 by 4 Chinese dark chess}, booktitle = {2015 {IEEE} Conference on Computational Intelligence and Games, {CIG} 2015, Tainan, Taiwan, August 31 - September 2, 2015}, pages = {260--266}, year = {2015}, crossref = {DBLP:conf/cig/2015}, url = {https://doi.org/10.1109/CIG.2015.7317963}, doi = {10.1109/CIG.2015.7317963}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/cig/ChangHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YangCHCCLC15, author = {Chao{-}Tung Yang and William C. C. Chu and Huang{-}Nan Huang and Shuo{-}Tsung Chen and Der{-}Fa Chen and Chiu{-}Chun Lin and Chih{-}Hung Chang}, title = {Optimizing {PSNR} for Image Watermarking Using Summation Quantization on {DWT} Coefficients}, booktitle = {39th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2015, Taichung, Taiwan, July 1-5, 2015. Volume 1}, pages = {68--74}, year = {2015}, crossref = {DBLP:conf/compsac/2015yau}, url = {https://doi.org/10.1109/COMPSAC.2015.194}, doi = {10.1109/COMPSAC.2015.194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YangCHCCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuTC15, author = {Hung{-}Chih Ou and Kai{-}Han Tseng and Yao{-}Wen Chang}, title = {Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithography}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {190:1--190:6}, year = {2015}, crossref = {DBLP:conf/dac/2015}, url = {https://doi.org/10.1145/2744769.2744813}, doi = {10.1145/2744769.2744813}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuTLWC15, author = {Hung{-}Chih Ou and Kai{-}Han Tseng and Jhao{-}Yan Liu and I{-}Peng Wu and Yao{-}Wen Chang}, title = {Layout-dependent-effects-aware analytical analog placement}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {189:1--189:6}, year = {2015}, crossref = {DBLP:conf/dac/2015}, url = {https://doi.org/10.1145/2744769.2744865}, doi = {10.1145/2744769.2744865}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuTLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiCCLWL15, author = {Chih{-}Lu Lai and Hsin{-}Liang Chen and Yung{-}Chang Cheng and Zong{-}Cheng Li and Yuan{-}Hung Wu and Wei{-}Min Liu}, title = {Multi-camera real-time breathing guidance system for radiotherapy}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {374--375}, year = {2015}, crossref = {DBLP:conf/icce-tw/2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216951}, doi = {10.1109/ICCE-TW.2015.7216951}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiCCLWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichl/TsaiCHTDY15, author = {Cheng{-}Yu Tsai and Chih{-}Tsan Chang and Jenq{-}Muh Hsu and Hung{-}Hsu Tsai and Zhicheng Dai and Pao{-}Ta Yu}, title = {Toward a Highly Interactive Model of Flipped Learning}, booktitle = {Hybrid Learning: Innovation in Educational Practices - 8th International Conference, {ICHL} 2015, Wuhan, China, July 27-29, 2015, Proceedings}, pages = {173--186}, year = {2015}, crossref = {DBLP:conf/ichl/2015}, url = {https://doi.org/10.1007/978-3-319-20621-9\_14}, doi = {10.1007/978-3-319-20621-9\_14}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichl/TsaiCHTDY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraWCLSWLL15, author = {Hidehiro Fujiwara and Li{-}Wen Wang and Yen{-}Huei Chen and Kao{-}Cheng Lin and Dar Sun and Shin{-}Rung Wu and Jhon{-}Jhy Liaw and Chih{-}Yung Lin and Mu{-}Chi Chiang and Hung{-}Jen Liao and Shien{-}Yang Wu and Jonathan Chang}, title = {17.2 {A} 64kb 16nm asynchronous disturb current free 2-port {SRAM} with {PMOS} pass-gates for FinFET technologies}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, year = {2015}, crossref = {DBLP:conf/isscc/2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063051}, doi = {10.1109/ISSCC.2015.7063051}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraWCLSWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KuoKHHHWLLYL15, author = {Po{-}Hung Kuo and Jui{-}Chang Kuo and Hsiao{-}Ting Hsueh and Jian{-}Yu Hsieh and Yi{-}Chun Huang and Tao Wang and Yen{-}Hung Lin and Chih{-}Ting Lin and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {21.6 {A} smart {CMOS} assay SoC for rapid blood screening test of risk prediction}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, year = {2015}, crossref = {DBLP:conf/isscc/2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063090}, doi = {10.1109/ISSCC.2015.7063090}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KuoKHHHWLLYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangYHLHLKHHS15, author = {Chun{-}Ming Chang and Chih{-}Sheng Yu and Fan{-}Chun Hsieh and Chun{-}Ting Lin and Tsung{-}Tao Huang and Ping{-}Hung Lin and Jiann{-}Shiun Kao and Chien{-}Nan Hsiao and Ming{-}Hua Shiao}, title = {A parametric study of {ICP-RIE} etching on a lithium niobate substrate}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {485--486}, year = {2015}, crossref = {DBLP:conf/nems/2015}, url = {https://doi.org/10.1109/NEMS.2015.7147473}, doi = {10.1109/NEMS.2015.7147473}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangYHLHLKHHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/WeiYPWC15, author = {Chih{-}Ping Wei and Chin{-}Sheng Yang and Chih{-}Hung Peng and Hao{-}Fan Wang and Chun{-}Mao Chang}, title = {Applying Technological Resource-Based View for Strategic Alliance Formation Prediction}, booktitle = {19th Pacific Asia Conference on Information Systems, {PACIS} 2015, Singapore, July 5-9, 2015}, pages = {166}, year = {2015}, crossref = {DBLP:conf/pacis/2015}, url = {http://aisel.aisnet.org/pacis2015/166}, timestamp = {Sat, 12 Dec 2015 19:19:58 +0100}, biburl = {https://dblp.org/rec/conf/pacis/WeiYPWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simultech/ChangCFHHTW15, author = {Hung{-}Jui Chang and Jen{-}Hsiang Chuang and Yang{-}Chih Fu and Tsan{-}sheng Hsu and Chi{-}Wen Hsueh and Shu{-}Chen Tsai and Da{-}Wei Wang}, title = {The Impact of Household Structures on Pandemic Influenza Vaccination Priority}, booktitle = {{SIMULTECH} 2015 - Proceedings of the 5th International Conference on Simulation and Modeling Methodologies, Technologies and Applications, Colmar, Alsace, France, 21 - 23 July, 2015}, pages = {482--487}, year = {2015}, crossref = {DBLP:conf/simultech/2015}, url = {https://doi.org/10.5220/0005539204820487}, doi = {10.5220/0005539204820487}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/simultech/ChangCFHHTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsa/ChuHCA15, author = {William Cheng{-}Chung Chu and Stephen Hung and Chih{-}Hung Chang and Sheikh Iqbal Ahamed}, title = {Applying SPOCs for Programming Course to Improve Study Quality}, booktitle = {2015 Second International Conference on Trustworthy Systems and Their Applications, {TSA} 2015, Hualien, Taiwan, July 8-9, 2015}, pages = {128--134}, year = {2015}, crossref = {DBLP:conf/tsa/2015}, url = {https://doi.org/10.1109/TSA.2015.28}, doi = {10.1109/TSA.2015.28}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tsa/ChuHCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/DowHLTTWWYJCHHH15, author = {Hsu{-}Kang Dow and Ching{-}Hua Huang and Chun{-}Hung Lai and Kai{-}Hsiang Tsao and Sheng{-}Chih Tseng and Kun{-}Yi Wu and Ting{-}Hsuan Wu and Ho{-}Chun Yang and Da{-}Jing Zhang{-}Jian and Yun{-}Nan Chang and Steve Haga and Shen{-}Fu Hsiao and Ing{-}Jer Huang and Shiann{-}Rong Kuang and Chung{-}Nan Lee}, title = {An OpenGL {ES} 2.0 3D graphics SoC with versatile {HW/SW} development support}, booktitle = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, pages = {1--4}, year = {2015}, crossref = {DBLP:conf/vlsi-dat/2015}, url = {https://doi.org/10.1109/VLSI-DAT.2015.7114496}, doi = {10.1109/VLSI-DAT.2015.7114496}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/DowHLTTWWYJCHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/YinHLC15, author = {Dawei Yin and Chih{-}Chieh Hung and Rui Li and Yi Chang}, title = {The 2nd workshop on Vertical Search Relevance at {WSDM} 2015}, booktitle = {Proceedings of the Eighth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2015, Shanghai, China, February 2-6, 2015}, pages = {427--428}, year = {2015}, crossref = {DBLP:conf/wsdm/2015}, url = {https://doi.org/10.1145/2684822.2697031}, doi = {10.1145/2684822.2697031}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/YinHLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cera/ChenYKCC14, author = {Hung{-}Yuan Chen and Chih{-}Chieh Yang and Yao{-}Tsung Ko and Yu{-}Ming Chang and Hua{-}Cheng Chang}, title = {Product form feature selection methodology based on numerical definition-based design}, journal = {Concurr. Eng. Res. Appl.}, volume = {22}, number = {3}, pages = {183--196}, year = {2014}, url = {https://doi.org/10.1177/1063293X14534456}, doi = {10.1177/1063293X14534456}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cera/ChenYKCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/LinCHWYC14, author = {Shun{-}Shii Lin and Chih{-}Hung Chen and Shun{-}Chin Hsu and I{-}Chen Wu and Shi{-}Jim Yen and Jr{-}Chang Chen}, title = {{TCGA} 2014 Computer Game Tournament}, journal = {J. Int. Comput. Games Assoc.}, volume = {37}, number = {4}, pages = {226--229}, year = {2014}, url = {https://doi.org/10.3233/ICG-2014-37408}, doi = {10.3233/ICG-2014-37408}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/LinCHWYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HungBCT14, author = {Chi{-}Huang Hung and Ying{-}Wen Bai and Wen{-}Chung Chang and Ren{-}Yi Tsai}, title = {A Home {LED} Light Control System with an Automatic Brightness Tuning to Reduce the Difference in Luminous Decay}, journal = {{IEICE} Trans. Electron.}, volume = {97-C}, number = {11}, pages = {1124--1129}, year = {2014}, url = {https://doi.org/10.1587/transele.E97.C.1124}, doi = {10.1587/TRANSELE.E97.C.1124}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HungBCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitn/TsengCWCC14, author = {Pin{-}Yi Tseng and Chiung{-}Wen Chang and Chi{-}Hung Wu and Wan{-}Hui Chen and Sheng{-}Hsiung Chang}, title = {Allocation Criteria for Increasing Electronic Toll Collection Gates on Freeways Determined Using Simulation Analysis}, journal = {Int. J. Interdiscip. Telecommun. Netw.}, volume = {6}, number = {1}, pages = {26--38}, year = {2014}, url = {https://doi.org/10.4018/ijitn.2014010103}, doi = {10.4018/IJITN.2014010103}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitn/TsengCWCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/YuCF14, author = {Chih{-}Chang Yu and Chien{-}Hung Cheng and Kuo{-}Chin Fan}, title = {A Gait Classification System using Optical Flow Features}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {1}, pages = {179--193}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201401\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/YuCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenCLCL14, author = {Lichin Chen and Chih{-}Min Chan and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {Development of a Decision Support Engine to Assist Patients with Hospital Selection}, journal = {J. Medical Syst.}, volume = {38}, number = {6}, pages = {59}, year = {2014}, url = {https://doi.org/10.1007/s10916-014-0059-4}, doi = {10.1007/S10916-014-0059-4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenCLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinTCHHYFCHCHAWSM14, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Chih{-}Hsien Chang and Wen{-}Hung Huang and Ying{-}Yu Hsu and Shu{-}Chun Yang and Chin{-}Ming Fu and Mao{-}Hsuan Chou and Tien{-}Chien Huang and Ching{-}Fang Chen and Tze{-}Chiang Huang and Saman Adham and Min{-}Jer Wang and William Wu Shen and Ashok Mehta}, title = {A 1 Tbit/s Bandwidth 1024 b PLL/DLL-Less eDRAM {PHY} Using 0.3 {V} 0.105 mW/Gbps Low-Swing {IO} for CoWoS Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {4}, pages = {1063--1074}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2297399}, doi = {10.1109/JSSC.2013.2297399}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinTCHHYFCHCHAWSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/HsuLSHL14, author = {Chi{-}Pin Hsu and Shang{-}Chih Lin and Kao{-}Shang Shih and Chang{-}Hung Huang and Chian{-}Her Lee}, title = {Predicting 3D pose in partially overlapped X-ray images of knee prostheses using model-based Roentgen stereophotogrammetric analysis {(RSA)}}, journal = {Medical Biol. Eng. Comput.}, volume = {52}, number = {12}, pages = {1061--1071}, year = {2014}, url = {https://doi.org/10.1007/s11517-014-1206-2}, doi = {10.1007/S11517-014-1206-2}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/HsuLSHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HuWL14, author = {Wei{-}Kai Hu and Chih Hung Wu and Chang Hong Lin}, title = {Economic approximate-K color printing algorithm}, journal = {Multim. Tools Appl.}, volume = {72}, number = {1}, pages = {151--166}, year = {2014}, url = {https://doi.org/10.1007/s11042-012-1345-0}, doi = {10.1007/S11042-012-1345-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HuWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HsuTSLKCCHLHJLCWLLLH14, author = {Sheng{-}Da Hsu and Yu{-}Ting Tseng and Sirjana Shrestha and Yu{-}Ling Lin and Anas Khaleel and Chih{-}Hung Chou and Chao{-}Fang Chu and Hsi{-}Yuan Huang and Ching{-}Min Lin and Shu{-}Yi Ho and Ting{-}Yan Jian and Feng{-}Mao Lin and Tzu{-}Hao Chang and Shun{-}Long Weng and Kuang{-}Wen Liao and I{-}En Liao and Chun{-}Chi Liu and Hsien{-}Da Huang}, title = {miRTarBase update 2014: an information resource for experimentally validated miRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Database-Issue}, pages = {78--85}, year = {2014}, url = {https://doi.org/10.1093/nar/gkt1266}, doi = {10.1093/NAR/GKT1266}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HsuTSLKCCHLHJLCWLLLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HsuTLCJ14, author = {Tzu{-}Yu Hsu and Philip Tseng and Wei{-}Kuang Liang and Shih{-}Kuen Cheng and Chi{-}Hung Juan}, title = {Transcranial direct current stimulation over right posterior parietal cortex changes prestimulus alpha oscillation in visual short-term memory task}, journal = {NeuroImage}, volume = {98}, pages = {306--313}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2014.04.069}, doi = {10.1016/J.NEUROIMAGE.2014.04.069}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HsuTLCJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ol/ChangFS14, author = {Huilan Chang and Hung{-}Lin Fu and Chih{-}Huai Shih}, title = {Learning a hidden graph}, journal = {Optim. Lett.}, volume = {8}, number = {8}, pages = {2341--2348}, year = {2014}, url = {https://doi.org/10.1007/s11590-014-0751-9}, doi = {10.1007/S11590-014-0751-9}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ol/ChangFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCCWL14, author = {Chang{-}Hung Lee and Wen{-}Yu Chuang and Melissa A. Cowan and Wen{-}Jung Wu and Chih{-}Ting Lin}, title = {A Low-Power Integrated Humidity {CMOS} Sensor by Printing-on-Chip Technology}, journal = {Sensors}, volume = {14}, number = {5}, pages = {9247--9255}, year = {2014}, url = {https://doi.org/10.3390/s140509247}, doi = {10.3390/S140509247}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChangCH14, author = {Ching{-}Lung Chang and Wei{-}Ming Chen and Chi{-}Han Hung}, title = {Reliable Consideration of P2P-Based VoD System With Interleaved Video Frame Distribution}, journal = {{IEEE} Syst. J.}, volume = {8}, number = {1}, pages = {304--312}, year = {2014}, url = {https://doi.org/10.1109/JSYST.2013.2258199}, doi = {10.1109/JSYST.2013.2258199}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChangCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeWLCL14, author = {Kuan{-}Chou Lee and Sen{-}Hung Wang and Chih{-}Peng Li and Ho{-}Hsuan Chang and Hsueh{-}Jyh Li}, title = {Adaptive Resource Allocation Algorithm Based on Cross-Entropy Method for {OFDMA} Systems}, journal = {{IEEE} Trans. Broadcast.}, volume = {60}, number = {3}, pages = {524--531}, year = {2014}, url = {https://doi.org/10.1109/TBC.2014.2339551}, doi = {10.1109/TBC.2014.2339551}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeeWLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ChiuWCCWCTCSKWCHCLCYCST14, author = {Shih{-}Wen Chiu and Jen{-}Huo Wang and Kwuang{-}Han Chang and Ting{-}Hau Chang and Chia{-}Min Wang and Chia{-}Lin Chang and Chen{-}Ting Tang and Chien{-}Fu Chen and Chung{-}Hung Shih and Han{-}Wen Kuo and Li{-}Chun Wang and Hsin Chen and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Yi{-}Wen Liu and Tsan{-}Jieh Chen and Chia{-}Hsiang Yang and Herming Chiueh and Jyuo{-}Min Shyu and Kea{-}Tiong Tang}, title = {A Fully Integrated Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated Pneumonia}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {765--778}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2377754}, doi = {10.1109/TBCAS.2014.2377754}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ChiuWCCWCTCSKWCHCLCYCST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OuCC14, author = {Hung{-}Chih Ou and Hsing{-}Chih Chang Chien and Yao{-}Wen Chang}, title = {Nonuniform Multilevel Analog Routing With Matching Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {12}, pages = {1942--1954}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2363394}, doi = {10.1109/TCAD.2014.2363394}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OuCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/LeeCL14, author = {Ching{-}Hung Lee and Feng{-}Yu Chang and Chih{-}Min Lin}, title = {An Efficient Interval Type-2 Fuzzy {CMAC} for Chaos Time-Series Prediction and Synchronization}, journal = {{IEEE} Trans. Cybern.}, volume = {44}, number = {3}, pages = {329--341}, year = {2014}, url = {https://doi.org/10.1109/TCYB.2013.2254113}, doi = {10.1109/TCYB.2013.2254113}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/LeeCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChiuTLHC14, author = {Chih{-}Yi Chiu and Tsung{-}Han Tsai and Yu{-}Cyuan Liou and Guei{-}Wun Han and Hung{-}Shuo Chang}, title = {Near-Duplicate Subsequence Matching Between the Continuous Stream and Large Video Dataset}, journal = {{IEEE} Trans. Multim.}, volume = {16}, number = {7}, pages = {1952--1962}, year = {2014}, url = {https://doi.org/10.1109/TMM.2014.2342668}, doi = {10.1109/TMM.2014.2342668}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ChiuTLHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/FanLCWWL14, author = {Yu{-}Cheng Fan and Chih{-}Kang Lin and Shih{-}Ying Chou and Chun{-}Hung Wang and Shu{-}Hsien Wu and Hung{-}Kuan Liu}, title = {Engineering Change Orders Design Using Multiple Variables Linear Programming for {VLSI} Design}, journal = {{VLSI} Design}, volume = {2014}, pages = {698041:1--698041:5}, year = {2014}, url = {https://doi.org/10.1155/2014/698041}, doi = {10.1155/2014/698041}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/FanLCWWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChangLWLC14, author = {Robert Chen{-}Hao Chang and Chih{-}Hung Lin and Ming{-}Fan Wei and Kuang{-}Hao Lin and Shiue{-}Ru Chen}, title = {High-Precision Real-Time Premature Ventricular Contraction {(PVC)} Detection System Based on Wavelet Transform}, journal = {J. Signal Process. Syst.}, volume = {77}, number = {3}, pages = {289--296}, year = {2014}, url = {https://doi.org/10.1007/s11265-013-0823-6}, doi = {10.1007/S11265-013-0823-6}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChangLWLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/HsiehCCYW14, author = {Chang{-}Hung Hsieh and Shih{-}Lung Chao and Yu{-}Yu Chen and Chih{-}Chieh Yang and Hung{-}Yu Wei}, title = {Smartphone Traffic Engineering for Energy Efficient Communications: Design and Experimental Evaluation}, journal = {Wirel. Pers. Commun.}, volume = {74}, number = {4}, pages = {1179--1196}, year = {2014}, url = {https://doi.org/10.1007/s11277-013-1571-4}, doi = {10.1007/S11277-013-1571-4}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/HsiehCCYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ChenHC14, author = {Hung{-}Chi Chen and Chih{-}Hao Hsu and Da{-}Kai Chang}, title = {Position sensorless control for five-phase permanent-magnet synchronous motors}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2014, Besancon, France, July 8-11, 2014}, pages = {794--799}, year = {2014}, crossref = {DBLP:conf/aimech/2014}, url = {https://doi.org/10.1109/AIM.2014.6878176}, doi = {10.1109/AIM.2014.6878176}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ChenHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/ChiuLCCYHM14, author = {Hung{-}Chih Chiu and Yi{-}Ting Lee and Chi{-}Fen Chuang and Yen{-}Chung Chang and Shih{-}Rung Yeh and Po{-}Chiun Huang and Hsi{-}Pin Ma}, title = {An intelligent brain machine interface with wireless micro-stimulation and neural recording}, booktitle = {Proceedings of {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2014, Valencia, Spain, June 1-4, 2014}, pages = {460--463}, year = {2014}, crossref = {DBLP:conf/bhi/2014}, url = {https://doi.org/10.1109/BHI.2014.6864402}, doi = {10.1109/BHI.2014.6864402}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/ChiuLCCYHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChuLWHLY14, author = {Kun{-}Da Chu and Ying{-}Tsang Lu and Chao{-}Wei Wang and Chih{-}Ming Hung and Meng{-}Chang Lee and Shih{-}Chieh Yen}, title = {A fully integrated translational tracking filter with {\textgreater}40dB blocker attenuation and {\textgreater}68dB harmonic rejection in 40nm for Digital {TV} tuner applications}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, year = {2014}, crossref = {DBLP:conf/cicc/2014}, url = {https://doi.org/10.1109/CICC.2014.6946122}, doi = {10.1109/CICC.2014.6946122}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChuLWHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChangLYCYTH14, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and Wen Pin Yang and William Cheng{-}Chung Chu and Chao{-}Tung Yang and Ching{-}Tsorng Tsai and Pao{-}Ann Hsiung}, title = {A SysML Based Requirement Modeling Automatic Transformation Approach}, booktitle = {{IEEE} 38th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2014, Vasteras, Sweden, July 21-25, 2014}, pages = {474--479}, year = {2014}, crossref = {DBLP:conf/compsac/2014w}, url = {https://doi.org/10.1109/COMPSACW.2014.80}, doi = {10.1109/COMPSACW.2014.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChangLYCYTH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWLCCCWCHYCLWCT14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chung{-}Hung Tsai}, title = {A 0.2nJ/pixel 4K 60fps Main-10 {HEVC} decoder with multi-format capabilities for {UHD-TV} applications}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {195--198}, year = {2014}, crossref = {DBLP:conf/esscirc/2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942055}, doi = {10.1109/ESSCIRC.2014.6942055}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWLCCCWCHYCLWCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/WuCH14, author = {Wen{-}Hong Wu and Chun{-}Li Chang and Chi{-}Hung Hwang}, title = {Automatic prismatic refractive power measuring instrument for eye-protector}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2014, Proceedings, Montevideo, Uruguay, May 12-15, 2014}, pages = {1256--1260}, year = {2014}, crossref = {DBLP:conf/i2mtc/2014}, url = {https://doi.org/10.1109/I2MTC.2014.6860946}, doi = {10.1109/I2MTC.2014.6860946}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/WuCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/ChangH14, author = {Ching{-}Lung Chang and Chi{-}Han Hung}, title = {Packet loss consideration of P2P-based VoD system with interleaved video frame distribution}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2014, Honolulu, HI, USA, February 3-6, 2014}, pages = {1056--1060}, year = {2014}, crossref = {DBLP:conf/iccnc/2014}, url = {https://doi.org/10.1109/ICCNC.2014.6785484}, doi = {10.1109/ICCNC.2014.6785484}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/ChangH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WuSSPL14, author = {Tailai Wu and Ling Sia and Yani Shi and Chih{-}Hung Peng and Yaobin Lu}, title = {Changing Perceptions of Companies' Website From Foreign to Local: An Experimental Study of Website Localization Strategies}, booktitle = {Proceedings of the International Conference on Information Systems - Building a Better World through Information Systems, {ICIS} 2014, Auckland, New Zealand, December 14-17, 2014}, year = {2014}, crossref = {DBLP:conf/icis/2014}, url = {http://aisel.aisnet.org/icis2014/proceedings/GlobalandCulturalIssues/6}, timestamp = {Tue, 24 Mar 2015 08:43:46 +0100}, biburl = {https://dblp.org/rec/conf/icis/WuSSPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/WilliamsWCAC14, author = {Drew Williams and Mong{-}Te Wang and Chih{-}Hung Chang and Sheikh Iqbal Ahamed and William C. Chu}, title = {Agile Development for the Creation of Proper Human-Computer Interfaces for the Elderly}, booktitle = {Smart Homes and Health Telematics - 12th International Conference, {ICOST} 2014, Denver, CO, USA, June 25-27, 2014, Revised Papers}, pages = {29--38}, year = {2014}, crossref = {DBLP:conf/icost/2014}, url = {https://doi.org/10.1007/978-3-319-14424-5\_4}, doi = {10.1007/978-3-319-14424-5\_4}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icost/WilliamsWCAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/WilliamsWCAC14a, author = {Drew Williams and Mong{-}Te Wang and Chih{-}Hung Chang and Sheikh Iqbal Ahamed and William C. Chu}, title = {ShowMeHow: Using Smart, Interactive Tutorials in Elderly Software Development}, booktitle = {Smart Homes and Health Telematics - 12th International Conference, {ICOST} 2014, Denver, CO, USA, June 25-27, 2014, Revised Papers}, pages = {49--58}, year = {2014}, crossref = {DBLP:conf/icost/2014}, url = {https://doi.org/10.1007/978-3-319-14424-5\_6}, doi = {10.1007/978-3-319-14424-5\_6}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icost/WilliamsWCAC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/TingWTKH14, author = {Kuo{-}Chang Ting and Hwang{-}Cheng Wang and Chih{-}Cheng Tseng and Fang{-}Chang Kuo and Hung Te Huang}, title = {Downlink Co-tier Interference Mitigation for Femtocell Networks}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {898--901}, year = {2014}, crossref = {DBLP:conf/iih-msp/2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.226}, doi = {10.1109/IIH-MSP.2014.226}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/TingWTKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChuCHKJSWAT14, author = {William Cheng{-}Chung Chu and Chih{-}Hung Chang and Tse{-}Chuan Hsu and Ferdaus Ahmed Kawsar and Nadiyah Johnson and Piyush Saxena and Drew Williams and Sheikh Iqbal Ahamed and Chia{-}Yu Tsao}, title = {A Novel Light Weight Balance Monitoring Tool for Elderly Care}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, pages = {1983--1992}, year = {2014}, crossref = {DBLP:conf/intcompsymp/2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1983}, doi = {10.3233/978-1-61499-484-8-1983}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChuCHKJSWAT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/YangOLHC14, author = {Chao{-}Tung Yang and Wei{-}Shen Ou and Yu{-}Shang Lo and Chao{-}Wei Huang and Chih{-}Hung Chang}, title = {Construction of {GPU} Virtualization Using {PCI} Direct Pass-Through in Cloud}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, pages = {1466--1475}, year = {2014}, crossref = {DBLP:conf/intcompsymp/2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1466}, doi = {10.3233/978-1-61499-484-8-1466}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/YangOLHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoMC14, author = {Chih{-}Hung Kuo and Ping Ma and Chi{-}Fang Chang}, title = {Band-selective estimation for IQ-imbalance and carrier frequency offset in {OFDM} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1708--1711}, year = {2014}, crossref = {DBLP:conf/iscas/2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865483}, doi = {10.1109/ISCAS.2014.6865483}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHLC14, author = {Hsi{-}En Liu and Shih{-}Che Hung and Chih{-}Wen Lu and Tsin{-}Yuan Chang}, title = {A low-power Spread Spectrum Clock Generator with an embeddable half-integer division ratio interpolator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1873--1876}, year = {2014}, crossref = {DBLP:conf/iscas/2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865524}, doi = {10.1109/ISCAS.2014.6865524}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuHLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCWLPLCLCLCW14, author = {Yen{-}Huei Chen and Wei{-}Min Chan and Wei{-}Cheng Wu and Hung{-}Jen Liao and Kuo{-}Hua Pan and Jhon{-}Jhy Liaw and Tang{-}Hsuan Chung and Quincy Li and George H. Chang and Chih{-}Yung Lin and Mu{-}Chi Chiang and Shien{-}Yang Wu and Sreedhar Natarajan and Jonathan Chang}, title = {13.5 {A} 16nm 128Mb {SRAM} in high-{\(\kappa\)} metal-gate FinFET technology with write-assist circuitry for low-VMIN applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {238--239}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757416}, doi = {10.1109/ISSCC.2014.6757416}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCWLPLCLCLCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenHCCLHHLL14, author = {Shang{-}Ping Chen and Chih{-}Chien Hung and Qui{-}Ting Chen and Sheng{-}Ming Chang and Ming{-}Shi Liou and Bo{-}Wei Hsieh and Hsiang{-}I Huang and Brian Liu and Yan{-}Bin Luo}, title = {26.6 {A} 2.667Gb/s {DDR3} memory interface with asymmetric {ODT} on wirebond package and single-side-mounted {PCB}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {448--449}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757508}, doi = {10.1109/ISSCC.2014.6757508}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenHCCLHHLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HeWGLSLLCLTGCJH14, author = {Ming He and Renaldi Winoto and Xiang Gao and Wayne Loeb and David Signoff and Wai Lau and Yuan Lu and Donghong Cui and Kun{-}Seok Lee and Sai{-}Wang Tam and Philip Godoy and Yung Chen and Sanghoon Joo and Changhui Hu and Arvind Anumula Paramanandam and Xiaoyue Wang and Chi{-}Hung Lin and Li Lin}, title = {20.5 {A} 40nm dual-band 3-stream 802.11a/b/g/n/ac {MIMO} {WLAN} SoC with 1.1Gb/s over-the-air throughput}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {350--351}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757465}, doi = {10.1109/ISSCC.2014.6757465}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HeWGLSLLCLTGCJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangCSCYYWHCCHC14, author = {Kea{-}Tiong Tang and Shih{-}Wen Chiu and Chung{-}Hung Shih and Chia{-}Ling Chang and Chia{-}Min Yang and Da{-}Jeng Yao and Jen{-}Huo Wang and Chien{-}Ming Huang and Hsin Chen and Kwuang{-}Han Chang and Chih{-}Cheng Hsieh and Ting{-}Hau Chang and Meng{-}Fan Chang and Chia{-}Min Wang and Yi{-}Wen Liu and Tsan{-}Jieh Chen and Chia{-}Hsiang Yang and Herming Chiueh and Jyuo{-}Min Shyu}, title = {24.5 {A} 0.5V 1.27mW nose-on-a-chip for rapid diagnosis of ventilator-associated pneumonia}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {420--421}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757496}, doi = {10.1109/ISSCC.2014.6757496}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TangCSCYYWHCCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, year = {2014}, crossref = {DBLP:conf/isscc/2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/ChenHCH14, author = {Pei{-}Ling Chen and Hao{-}Ping Ho and Chih{-}Hua Chang and Hung{-}Yun Hsieh}, title = {Analyzing and Minimizing Random Access Delay for Delay-Sensitive Machine-to-Machine Communications: {A} New Perspective on Adaptive Persistence Control}, booktitle = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, pages = {69--74}, year = {2014}, crossref = {DBLP:conf/ithings/2014}, url = {https://doi.org/10.1109/iThings.2014.19}, doi = {10.1109/ITHINGS.2014.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/ChenHCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/ChuangLLLW14, author = {Wen{-}Yu Chuang and Chang{-}Hung Lee and Chih{-}Ting Lin and Yu{-}Cheng Lien and Wen{-}Jong Wu}, title = {Self-Sustain Wireless Sensor Module}, booktitle = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, pages = {288--291}, year = {2014}, crossref = {DBLP:conf/ithings/2014}, url = {https://doi.org/10.1109/iThings.2014.51}, doi = {10.1109/ITHINGS.2014.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/ChuangLLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChenWCC14, author = {Jei{-}Fuu Chen and Ling{-}Ling Wu and Seng{-}Cho Timothy Chou and Chih{-}Hung Chang}, title = {Online Social Support for Weight Control and Improved Quality of Life}, booktitle = {18th Pacific Asia Conference on Information Systems, {PACIS} 2014, Chengdu, China, June 24-28, 2014}, pages = {222}, year = {2014}, crossref = {DBLP:conf/pacis/2014}, url = {http://aisel.aisnet.org/pacis2014/222}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pacis/ChenWCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/persuasive/ChenCCLLYCLLLTJSCH14, author = {Yong{-}Xiang Chen and Siek{-}Siang Chiang and Shu{-}Yun Chih and Wen{-}Ching Liao and Shih{-}Yao Lin and Shang{-}Hua Yang and Shun{-}Wen Cheng and Shih{-}Sung Lin and Yu{-}Shan Lin and Ming{-}Sui Lee and Jau{-}Yih Tsauo and Cheng{-}Min Jen and Chia{-}Shiang Shih and King{-}Jen Chang and Yi{-}Ping Hung}, title = {Opportunities for Persuasive Technology to Motivate Heavy Computer Users for Stretching Exercise}, booktitle = {Persuasive Technology - 9th International Conference, {PERSUASIVE} 2014, Padua, Italy, May 21-23, 2014. Proceedings}, pages = {25--30}, year = {2014}, crossref = {DBLP:conf/persuasive/2014}, url = {https://doi.org/10.1007/978-3-319-07127-5\_3}, doi = {10.1007/978-3-319-07127-5\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/persuasive/ChenCCLLYCLLLTJSCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChangCH14, author = {Chih{-}Hua Chang and Ronald Y. Chang and Hung{-}Yun Hsieh}, title = {High-fidelity energy-efficient machine-to-machine communication}, booktitle = {25th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communication, {PIMRC} 2014, Washington DC, USA, September 2-5, 2014}, pages = {91--96}, year = {2014}, crossref = {DBLP:conf/pimrc/2014}, url = {https://doi.org/10.1109/PIMRC.2014.7136139}, doi = {10.1109/PIMRC.2014.7136139}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChangCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcomp/SuCYCS14, author = {Jau{-}Ming Su and Chih{-}Hung Chang and Tsung{-}Ping Yang and Chun{-}Fu Chuang and Shang{-}Yen Su}, title = {Development of shortest path computing mechanism with consideration of commercial vehicles characteristics}, booktitle = {International Conference on Smart Computing, {SMARTCOMP} Workshops 2014, Hong Kong, November 5, 2014}, pages = {29--34}, year = {2014}, crossref = {DBLP:conf/smartcomp/2014w}, url = {https://doi.org/10.1109/SMARTCOMP-W.2014.7046679}, doi = {10.1109/SMARTCOMP-W.2014.7046679}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartcomp/SuCYCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsa/ChuYLCHHH14, author = {William Cheng{-}Chung Chu and Chao{-}Tung Yang and Chih{-}Wei Lu and Chih{-}Hung Chang and Nien{-}Lin Hsueh and Tse{-}Chuan Hsu and Stephen Hung}, title = {An Approach of Quality of Service Assurance for Enterprise Cloud Computing (QoSAECC)}, booktitle = {2014 International Conference on Trustworthy Systems and their Applications, {TSA} 2014, Taichung, Taiwan, June 9-10, 2014}, pages = {7--13}, year = {2014}, crossref = {DBLP:conf/tsa/2014}, url = {https://doi.org/10.1109/TSA.2014.11}, doi = {10.1109/TSA.2014.11}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tsa/ChuYLCHHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsa/WuHC14, author = {Geng{-}Hao Wu and Nien{-}Lin Hsueh and Chih{-}Hung Chang}, title = {A Framework for Questionnaire-Based Healthcare Application Development}, booktitle = {2014 International Conference on Trustworthy Systems and their Applications, {TSA} 2014, Taichung, Taiwan, June 9-10, 2014}, pages = {29--35}, year = {2014}, crossref = {DBLP:conf/tsa/2014}, url = {https://doi.org/10.1109/TSA.2014.14}, doi = {10.1109/TSA.2014.14}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tsa/WuHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JuLWCWHLLCCCWCH14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Huaide Wang and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Lin Hsieh and Brian Liu and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Ryan Yeh and Ted Chuang and Hsiu{-}Yi Lin and Chung{-}Hung Tsai}, title = {A 4K{\texttimes}2K@60fps multi-standard {TV} SoC processor with integrated {HDMI/MHL} receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, year = {2014}, crossref = {DBLP:conf/vlsic/2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858389}, doi = {10.1109/VLSIC.2014.6858389}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JuLWCWHLLCCCWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TsaiWHCKLCWCL14, author = {Chang{-}Hung Tsai and Tung{-}Yu Wu and Shu{-}Yu Hsu and Chia{-}Ching Chu and Fang{-}Ju Ku and Ying{-}Siou Laio and Chih{-}Lung Chen and Wing Hung Wong and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 7.11mJ/Gb/query data-driven machine learning processor (D\({}^{\mbox{2}}\)MLP) for big data analysis and applications}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, year = {2014}, crossref = {DBLP:conf/vlsic/2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858422}, doi = {10.1109/VLSIC.2014.6858422}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TsaiWHCKLCWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Chang14b, author = {Chih{-}Hung Chang}, title = {Strongly Mixing Properties of Multidimensional Cellular Automata}, journal = {CoRR}, volume = {abs/1410.2144}, year = {2014}, url = {http://arxiv.org/abs/1410.2144}, eprinttype = {arXiv}, eprint = {1410.2144}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Chang14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/BanC13, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {Diamond in multi-layer cellular neural networks}, journal = {Appl. Math. Comput.}, volume = {222}, pages = {1--12}, year = {2013}, url = {https://doi.org/10.1016/j.amc.2013.07.010}, doi = {10.1016/J.AMC.2013.07.010}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/BanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/BanC13, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {The layer effect on multi-layer cellular neural networks}, journal = {Appl. Math. Lett.}, volume = {26}, number = {7}, pages = {706--709}, year = {2013}, url = {https://doi.org/10.1016/j.aml.2013.01.013}, doi = {10.1016/J.AML.2013.01.013}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/BanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/ChouLCHCWSHHH13, author = {Chih{-}Hung Chou and Feng{-}Mao Lin and Min{-}Te Chou and Sheng{-}Da Hsu and Tzu{-}Hao Chang and Shun{-}Long Weng and Sirjana Shrestha and Chiung{-}Chih Hsiao and Jui{-}Hung Hung and Hsien{-}Da Huang}, title = {A computational approach for identifying microRNA-target interactions using high-throughput {CLIP} and {PAR-CLIP} sequencing}, journal = {{BMC} Genom.}, volume = {14}, number = {{S-1}}, pages = {S2}, year = {2013}, url = {https://doi.org/10.1186/1471-2164-14-S1-S2}, doi = {10.1186/1471-2164-14-S1-S2}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/ChouLCHCWSHHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/LinCCL13, author = {Chih{-}Hung Lin and Ssu{-}Kuang Chen and Shan{-}Mei Chang and Sunny S. J. Lin}, title = {Cross-lagged relationships between problematic Internet use and lifestyle changes}, journal = {Comput. Hum. Behav.}, volume = {29}, number = {6}, pages = {2615--2621}, year = {2013}, url = {https://doi.org/10.1016/j.chb.2013.06.029}, doi = {10.1016/J.CHB.2013.06.029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/LinCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/ChangHLS13, author = {Maw{-}Shang Chang and Ling{-}Ju Hung and Chih{-}Ren Lin and Ping{-}Chen Su}, title = {Finding large k-clubs in undirected graphs}, journal = {Computing}, volume = {95}, number = {9}, pages = {739--758}, year = {2013}, url = {https://doi.org/10.1007/s00607-012-0263-3}, doi = {10.1007/S00607-012-0263-3}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computing/ChangHLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/AkinBC13, author = {Hasan Akin and Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {On the Quantitative Behavior of the Linear Cellular Automata}, journal = {J. Cell. Autom.}, volume = {8}, number = {3-4}, pages = {205--231}, year = {2013}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-8-number-3-4-2013/jca-8-3-4-p-205-231/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/AkinBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/ChangFS13, author = {Huilan Chang and Hung{-}Lin Fu and Chih{-}Huai Shih}, title = {Threshold Group Testing on Inhibitor Model}, journal = {J. Comput. Biol.}, volume = {20}, number = {6}, pages = {464--470}, year = {2013}, url = {https://doi.org/10.1089/cmb.2012.0224}, doi = {10.1089/CMB.2012.0224}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/ChangFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenYLWCWWPHCCLCL13, author = {Lichin Chen and Hui{-}Chu Yu and Hao{-}Chun Li and Yi{-}Van Wang and Huang{-}Jen Chen and I{-}Ching Wang and Chiou{-}Shiang Wang and Hui{-}Yu Peng and Yu{-}Ling Hsu and Chi{-}Huang Chen and Lee{-}Ming Chuang and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {An Architecture Model for Multiple Disease Management Information Systems}, journal = {J. Medical Syst.}, volume = {37}, number = {2}, pages = {9931}, year = {2013}, url = {https://doi.org/10.1007/s10916-013-9931-x}, doi = {10.1007/S10916-013-9931-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenYLWCWWPHCCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangSLWLKLLCY13, author = {Meng{-}Fan Chang and Shin{-}Jang Shen and Chia{-}Chi Liu and Che{-}Wei Wu and Yu{-}Fan Lin and Ya{-}Chin King and Chorng{-}Jung Lin and Hung{-}Jen Liao and Yu{-}Der Chih and Hiroyuki Yamauchi}, title = {An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {864--877}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2235013}, doi = {10.1109/JSSC.2012.2235013}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChangSLWLKLLCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuCCCLWYLCTYSYCDMWC13, author = {Tzung{-}Han Wu and Hsiang{-}Hui Chang and Shin{-}Fu Chen and Chinq{-}Shiun Chiu and Li{-}Shin Lai and Chi{-}Hsueh Wang and Song{-}Yu Yang and Ta{-}Hsin Lin and Jhy{-}Rong Chen and Hung{-}Chieh Tsai and Chi{-}Yao Yu and Sheng{-}Yuan Su and Tai{-}Yuan Yu and Chieh{-}Chuan Chin and Guang{-}Kaai Dehng and Augusto Marques and Caiyi Wang and George Chien}, title = {A 65-nm {GSM/GPRS/EDGE} SoC With Integrated {BT/FM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {5}, pages = {1161--1173}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2253716}, doi = {10.1109/JSSC.2013.2253716}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuCCCLWYLCTYSYCDMWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/BanC13, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {The learning problem of multi-layer neural networks}, journal = {Neural Networks}, volume = {46}, pages = {116--123}, year = {2013}, url = {https://doi.org/10.1016/j.neunet.2013.05.006}, doi = {10.1016/J.NEUNET.2013.05.006}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/BanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scira/LinCW13, author = {Chih{-}Hung Lin and Tzung{-}Her Chen and Chang{-}Sian Wu}, title = {A batch image encryption scheme based on chaining random grids}, journal = {Sci. Iran.}, volume = {20}, number = {3}, pages = {670--681}, year = {2013}, url = {https://doi.org/10.1016/j.scient.2013.05.003}, doi = {10.1016/J.SCIENT.2013.05.003}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scira/LinCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HuangWCH13, author = {Chih{-}Hung Huang and Cheng Wen and Kuang{-}Chiung Chang and Chih{-}Lung Hsiao}, title = {Design of smart volume controller for televisions}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {59}, number = {3}, pages = {623--628}, year = {2013}, url = {https://doi.org/10.1109/TCE.2013.6626248}, doi = {10.1109/TCE.2013.6626248}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HuangWCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/LinLYCYL13, author = {Shih{-}Syun Lin and Chao{-}Hung Lin and I{-}Cheng Yeh and Shu{-}Huai Chang and Chih{-}Kuo Yeh and Tong{-}Yee Lee}, title = {Content-Aware Video Retargeting Using Object-Preserving Warping}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {19}, number = {10}, pages = {1677--1686}, year = {2013}, url = {https://doi.org/10.1109/TVCG.2013.75}, doi = {10.1109/TVCG.2013.75}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/LinLYCYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LinCLWCCLP13, author = {Chin{-}Feng Lin and Shun{-}Hsyung Chang and Chia{-}Chang Lee and Wen{-}Chin Wu and Wei{-}Hua Chen and Kao{-}Hung Chang and Jenny Chih{-}Yu Lee and Ivan A. Parinov}, title = {Underwater Acoustic Multimedia Communication Based on {MIMO-OFDM}}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {2}, pages = {1231--1245}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0871-4}, doi = {10.1007/S11277-012-0871-4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LinCLWCCLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChienYLLCZCLHCFLSKLL13, author = {Chun{-}Hsien Chien and Hsun Yu and Ching{-}Kuan Lee and Yu{-}Min Lin and Ren{-}Shin Cheng and Chau{-}Jie Zhan and Peng{-}Shu Chen and Chang{-}Chih Liu and Chao{-}Kai Hsu and Hsiang{-}Hung Chang and Huan{-}Chun Fu and Yuan{-}Chang Lee and Wen{-}Wei Shen and Cheng{-}Ta Ko and Wei{-}Chung Lo and Yung Jean Lu}, title = {Performance and process characteristic of glass interposer with through-glass-via(TGV)}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--7}, year = {2013}, crossref = {DBLP:conf/3dic/2013}, url = {https://doi.org/10.1109/3DIC.2013.6702380}, doi = {10.1109/3DIC.2013.6702380}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChienYLLCZCLHCFLSKLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MuhammadCWMHHFLHH13, author = {Khurram Muhammad and Ming{-}Cho Chen and Kai{-}Hung Wang and Kuang{-}Ping Ma and Yu{-}Lin Hiseh and Wei{-}Show Hsu and Yuan{-}Yu Fu and Meng{-}Chang Lee and Shuo{-}Yuan Hsiao and Chih{-}Ming Hung}, title = {An adaptive predistorter for wireless {LAN} RFSoC with embedded {PA} and {T/R} switch in 55nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, year = {2013}, crossref = {DBLP:conf/cicc/2013}, url = {https://doi.org/10.1109/CICC.2013.6658499}, doi = {10.1109/CICC.2013.6658499}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MuhammadCWMHHFLHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChangLCHXHL13, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Xin{-}Hao Huang and Dianxiang Xu and Tse{-}Chuan Hsu and Yu{-}Bin Lai}, title = {An {UML} Behavior Diagram Based Automatic Testing Approach}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {511--516}, year = {2013}, crossref = {DBLP:conf/compsac/2013w}, url = {https://doi.org/10.1109/COMPSACW.2013.116}, doi = {10.1109/COMPSACW.2013.116}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChangLCHXHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LuHCY13, author = {Chih{-}Wei Lu and Chih{-}Ming Hsieh and Chih{-}Hung Chang and Chao{-}Tung Yang}, title = {An Improvement to Data Service in Cloud Computing with Content Sensitive Transaction Analysis and Adaptation}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {463--468}, year = {2013}, crossref = {DBLP:conf/compsac/2013w}, url = {https://doi.org/10.1109/COMPSACW.2013.72}, doi = {10.1109/COMPSACW.2013.72}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LuHCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YangHCLCL13, author = {Chao{-}Tung Yang and Kuan{-}Lung Huang and William C. Chu and Kuan{-}Chou Lai and Chih{-}Hung Chang and Chih{-}Wei Lu}, title = {Implementation of Video and Medical Image Services in Cloud}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {451--456}, year = {2013}, crossref = {DBLP:conf/compsac/2013w}, url = {https://doi.org/10.1109/COMPSACW.2013.70}, doi = {10.1109/COMPSACW.2013.70}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YangHCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChienOCKC13, author = {Hsing{-}Chih Chang Chien and Hung{-}Chih Ou and Tung{-}Chieh Chen and Ta{-}Yu Kuan and Yao{-}Wen Chang}, title = {Double patterning lithography-aware analog placement}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {4:1--4:6}, year = {2013}, crossref = {DBLP:conf/dac/2013}, url = {https://doi.org/10.1145/2463209.2488738}, doi = {10.1145/2463209.2488738}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChienOCKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HoOCT13, author = {Kuan{-}Hsien Ho and Hung{-}Chih Ou and Yao{-}Wen Chang and Hui{-}Fang Tsao}, title = {Coupling-aware length-ratio-matching routing for capacitor arrays in analog integrated circuits}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {6:1--6:6}, year = {2013}, crossref = {DBLP:conf/dac/2013}, url = {https://doi.org/10.1145/2463209.2488740}, doi = {10.1145/2463209.2488740}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HoOCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuCC13, author = {Hung{-}Chih Ou and Hsing{-}Chih Chang Chien and Yao{-}Wen Chang}, title = {Simultaneous analog placement and routing with current flow and current density considerations}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {5:1--5:6}, year = {2013}, crossref = {DBLP:conf/dac/2013}, url = {https://doi.org/10.1145/2463209.2488739}, doi = {10.1145/2463209.2488739}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/ChenCYF13, author = {Ying{-}Nong Chen and Chi{-}Hung Chuang and Chih{-}Chang Yu and Kuo{-}Chin Fan}, title = {Fall Detection in Dusky Environment}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, pages = {1131--1138}, year = {2013}, crossref = {DBLP:conf/emc/2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_129}, doi = {10.1007/978-94-007-7262-5\_129}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/ChenCYF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeHCCWY13, author = {Tsung{-}Han Lee and Ming{-}Chun Hsieh and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Chih{-}Hao Wen and Kian Meng Yap}, title = {Avoiding Collisions Between {IEEE} 802.11 and {IEEE} 802.15.4 Using Coexistence Inter-Frame Space}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, pages = {1185--1193}, year = {2013}, crossref = {DBLP:conf/emc/2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_135}, doi = {10.1007/978-94-007-7262-5\_135}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeHCCWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeWCCH13, author = {Tsung{-}Han Lee and Chih{-}Hao Wen and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Ming{-}Chun Hsieh}, title = {A Lightweight Intrusion Detection Scheme Based on Energy Consumption Analysis in 6LowPAN}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, pages = {1205--1213}, year = {2013}, crossref = {DBLP:conf/emc/2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_137}, doi = {10.1007/978-94-007-7262-5\_137}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeWCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KuHCHK13, author = {Pei{-}Ying Ku and Sheue{-}Ling Hwang and Hsin{-}Chang Chang and Jian{-}Yung Hung and Chih{-}Chung Kuo}, title = {Ergonomics Design on Expert Convenience of Voice-Based Interface for Vehicle's {AV} Systems}, booktitle = {Human-Computer Interaction. Applications and Services - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, pages = {606--611}, year = {2013}, crossref = {DBLP:conf/hci/2013-2}, url = {https://doi.org/10.1007/978-3-642-39262-7\_68}, doi = {10.1007/978-3-642-39262-7\_68}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/KuHCHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WeiHCHK13, author = {Ming{-}Hsuan Wei and Sheue{-}Ling Hwang and Hsin{-}Chang Chang and Jian{-}Yung Hung and Chih{-}Chung Kuo}, title = {Ergonomics Design with Novice Elicitation on an Auditory-Only In-Vehicle Speech System}, booktitle = {Human-Computer Interaction. Applications and Services - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, pages = {654--660}, year = {2013}, crossref = {DBLP:conf/hci/2013-2}, url = {https://doi.org/10.1007/978-3-642-39262-7\_74}, doi = {10.1007/978-3-642-39262-7\_74}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/WeiHCHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/ChenCHW13, author = {Yung{-}Hsiang Chen and Chun{-}Li Chang and Chi{-}Hung Hwang and Wei{-}Chung Wang}, title = {Omnidirectional image of fish-eye lens for contact lens inspection system}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2013, Minneapolis, MN, USA, May 6-9, 2013}, pages = {1152--1155}, year = {2013}, crossref = {DBLP:conf/i2mtc/2013}, url = {https://doi.org/10.1109/I2MTC.2013.6555594}, doi = {10.1109/I2MTC.2013.6555594}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/ChenCHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/ChangLCL13, author = {Sheng{-}Shiang Chang and Chih{-}Hung Lin and Tzung{-}Her Chen and Kai{-}Siang Lin}, title = {Robust Watermarking for Multiple Images and Users Based on Visual Cryptography}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Seventh International Conference on Genetic and Evolutionary Computing, {ICGEC} 2013, August 25-27, 2013, Prague, Czech Republic}, pages = {175--182}, year = {2013}, crossref = {DBLP:conf/icgec/2013}, url = {https://doi.org/10.1007/978-3-319-01796-9\_18}, doi = {10.1007/978-3-319-01796-9\_18}, timestamp = {Wed, 07 Dec 2022 23:12:59 +0100}, biburl = {https://dblp.org/rec/conf/icgec/ChangLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LinCLC13, author = {Kai{-}Siang Lin and Tzung{-}Her Chen and Chih{-}Hung Lin and Sheng{-}Shiang Chang}, title = {A Tailor-Made Encryption Scheme for High-Dynamic Range Images}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Seventh International Conference on Genetic and Evolutionary Computing, {ICGEC} 2013, August 25-27, 2013, Prague, Czech Republic}, pages = {183--192}, year = {2013}, crossref = {DBLP:conf/icgec/2013}, url = {https://doi.org/10.1007/978-3-319-01796-9\_19}, doi = {10.1007/978-3-319-01796-9\_19}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LinCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HungSCCT13, author = {Yao{-}Ling Hung and Chih{-}Wen Su and Yuan{-}Hsiang Chang and Jyh{-}Chian Chang and Hsiao{-}Rong Tyan}, title = {Skyline localization for mountain images}, booktitle = {Proceedings of the 2013 {IEEE} International Conference on Multimedia and Expo, {ICME} 2013, San Jose, CA, USA, July 15-19, 2013}, pages = {1--6}, year = {2013}, crossref = {DBLP:conf/icmcs/2013}, url = {https://doi.org/10.1109/ICME.2013.6607424}, doi = {10.1109/ICME.2013.6607424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/HungSCCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ZengTC13, author = {Yi{-}Chong Zeng and Chi{-}Hung Tsai and Wen{-}Tsung Chang}, title = {Abnormal action warning on encrypted-coded surveillance video for home safety}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, San Jose, CA, USA, July 15-19, 2013}, pages = {1--6}, year = {2013}, crossref = {DBLP:conf/icmcs/2013w}, url = {https://doi.org/10.1109/ICMEW.2013.6618440}, doi = {10.1109/ICMEW.2013.6618440}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ZengTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LeeTL13, author = {Ching{-}Hung Lee and Yueh{-}Chang Tsai and Chih{-}Min Lin}, title = {Application of improved genetic algorithm on {IIR} filter optimization}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2013, Tianjin, China, July 14-17, 2013}, pages = {1436--1441}, year = {2013}, crossref = {DBLP:conf/icmlc/2013}, url = {https://doi.org/10.1109/ICMLC.2013.6890808}, doi = {10.1109/ICMLC.2013.6890808}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LeeTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenLLHP13, author = {Chih{-}Tsung Shen and Hung{-}Hsun Liu and Ming{-}Sui Lee and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Spatially-varying super-resolution for {HDTV}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1195--1198}, year = {2013}, crossref = {DBLP:conf/iscas/2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572066}, doi = {10.1109/ISCAS.2013.6572066}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenLLHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/LinCLTCCHW13, author = {Tsung{-}Hsing Lin and Liang{-}Bi Chen and Tung{-}Lin Lee and Yung{-}Chang Tseng and Chaio Hsuan Chuang and Chung Heng Chuang and Chih{-}Lin Hung and Chao{-}Wen Wu}, title = {A text-to-speech-based digital public address system for campus broadcasting and language listening training}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {281--282}, year = {2013}, crossref = {DBLP:conf/isce/2013}, url = {https://doi.org/10.1109/ISCE.2013.6570228}, doi = {10.1109/ISCE.2013.6570228}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/LinCLTCCHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/WuL13, author = {Chih{-}Hung Wu and Chang Hong Lin}, title = {Depth-based hand gesture recognition for home appliance control}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {279--280}, year = {2013}, crossref = {DBLP:conf/isce/2013}, url = {https://doi.org/10.1109/ISCE.2013.6570227}, doi = {10.1109/ISCE.2013.6570227}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isce/WuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuLLHCOCNT13, author = {Hung{-}Chang Yu and Kai{-}Chun Lin and Ku{-}Feng Lin and Chin{-}Yi Huang and Yu{-}Der Chih and Tong{-}Chern Ong and Tsung{-}Yung Jonathan Chang and Sreedhar Natarajan and Luan C. Tran}, title = {Cycling endurance optimization scheme for 1Mb {STT-MRAM} in 40nm technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {224--225}, year = {2013}, crossref = {DBLP:conf/isscc/2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487710}, doi = {10.1109/ISSCC.2013.6487710}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuLLHCOCNT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChangHCWW13, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Chao{-}Tsun Chang and Tzu{-}Lin Wang and Tzu{-}Chia Wang}, title = {A quorum-based multi-channel {MAC} protocol for wireless ad hoc networks}, booktitle = {2013 9th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2013, Sardinia, Italy, July 1-5, 2013}, pages = {855--860}, year = {2013}, crossref = {DBLP:conf/iwcmc/2013}, url = {https://doi.org/10.1109/IWCMC.2013.6583669}, doi = {10.1109/IWCMC.2013.6583669}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ChangHCWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChangHCWW13a, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Chao{-}Tsun Chang and Tzu{-}Lin Wang and Tzu{-}Chia Wang}, title = {A Cognitive Radio {MAC} protocol for exploiting bandwidth utilization in wireless networks}, booktitle = {2013 9th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2013, Sardinia, Italy, July 1-5, 2013}, pages = {1774--1779}, year = {2013}, crossref = {DBLP:conf/iwcmc/2013}, url = {https://doi.org/10.1109/IWCMC.2013.6583825}, doi = {10.1109/IWCMC.2013.6583825}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ChangHCWW13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/HsuCCHHL13, author = {Tse{-}Chuan Hsu and Chih{-}Hung Chang and William C. Chu and Shinn{-}Ying Ho and Nien{-}Lin Hsueh and Wei{-}Bin Lee}, title = {Applying Cloud Computing Technologies to Gerontology and Geriatrics Health Care System {(GGHCS)}}, booktitle = {2013 13th International Conference on Quality Software, Najing, China, July 29-30, 2013}, pages = {355--360}, year = {2013}, crossref = {DBLP:conf/qsic/2013}, url = {https://doi.org/10.1109/QSIC.2013.33}, doi = {10.1109/QSIC.2013.33}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/HsuCCHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/HsuehCCYLC13, author = {Nien{-}Lin Hsueh and Pei{-}Sheng Chen and William Cheng{-}Chung Chu and Chao{-}Tung Yang and Chih{-}Wei Lu and Chih{-}Hung Chang}, title = {A Mobile Centered and Web Service Integrated Approach for Legacy eHealth Web Migration}, booktitle = {2013 13th International Conference on Quality Software, Najing, China, July 29-30, 2013}, pages = {361--366}, year = {2013}, crossref = {DBLP:conf/qsic/2013}, url = {https://doi.org/10.1109/QSIC.2013.34}, doi = {10.1109/QSIC.2013.34}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/HsuehCCYLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/KuWCCY13, author = {Chia{-}Lun Ku and Yu{-}Shuen Wang and Chia{-}Sheng Chang and Hung{-}Kuo Chu and Chih{-}Yuan Yao}, title = {Refocusing images captured from a stereoscopic camera}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '13, Anaheim, CA, USA, July 21-25, 2013, Poster Proceedings}, pages = {31}, year = {2013}, crossref = {DBLP:conf/siggraph/2013posters}, url = {https://doi.org/10.1145/2503385.2503419}, doi = {10.1145/2503385.2503419}, timestamp = {Fri, 12 Mar 2021 10:43:26 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/KuWCCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeCCHWY13, author = {Tsung{-}Han Lee and Hung{-}Shiou Chiang and Lin{-}Huang Chang and Ming{-}Chun Hsieh and Chih{-}Hao Wen and Kian Meng Yap}, title = {Modeling and Performance Analysis of Route-Over and Mesh-Under Routing Schemes in 6LoWPAN}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {3802--3806}, year = {2013}, crossref = {DBLP:conf/smc/2013}, url = {https://doi.org/10.1109/SMC.2013.649}, doi = {10.1109/SMC.2013.649}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/LeeCCHWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/ChouLPLLCYCNCLLH13, author = {Pai H. Chou and Cheng{-}Ting Lee and Zan{-}Ya Peng and Jo{-}Ping Li and Tong Kun Lai and Chun{-}Min Chang and Cheng{-}Hsun Yang and Yi{-}Lin Chen and Chin{-}Chung Nien and Li{-}Huei Chen and Li{-}Yen Lai and Jen{-}Chao Lu and Shang{-}Chih Hung}, title = {A Bluetooth-Smart Insulating Container for Cold-Chain Logistics}, booktitle = {2013 {IEEE} 6th International Conference on Service-Oriented Computing and Applications, Koloa, HI, USA, December 16-18, 2013}, pages = {298--303}, year = {2013}, crossref = {DBLP:conf/soca/2013}, url = {https://doi.org/10.1109/SOCA.2013.46}, doi = {10.1109/SOCA.2013.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/ChouLPLLCYCNCLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YuLLCN13, author = {Hung{-}Chang Yu and Ku{-}Feng Lin and Kai{-}Chun Lin and Yu{-}Der Chih and Sreedhar Natarajan}, title = {A 180 MHz direct access read 4.6Mb embedded flash in 90nm technology operating under wide range power supply from 2.1V to 3.6V}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, year = {2013}, crossref = {DBLP:conf/vlsi-dat/2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533858}, doi = {10.1109/VLDI-DAT.2013.6533858}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YuLLCN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChangSYW12, author = {Horng{-}Jinh Chang and Rung{-}Hung Su and Chih{-}Te Yang and Ming{-}Wei Weng}, title = {An economic manufacturing quantity model for a two-stage assembly system with imperfect processes and variable production rate}, journal = {Comput. Ind. Eng.}, volume = {63}, number = {1}, pages = {285--293}, year = {2012}, url = {https://doi.org/10.1016/j.cie.2012.02.011}, doi = {10.1016/J.CIE.2012.02.011}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChangSYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/YenYCWCK12, author = {Ju{-}Yu Yen and Cheng{-}Fang Yen and Cheng{-}Sheng Chen and Peng{-}Wei Wang and Yi{-}Hsin Chang and Chih{-}Hung Ko}, title = {Social Anxiety in Online and Real-Life Interaction and Their Associated Factors}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {15}, number = {1}, pages = {7--12}, year = {2012}, url = {https://doi.org/10.1089/cyber.2011.0015}, doi = {10.1089/CYBER.2011.0015}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/YenYCWCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinWCLLW12, author = {Hsueh{-}Chun Lin and Hsi{-}Chin Wu and Chih{-}Hung Chang and Tsai{-}Chung Li and Wen{-}Miin Liang and Jong{-}Yi Wang}, title = {A real time online assessment system with modelized architecture on clinical infometrics for patient reported outcomes of prostate cancer}, journal = {Comput. Methods Programs Biomed.}, volume = {106}, number = {3}, pages = {249--259}, year = {2012}, url = {https://doi.org/10.1016/j.cmpb.2010.10.003}, doi = {10.1016/J.CMPB.2010.10.003}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LinWCLLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/ChuLCCYLH12, author = {William Cheng{-}Chung Chu and Chao{-}Tung Yang and Chih{-}Wei Lu and Chih{-}Hung Chang and Juei{-}Nan Chen and Pao{-}Ann Hsiung and Hahn{-}Ming Lee}, title = {Cloud Computing in Taiwan}, journal = {Computer}, volume = {45}, number = {6}, pages = {48--56}, year = {2012}, url = {https://doi.org/10.1109/MC.2012.188}, doi = {10.1109/MC.2012.188}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/ChuLCCYLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/YenWWHC12, author = {HsiuJu Rebecca Yen and Wenkai Wang and Chih{-}Ping Wei and Sheila Hsuan{-}Yu Hsu and Hung{-}Chang Chiu}, title = {Service innovation readiness: Dimensions and performance outcome}, journal = {Decis. Support Syst.}, volume = {53}, number = {4}, pages = {813--824}, year = {2012}, url = {https://doi.org/10.1016/j.dss.2012.05.015}, doi = {10.1016/J.DSS.2012.05.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/YenWWHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/SheuCZC12, author = {Shey{-}Huei Sheu and Chin{-}Chih Chang and Zhe George Zhang and Yu{-}Hung Chien}, title = {A note on replacement policy for a system subject to non-homogeneous pure birth shocks}, journal = {Eur. J. Oper. Res.}, volume = {216}, number = {2}, pages = {503--508}, year = {2012}, url = {https://doi.org/10.1016/j.ejor.2011.07.056}, doi = {10.1016/J.EJOR.2011.07.056}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/SheuCZC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/WuuHC12, author = {Lih{-}Chyau Wuu and Chi{-}Hsiang Hung and Chia{-}Ming Chang}, title = {Quorum-based Key Management Scheme in Wireless Sensor Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {6}, number = {9}, pages = {2442--2454}, year = {2012}, url = {https://doi.org/10.3837/tiis.2012.09.027}, doi = {10.3837/TIIS.2012.09.027}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/WuuHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WuHTLLC12, author = {Zhen Yu Wu and Chih{-}Wen Hsueh and Cheng{-}Yu Tsai and Feipei Lai and Hung{-}Chang Lee and Yu{-}Fang Chung}, title = {Redactable Signatures for Signed {CDA} Documents}, journal = {J. Medical Syst.}, volume = {36}, number = {3}, pages = {1795--1808}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9639-0}, doi = {10.1007/S10916-010-9639-0}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WuHTLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YuSDCWLTJALHCL12, author = {Hwan{-}Jeu Yu and Chia{-}Ping Shen and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Jin{-}Ming Wu and Mei{-}Shu Lai and Ching{-}Ting Tan and Chinburen Jigjidsuren and Erdenebaatar Altangerel and Hung{-}Chang Lee and Chih{-}Wen Hsueh and Yu{-}Fang Chung and Feipei Lai}, title = {A Physician Order Category-Based Clinical Guideline Comparison System}, journal = {J. Medical Syst.}, volume = {36}, number = {6}, pages = {3741--3753}, year = {2012}, url = {https://doi.org/10.1007/s10916-012-9847-x}, doi = {10.1007/S10916-012-9847-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YuSDCWLTJALHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/KoongSHLCCHY12, author = {Chorng{-}Shiuh Koong and Chihhsiong Shih and Pao{-}Ann Hsiung and Hung{-}Jui Lai and Chih{-}Hung Chang and William C. Chu and Nien{-}Lin Hsueh and Chao{-}Tung Yang}, title = {Automatic testing environment for multi-core embedded software - {ATEMES}}, journal = {J. Syst. Softw.}, volume = {85}, number = {1}, pages = {43--60}, year = {2012}, url = {https://doi.org/10.1016/j.jss.2011.08.030}, doi = {10.1016/J.JSS.2011.08.030}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/KoongSHLCCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12, author = {Shyuan Liao and Yen{-}Shuo Chang and Chia{-}Hsin Wu and Hung{-}Chieh Tsai and Hsin{-}Hua Chen and Min Chen and Ching{-}Wen Hsueh and Jian{-}Bang Lin and Den{-}Kai Juang and Shun{-}An Yang and Chin{-}Tai Liu and Tsai{-}Pao Lee and Jin{-}Ru Chen and Chih{-}Heng Shih and Barry Hong and Heng{-}Ruey Hsu and Chih{-}Yuan Wang and Meng{-}Shiang Lin and Wei{-}Hsiang Tseng and Che{-}Hsiung Yang and Lawrence Chen Lee and Ting{-}Jyun Jheng and Wen{-}Wei Yang and Ming{-}Yang Chao and Jyh{-}Shin Pan}, title = {A 70-Mb/s 100.5-dBm Sensitivity 65-nm {LP} {MIMO} Chipset for WiMAX Portable Router}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {61--74}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2167811}, doi = {10.1109/JSSC.2011.2167811}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YenHCCJL12, author = {Shao{-}Wei Yen and Shiang{-}Yu Hung and Chih{-}Lung Chen and Hsie{-}Chia Chang and Shyh{-}Jye Jou and Chen{-}Yi Lee}, title = {A 5.79-Gb/s Energy-Efficient Multirate {LDPC} Codec Chip for {IEEE} 802.15.3c Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {9}, pages = {2246--2257}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2194176}, doi = {10.1109/JSSC.2012.2194176}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YenHCCJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/WangTLCHCC12, author = {Jau{-}Sheng Wang and Chun{-}Chin Tsai and Jyun{-}Sian Liou and Wei{-}Chih Cheng and Shun{-}Yuan Huang and Gi{-}Hung Chang and Wood{-}Hi Cheng}, title = {Mean-time-to-failure evaluations of encapsulation materials for {LED} package in accelerated thermal tests}, journal = {Microelectron. Reliab.}, volume = {52}, number = {5}, pages = {813--817}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.07.057}, doi = {10.1016/J.MICROREL.2011.07.057}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/WangTLCHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/HungTHK12, author = {Chihli Hung and Chih{-}Fong Tsai and Shin{-}Yuan Hung and Chang{-}Jiang Ku}, title = {{OGIR:} an ontology-based grid information retrieval framework}, journal = {Online Inf. Rev.}, volume = {36}, number = {6}, pages = {807--827}, year = {2012}, url = {https://doi.org/10.1108/14684521211287909}, doi = {10.1108/14684521211287909}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/HungTHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangHWCTLL12, author = {Chin{-}I Chang and Pei{-}Hsin Hung and Chia{-}Che Wu and Ta Chih Cheng and Jyh{-}Ming Tsai and King{-}Jung Lin and Chung{-}Yen Lin}, title = {Simultaneous Detection of Multiple Fish Pathogens Using a Naked-Eye Readable {DNA} Microarray}, journal = {Sensors}, volume = {12}, number = {3}, pages = {2710--2728}, year = {2012}, url = {https://doi.org/10.3390/s120302710}, doi = {10.3390/S120302710}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChangHWCTLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HungCHC12, author = {San{-}Shan Hung and Chih{-}Yuan Chang and Cheng{-}Jui Hsu and Shih{-}Wei Chen}, title = {Analysis of Building Envelope Insulation Performance Utilizing Integrated Temperature and Humidity Sensors}, journal = {Sensors}, volume = {12}, number = {7}, pages = {8987--9005}, year = {2012}, url = {https://doi.org/10.3390/s120708987}, doi = {10.3390/S120708987}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HungCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuLCGC12, author = {Chih{-}Chung Su and Chen{-}Hung Li and Neng{-}Kai Chang and Feng Gao and Shuo{-}Hung Chang}, title = {Fabrication of High Sensitivity Carbon Microcoil Pressure Sensors}, journal = {Sensors}, volume = {12}, number = {8}, pages = {10034--10041}, year = {2012}, url = {https://doi.org/10.3390/s120810034}, doi = {10.3390/S120810034}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SuLCGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HungCCC12, author = {Li{-}Ling Hung and Chih{-}Yung Chang and Cheng{-}Chang Chen and Yu{-}Chieh Chen}, title = {{BUFE-MAC:} {A} Protocol With Bandwidth Utilization and Fairness Enhancements for Mesh-Backbone-Based VANETs}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {5}, pages = {2208--2221}, year = {2012}, url = {https://doi.org/10.1109/TVT.2012.2189592}, doi = {10.1109/TVT.2012.2189592}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/HungCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ChangHLCC12, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Yun{-}Jung Lu and Sheng{-}Wen Chang and Yu{-}Chieh Chen}, title = {Decentralized and energy-balanced algorithms for maintaining temporal full-coverage in mobile WSNs}, journal = {Wirel. Commun. Mob. Comput.}, volume = {12}, number = {5}, pages = {445--462}, year = {2012}, url = {https://doi.org/10.1002/wcm.977}, doi = {10.1002/WCM.977}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ChangHLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/ChangLHS12, author = {Chih{-}Hua Chang and Wei{-}Chih Liao and Hung{-}Yun Hsieh and Hsuan{-}Jung Su}, title = {Not every bit counts: Shifting the focus from machine to data for machine-to-machine communications}, booktitle = {Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers, {ACSCC} 2012, Pacific Grove, CA, USA, November 4-7, 2012}, pages = {581--585}, year = {2012}, crossref = {DBLP:conf/acssc/2012}, url = {https://doi.org/10.1109/ACSSC.2012.6489073}, doi = {10.1109/ACSSC.2012.6489073}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/ChangLHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeCLLW12, author = {Chang{-}Hung Lee and Wen{-}Yu Chuang and Chih{-}Ting Lin and Shih{-}Hui Lin and Wen{-}Jong Wu}, title = {The heterogeneous sensor system on chip}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {81--83}, year = {2012}, crossref = {DBLP:conf/apccas/2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418976}, doi = {10.1109/APCCAS.2012.6418976}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeCLLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LiaoCCTCC12, author = {Chia{-}Wei Liao and Kai{-}Hsuan Chan and Bin{-}Yi Cheng and Chi{-}Hung Tsai and Wen{-}Tsung Chang and Yu{-}Ling Chuang}, title = {An open framework for video content analysis}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--8}, year = {2012}, crossref = {DBLP:conf/apsipa/2012}, url = {https://ieeexplore.ieee.org/document/6412024/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LiaoCCTCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsuCL12, author = {Chih{-}Cheng Hsu and Yao{-}Tsung Chang and Mark Po{-}Hung Lin}, title = {Crosstalk-aware power optimization with multi-bit flip-flops}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {431--436}, year = {2012}, crossref = {DBLP:conf/aspdac/2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164987}, doi = {10.1109/ASPDAC.2012.6164987}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HsuCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/FangCHLLCHS12, author = {Wai{-}Chi Fang and Chia{-}Ching Chou and Tzu{-}Hsun Hung and Kuen{-}Chih Lin and Arvin Huang{-}Te Li and Yu{-}Ching Chang and Bai{-}Kuang Hwang and Yio{-}Wha Shau}, title = {An efficient and accurate empirical mode decomposition of the technical design and methods for biological sound}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {320--323}, year = {2012}, crossref = {DBLP:conf/biocas/2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418432}, doi = {10.1109/BIOCAS.2012.6418432}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/FangCHLLCHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChuCLCW12, author = {William C. Chu and Chih{-}Hung Chang and Chih{-}Wei Lu and Juei{-}Nan Chen and Feng{-}Jian Wang}, title = {The Development of Cloud Computing and Its Challenges for Taiwan}, booktitle = {36th Annual {IEEE} Computer Software and Applications Conference, {COMPSAC} 2012, Izmir, Turkey, July 16-20, 2012}, pages = {380--386}, year = {2012}, crossref = {DBLP:conf/compsac/2012}, url = {https://doi.org/10.1109/COMPSAC.2012.114}, doi = {10.1109/COMPSAC.2012.114}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChuCLCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuCC12, author = {Hung{-}Chih Ou and Hsing{-}Chih Chang Chien and Yao{-}Wen Chang}, title = {Non-uniform multilevel analog routing with matching constraints}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {549--554}, year = {2012}, crossref = {DBLP:conf/dac/2012}, url = {https://doi.org/10.1145/2228360.2228458}, doi = {10.1145/2228360.2228458}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/espa/ChenCCY12, author = {Kuan{-}Hung Chen and Cheng{-}Hao Chen and Chih{-}Hao Chang and Yu{-}Chi Yang}, title = {Choose your own viewpoint: {A} high-quality/low-complexity free-viewpoint 3D visual system}, booktitle = {2012 {IEEE} International Conference on Emerging Signal Processing Applications, {ESPA} 2012, Las Vegas, NV, USA, January 12-14, 2012}, pages = {9--12}, year = {2012}, crossref = {DBLP:conf/espa/2012}, url = {https://doi.org/10.1109/ESPA.2012.6152432}, doi = {10.1109/ESPA.2012.6152432}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/espa/ChenCCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JiangKCLZCCCALHKCWLCTBJKZK12, author = {Xicheng Jiang and Min{-}Gyu Kim and Felix Cheung and Fang Lin and Hui Zheng and Jianlong Chen and Alex Jianzhong Chen and Darwin Cheung and Khaled Abdelfattah and Seong{-}Ho Lee and Hanson Hung{-}Sen Huang and Kishore Kasichainula and Yonghua Cong and Jiangfeng Wu and Chang{-}Hyeon Lee and George Chih and Yun Tu and Todd Brooks and Edison Jiang and Hongwei Kong and Chaoyang Zhao and Mustafa Keskin}, title = {A 40 nm {CMOS} analog front end with enhanced audio for {HSPA/EDGE} multimedia applications}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {414--417}, year = {2012}, crossref = {DBLP:conf/esscirc/2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341343}, doi = {10.1109/ESSCIRC.2012.6341343}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JiangKCLZCCCALHKCWLCTBJKZK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangH12, author = {Chih{-}Hua Chang and Hung{-}Yun Hsieh}, title = {Not every bit counts: {A} resource allocation problem for data gathering in machine-to-machine communications}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {5537--5543}, year = {2012}, crossref = {DBLP:conf/globecom/2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6504002}, doi = {10.1109/GLOCOM.2012.6504002}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChiuCCFKMCCY12, author = {Hung{-}Chih Chiu and Chi{-}Fen Chuang and Yung{-}Chan Chen and Yang{-}Hang Fan and Yu{-}Han Kao and Hsi{-}Pin Ma and Hsin Chen and Yen{-}Chung Chang and Shih{-}Rung Yeh}, title = {An integrated system for brain machine interface}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {407--410}, year = {2012}, crossref = {DBLP:conf/healthcom/2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380812}, doi = {10.1109/HEALTHCOM.2012.6380812}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChiuCCFKMCCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChangHWW12, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Tzu{-}Chia Wang and Tzu{-}Lin Wang}, title = {{ORZBP:} An Obstacle-Resistant Zone-based Broadcasting Protocol for Wireless Sensor Networks}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {714--721}, year = {2012}, crossref = {DBLP:conf/hpcc/2012}, url = {https://doi.org/10.1109/HPCC.2012.101}, doi = {10.1109/HPCC.2012.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/ChangHWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/HungCWH12, author = {Li{-}Ling Hung and Chih{-}Yung Chang and Tzu{-}Lin Wang and Chih{-}Yao Hsiao}, title = {Cooperative {MAC} Protocol for Multi-Channel Wireless Networks}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {691--698}, year = {2012}, crossref = {DBLP:conf/hpcc/2012}, url = {https://doi.org/10.1109/HPCC.2012.98}, doi = {10.1109/HPCC.2012.98}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/HungCWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/YangCHLC12, author = {Chao{-}Tung Yang and Tzu{-}Chieh Chang and Kuan{-}Lung Huang and Jung{-}Chun Liu and Chih{-}Hung Chang}, title = {Performance Evaluation of OpenMP and {CUDA} on Multicore Systems}, booktitle = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {II}}, pages = {235--244}, year = {2012}, crossref = {DBLP:conf/ica3pp/2012-2}, url = {https://doi.org/10.1007/978-3-642-33065-0\_25}, doi = {10.1007/978-3-642-33065-0\_25}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/YangCHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSCYH12, author = {Chang{-}Hsing Lee and Jau{-}Ling Shih and Chih{-}Hsun Chou and Kun{-}Ming Yu and Chuan{-}Yen Hung}, title = {3D model retrieval using 2D cepstral features}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {2365--2368}, year = {2012}, crossref = {DBLP:conf/icassp/2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288390}, doi = {10.1109/ICASSP.2012.6288390}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeSCYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WeiCLHS12, author = {Shih{-}En Wei and Chih{-}Hua Chang and You{-}En Lin and Hung{-}Yun Hsieh and Hsuan{-}Jung Su}, title = {Formulating and solving the femtocell deployment problem in two-tier heterogeneous networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {5053--5058}, year = {2012}, crossref = {DBLP:conf/icc/2012}, url = {https://doi.org/10.1109/ICC.2012.6364487}, doi = {10.1109/ICC.2012.6364487}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/WeiCLHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LinLCHL12, author = {Chih{-}Lung Lin and Chia{-}Sheng Li and Yi{-}Ming Chang and Chia{-}Che Hung and Alvin Lin}, title = {3D stylus and pressure sensing system for capacitive touch panel}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {215--216}, year = {2012}, crossref = {DBLP:conf/iccel/2012}, url = {https://doi.org/10.1109/ICCE.2012.6161835}, doi = {10.1109/ICCE.2012.6161835}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LinLCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JuLCCTHCHWCLCCWWLCT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yeh{-}Lin Chu and Chuang{-}Chi Chiou and Bin{-}Jung Tsai and Te{-}Chi Hsiao and Ginny Chen and Pin{-}Huan Hsu and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Jiun{-}Yuan Wu and Yuan{-}Chun Lin and Yung{-}Chang Chang and Chung{-}Hung Tsai}, title = {Area and Memory Efficient Architectures for 3D Blu-ray-compliant Multimedia Processors}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {776--781}, year = {2012}, crossref = {DBLP:conf/icmcs/2012}, url = {https://doi.org/10.1109/ICME.2012.81}, doi = {10.1109/ICME.2012.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JuLCCTHCHWCLCCWWLCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LeeCL12, author = {Ching{-}Hung Lee and Feng{-}Yu Chang and Chih{-}Min Lin}, title = {Chaos sychronization using un-normalized interval type-2 fuzzy neural controller}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2012, Xian, Shaanxi, China, July 15-17, 2012, Proceedings}, pages = {1617--1622}, year = {2012}, crossref = {DBLP:conf/icmlc/2012}, url = {https://doi.org/10.1109/ICMLC.2012.6359607}, doi = {10.1109/ICMLC.2012.6359607}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LeeCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ChenHCHC12, author = {Li{-}Chih Chen and Jun{-}Wei Hsieh and Chi{-}Hung Chuang and Chang{-}Yu Huang and Duan{-}Yu Chen}, title = {Occluded human action analysis using dynamic manifold model}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {1245--1248}, year = {2012}, crossref = {DBLP:conf/icpr/2012}, url = {https://ieeexplore.ieee.org/document/6460364/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/ChenHCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HungCCH12, author = {Li{-}Ling Hung and Chih{-}Yung Chang and Chao{-}Tsun Chang and Chih{-}Yao Hsiao}, title = {An efficient dispatching mechanism for monitoring disconnected targets in wireless mobile sensor networks}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {40--45}, year = {2012}, crossref = {DBLP:conf/icufn/2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261661}, doi = {10.1109/ICUFN.2012.6261661}, timestamp = {Wed, 27 Jul 2022 15:52:03 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HungCCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/WuuHC12, author = {Lih{-}Chyau Wuu and Chi{-}Hsiang Hung and Chia{-}Ming Chang}, title = {Quorum-based key management scheme in wireless sensor networks}, booktitle = {The 6th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '12, Kuala Lumpur, Malaysia, February 20-22, 2012}, pages = {15:1--15:6}, year = {2012}, crossref = {DBLP:conf/icuimc/2012}, url = {https://doi.org/10.1145/2184751.2184770}, doi = {10.1145/2184751.2184770}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/WuuHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChenHFCY12, author = {Ying{-}Nong Chen and Gang{-}Feng Ho and Kuo{-}Chin Fan and Chi{-}Hung Chuang and Chih{-}Chang Yu}, title = {Orthogonal Nearest Neighbor Feature Space Embedding}, booktitle = {Eighth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2012, Piraeus-Athens, Greece, July 18-20, 2012}, pages = {166--169}, year = {2012}, crossref = {DBLP:conf/iih-msp/2012}, url = {https://doi.org/10.1109/IIH-MSP.2012.46}, doi = {10.1109/IIH-MSP.2012.46}, timestamp = {Mon, 06 Apr 2020 19:01:12 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChenHFCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LinYC12, author = {Chih{-}Hung Lin and Ching{-}Yu Yang and Chia{-}Wei Chang}, title = {An Efficient Algorithm for Protecting and Authenticating Medical Image}, booktitle = {Eighth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2012, Piraeus-Athens, Greece, July 18-20, 2012}, pages = {67--70}, year = {2012}, crossref = {DBLP:conf/iih-msp/2012}, url = {https://doi.org/10.1109/IIH-MSP.2012.22}, doi = {10.1109/IIH-MSP.2012.22}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/LinYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuLCWCLCCWCHLT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Hao{-}Wei Li and Chung{-}Hung Tsai}, title = {A 775-{\(\mathrm{\mu}\)}W/fps/view {H.264/MVC} decoder chip compliant with 3D Blu-ray specifications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1440--1443}, year = {2012}, crossref = {DBLP:conf/iscas/2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271516}, doi = {10.1109/ISCAS.2012.6271516}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuLCWCLCCWCHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLHLCCCHJLLLSWLH12, author = {Hao{-}I Yang and Yi{-}Wei Lin and Mao{-}Chih Hsia and Geng{-}Cing Lin and Chi{-}Shin Chang and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {High-performance 0.6V {VMIN} 55nm 1.0Mb 6T {SRAM} with adaptive {BL} bleeder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1831--1834}, year = {2012}, crossref = {DBLP:conf/iscas/2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271624}, doi = {10.1109/ISCAS.2012.6271624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLHLCCCHJLLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChangHC12, author = {Chia{-}Jung Chang and Chih{-}Hung Huang and Chern{-}Lin Chen}, title = {A novel feedback scheme for reducing standby power of flyback converter}, booktitle = {21st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2012, Hangzhou, China, 28-31 May, 2012}, pages = {76--81}, year = {2012}, crossref = {DBLP:conf/isie/2012}, url = {https://doi.org/10.1109/ISIE.2012.6237062}, doi = {10.1109/ISIE.2012.6237062}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChangHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LinCPL12, author = {Chih{-}Hung Lin and Robert Chen{-}Hao Chang and Tz{-}Han Pang and Kuang{-}Hao Lin}, title = {A low-complexity bio-medical signal receiver for wireless body area network}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {443--446}, year = {2012}, crossref = {DBLP:conf/isocc/2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406891}, doi = {10.1109/ISOCC.2012.6406891}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LinCPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChiangHPLL12, author = {Jen{-}Shiun Chiang and Chih{-}Hsien Hsia and Hao{-}Wei Peng and Chun{-}Hung Lien and Hsin{-}Ting Li}, title = {Saturation adjustment method based on human vision with YCbCr color model characteristics and luminance changes}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {136--141}, year = {2012}, crossref = {DBLP:conf/ispacs/2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473467}, doi = {10.1109/ISPACS.2012.6473467}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChiangHPLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/TsengLCLCHW12, author = {Yung{-}Chang Tseng and Tsung{-}Hsing Lin and Chiao{-}Hsuan Chuang and Tung{-}Lin Lee and Liang{-}Bi Chen and Chih{-}Lin Hung and Chao{-}Wen Wu}, title = {Low cost embedded chairman/delegate units design for digital conference system}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {740--744}, year = {2012}, crossref = {DBLP:conf/ispacs/2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473589}, doi = {10.1109/ISPACS.2012.6473589}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/TsengLCLCHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, year = {2012}, crossref = {DBLP:conf/isscc/2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/WuLC12, author = {Hung{-}Tsai Wu and Chih{-}Hsien Lin and Wen{-}Whei Chang}, title = {Symbol-based joint decoding of convolutionally encoded variable-length codes}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {151--154}, year = {2012}, crossref = {DBLP:conf/itst/2012}, url = {https://doi.org/10.1109/ITST.2012.6425154}, doi = {10.1109/ITST.2012.6425154}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/WuLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/HsiehCYCW12, author = {Chang{-}Hung Hsieh and Yu{-}Yu Chen and Chih{-}Chieh Yang and Shih{-}Lung Chao and Hung{-}Yu Wei}, title = {Poster: a smart scheduling mechanism for energy saving in android system}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {519--520}, year = {2012}, crossref = {DBLP:conf/mobisys/2012}, url = {https://doi.org/10.1145/2307636.2307713}, doi = {10.1145/2307636.2307713}, timestamp = {Thu, 31 Oct 2019 15:55:26 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/HsiehCYCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangYWLJCL12, author = {Wen{-}Hsin Chang and Sung{-}Yi Yang and Chih{-}Hung Wang and Ping{-}Chen Li and Fuh{-}Jyh Jan and Tzong{-}Yueh Chen and Gwo{-}Bin Lee}, title = {An optical diagnostic system using isothermal amplification technique for Phalaenopsis orchids}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {204--207}, year = {2012}, crossref = {DBLP:conf/nems/2012}, url = {https://doi.org/10.1109/NEMS.2012.6196757}, doi = {10.1109/NEMS.2012.6196757}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangYWLJCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinCCL12, author = {Keng{-}Ren Lin and Cheng{-}Hung Chiang and Chih{-}Han Chang and Che{-}Hsin Lin}, title = {Development of a novel force sensor system built with an industrial multilayer ceramic capacitor {(MLCC)}}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {487--490}, year = {2012}, crossref = {DBLP:conf/nems/2012}, url = {https://doi.org/10.1109/NEMS.2012.6196823}, doi = {10.1109/NEMS.2012.6196823}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LinCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/ChangLCHHKY12, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Pao{-}Ann Hsiung and Nien{-}Lin Hsueh and Chorng{-}Shiuh Koong and Chao{-}Tung Yang}, title = {An Integrated Development Environment to Support the Multi-core Embedded Systems Development}, booktitle = {2012 12th International Conference on Quality Software, Xi'an, Shaanxi, China, August 27-29, 2012}, pages = {258--264}, year = {2012}, crossref = {DBLP:conf/qsic/2012}, url = {https://doi.org/10.1109/QSIC.2012.26}, doi = {10.1109/QSIC.2012.26}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/ChangLCHHKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/KoongLWCC12, author = {Chorng{-}Shiuh Koong and Bo{-}Huan Lee and Yu{-}Hsiang Wang and Chih{-}Hung Chang and William C. Chu}, title = {A Component-Based Authoring Tool and Script Language for {MPEG-4}}, booktitle = {2012 12th International Conference on Quality Software, Xi'an, Shaanxi, China, August 27-29, 2012}, pages = {265--269}, year = {2012}, crossref = {DBLP:conf/qsic/2012}, url = {https://doi.org/10.1109/QSIC.2012.29}, doi = {10.1109/QSIC.2012.29}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/KoongLWCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/LinCLCTHW12, author = {Tsung{-}Hsing Lin and Chiao{-}Hsuan Chuang and Tung{-}Lin Lee and Liang{-}Bi Chen and Yung{-}Chang Tseng and Chih{-}Lin Hung and Chao{-}Wen Wu}, title = {Development of a GUI-based mobile control console for digital conference systems}, booktitle = {The 6th International Conference on Soft Computing and Intelligent Systems (SCIS), and The 13th International Symposium on Advanced Intelligence Systems (ISIS), Kobe, Japan, November 20-24, 2012}, pages = {902--905}, year = {2012}, crossref = {DBLP:conf/scisisis/2012}, url = {https://doi.org/10.1109/SCIS-ISIS.2012.6505040}, doi = {10.1109/SCIS-ISIS.2012.6505040}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/LinCLCTHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ShenCHP12, author = {Chih{-}Tsung Shen and Feng{-}Ju Chang and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Edge-preserving image decomposition using {L1} fidelity with {L0} gradient}, booktitle = {{SIGGRAPH} Asia 2012 Technical Briefs, Singapore, November 28 - December 1, 2012}, pages = {6:1--6:4}, year = {2012}, crossref = {DBLP:conf/siggrapha/2012briefs}, url = {https://doi.org/10.1145/2407746.2407752}, doi = {10.1145/2407746.2407752}, timestamp = {Wed, 10 Mar 2021 15:30:28 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ShenCHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LaiCHY12, author = {Chih{-}Sung Lai and Hsing{-}Hui Chu and Tian{-}Jong Hwu and Hung{-}Chang Yang}, title = {A study on the factors of nurses' retention by grey relational grade and grey clustering analysis}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {670--674}, year = {2012}, crossref = {DBLP:conf/sii/2012}, url = {https://doi.org/10.1109/SII.2012.6427344}, doi = {10.1109/SII.2012.6427344}, timestamp = {Mon, 16 Aug 2021 16:04:03 +0200}, biburl = {https://dblp.org/rec/conf/sii/LaiCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/JuCWCLCCWLT12, author = {Chi{-}Cheng Ju and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Fred Chiu and Sheng{-}Jen Wang and Tsu{-}Ming Liu and Chung{-}Hung Tsai}, title = {A 363-{\(\mathrm{\mu}\)}W/fps power-aware green multimedia processor for mobile applications}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, year = {2012}, crossref = {DBLP:conf/vlsi-dat/2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212636}, doi = {10.1109/VLSI-DAT.2012.6212636}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/JuCWCLCCWLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenCCWS12, author = {Hung{-}Chang Chen and Chi{-}Tao Chiang and Chih{-}Ping Chiang and Sheng{-}Shih Wang and Kuei{-}Ping Shih}, title = {A variable-length burst scheduling algorithm {(VLBS)} for downlink traffic in {IEEE} 802.16m {OFDMA} systems}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {1438--1443}, year = {2012}, crossref = {DBLP:conf/wcnc/2012}, url = {https://doi.org/10.1109/WCNC.2012.6214007}, doi = {10.1109/WCNC.2012.6214007}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenCCWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whispers/KuoCLH12, author = {Bor{-}Chen Kuo and Wei{-}Ming Chang and Cheng{-}Hsuan Li and Chih{-}Cheng Hung}, title = {Correlation matrix feature extraction based on spectral clustering for hyperspectral image segmentation}, booktitle = {4th Workshop on Hyperspectral Image and Signal Processing, {WHISPERS} 2012, Shanghai, China, June 4-7, 2012}, pages = {1--4}, year = {2012}, crossref = {DBLP:conf/whispers/2012}, url = {https://doi.org/10.1109/WHISPERS.2012.6874306}, doi = {10.1109/WHISPERS.2012.6874306}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/whispers/KuoCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/HsiehCYCW12, author = {Chang{-}Hung Hsieh and Yu{-}Yu Chen and Chih{-}Chieh Yang and Shih{-}Lung Chao and Hung{-}Yu Wei}, title = {Traffic engineering for power efficient smartphone communications}, booktitle = {The 15th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2012, Taipei, Taiwan, September 24-27, 2012}, pages = {1--5}, year = {2012}, crossref = {DBLP:conf/wpmc/2012}, url = {https://ieeexplore.ieee.org/document/6398731/}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/HsiehCYCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12, author = {Po{-}Lung Chen and Chen{-}Tse Tsai and Yao{-}Nan Chen and Ku{-}Chun Chou and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Yu{-}Cheng Chou and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Rong{-}Bing Chiu and Chieh{-}Yen Lin and Chien{-}Chih Wang and Po{-}Wei Wang and Wei{-}Lun Su and Chen{-}Hung Wu and Tsung{-}Ting Kuo and Todd G. McKenzie and Ya{-}Hsuan Chang and Chun{-}Sung Ferng and Chia{-}Mau Ni and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, title = {A Linear Ensemble of Individual and Blended Models for Music Rating Prediction}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, pages = {21--60}, year = {2012}, crossref = {DBLP:conf/kdd/2011kddcup}, url = {http://proceedings.mlr.press/v18/chen12a.html}, timestamp = {Wed, 29 May 2019 08:41:47 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12, author = {Todd G. McKenzie and Chun{-}Sung Ferng and Yao{-}Nan Chen and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Ya{-}Hsuan Chang and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Chieh{-}Yen Lin and Po{-}Wei Wang and Chia{-}Mau Ni and Wei{-}Lun Su and Tsung{-}Ting Kuo and Chen{-}Tse Tsai and Po{-}Lung Chen and Rong{-}Bing Chiu and Ku{-}Chun Chou and Yu{-}Cheng Chou and Chien{-}Chih Wang and Chen{-}Hung Wu and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, title = {Novel Models and Ensemble Techniques to Discriminate Favorite Items from Unrated Ones for Personalized Music Recommendation}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, pages = {101--135}, year = {2012}, crossref = {DBLP:conf/kdd/2011kddcup}, url = {http://proceedings.mlr.press/v18/mckenzie12a.html}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/SheuCC11, author = {Shey{-}Huei Sheu and Chin{-}Chih Chang and Yu{-}Hung Chien}, title = {Optimal age-replacement time with minimal repair based on cumulative repair-cost limit for a system subject to shocks}, journal = {Ann. Oper. Res.}, volume = {186}, number = {1}, pages = {317--329}, year = {2011}, url = {https://doi.org/10.1007/s10479-011-0864-9}, doi = {10.1007/S10479-011-0864-9}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/SheuCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LiuCSCHWW11, author = {Charles C. H. Liu and Chia{-}Huang Chang and Mu{-}Chun Su and Hsueh{-}Ting Chu and Sheng{-}Hui Hung and Jau{-}Min Wong and Pa{-}Chun Wang}, title = {RFID-initiated workflow control to facilitate patient safety and utilization efficiency in operation theater}, journal = {Comput. Methods Programs Biomed.}, volume = {104}, number = {3}, pages = {435--442}, year = {2011}, url = {https://doi.org/10.1016/j.cmpb.2010.08.017}, doi = {10.1016/J.CMPB.2010.08.017}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LiuCSCHWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChangCW11, author = {Betty Chang and Chih{-}Wei Chang and Chih{-}Hung Wu}, title = {Fuzzy {DEMATEL} method for developing supplier selection criteria}, journal = {Expert Syst. Appl.}, volume = {38}, number = {3}, pages = {1850--1858}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.07.114}, doi = {10.1016/J.ESWA.2010.07.114}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChangCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChungHH11, author = {Hung{-}Yuan Chung and Chih{-}Hsiang Ho and Che{-}Chang Hsu}, title = {Support vector machines using Bayesian-based approach in the issue of unbalanced classifications}, journal = {Expert Syst. Appl.}, volume = {38}, number = {9}, pages = {11447--11452}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.03.018}, doi = {10.1016/J.ESWA.2011.03.018}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChungHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/JenLWC11, author = {Chih{-}Hung Jen and Kuo{-}Ping Lin and Wu Wen and Chang{-}Chien Chou}, title = {Using {LDA} with on-line experiment methods for coping with changes in {MIMO} dynamic model}, journal = {Expert Syst. Appl.}, volume = {38}, number = {1}, pages = {983--989}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.07.101}, doi = {10.1016/J.ESWA.2010.07.101}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/JenLWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChengHCCCL11, author = {Jay Cheng and Xuan{-}Chao Huang and Chih{-}Heng Cheng and Hsin{-}Hung Chou and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {Average Number of Recirculations in {SDL} Constructions of Optical Priority Queues}, journal = {{IEEE} Commun. Lett.}, volume = {15}, number = {8}, pages = {899--901}, year = {2011}, url = {https://doi.org/10.1109/LCOMM.2011.062211.110893}, doi = {10.1109/LCOMM.2011.062211.110893}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChengHCCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/YangCHT11, author = {Chao{-}Tung Yang and Hung{-}Yen Chen and Chih{-}Lin Huang and Shyh{-}Chang Tsaur}, title = {Implementation of a distributed file storage with replica management in Peer-to-Peer environments}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {7}, number = {3}, pages = {202--210}, year = {2011}, url = {https://doi.org/10.1504/IJAHUC.2011.040120}, doi = {10.1504/IJAHUC.2011.040120}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/YangCHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdls/ChangHGLW11, author = {Jinn{-}Ming Chang and Pai Jung Huang and Chih{-}Ying Gwo and Yue Li and Chia{-}Hung Wei}, title = {Hierarchical Correlation of Multi-Scale Spatial Pyramid for Similar Mammogram Retrieval}, journal = {Int. J. Digit. Libr. Syst.}, volume = {2}, number = {4}, pages = {13--23}, year = {2011}, url = {https://doi.org/10.4018/IJDLS.2011100102}, doi = {10.4018/IJDLS.2011100102}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdls/ChangHGLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/ChangLH11, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and Pao{-}Ann Hsiung}, title = {Pattern-based framework for modularized software development and evolution robustness}, journal = {Inf. Softw. Technol.}, volume = {53}, number = {4}, pages = {307--316}, year = {2011}, url = {https://doi.org/10.1016/j.infsof.2010.11.006}, doi = {10.1016/J.INFSOF.2010.11.006}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/ChangLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itc/LiaoPHCC11, author = {Hsien{-}Chou Liao and Ming{-}Ho Pan and Hung{-}Wei Hwang and Min{-}Chih Chang and Po{-}Cheng Chen}, title = {An Automatic Calibration Method Based on Feature Point Matching for the Cooperation of Wide-Angle and Pan-tilt-Zoom Cameras}, journal = {Inf. Technol. Control.}, volume = {40}, number = {1}, pages = {41--47}, year = {2011}, url = {https://doi.org/10.5755/j01.itc.40.1.191}, doi = {10.5755/J01.ITC.40.1.191}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itc/LiaoPHCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/BanCCL11, author = {Jung{-}Chao Ban and Chih{-}Hung Chang and Ting{-}Ju Chen and Mei{-}Shao Lin}, title = {The Complexity of Permutive Cellular Automata}, journal = {J. Cell. Autom.}, volume = {6}, number = {4-5}, pages = {385--397}, year = {2011}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-6-number-4-5-2011/jca-6-4-5-p-385-397/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/BanCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jkm/TsengPH11, author = {Chun{-}Yao Tseng and Da Chang Pai and Chi{-}Hsia Hung}, title = {Knowledge Absorptive Capacity and Innovation Performance in {KIBS}}, journal = {J. Knowl. Manag.}, volume = {15}, number = {6}, pages = {971--983}, year = {2011}, url = {https://doi.org/10.1108/13673271111179316}, doi = {10.1108/13673271111179316}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jkm/TsengPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnit/LoCCD11, author = {Chih{-}Yao Lo and Yu{-}Teng Chang and Hung{-}Teng Chang and Rueifong Dai}, title = {Integration of Wireless Digital Information Services Possessing}, journal = {J. Next Gener. Inf. Technol.}, volume = {2}, number = {3}, pages = {60--71}, year = {2011}, url = {https://doi.org/10.4156/jnit.vol2.issue3.5}, doi = {10.4156/JNIT.VOL2.ISSUE3.5}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnit/LoCCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChengHC11, author = {Kuo{-}Hsing Cheng and Cheng{-}Liang Hung and Chih{-}Hsien Chang}, title = {A 0.77 ps {RMS} Jitter 6-GHz Spread-Spectrum Clock Generator Using a Compensated Phase-Rotating Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {5}, pages = {1198--1213}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2011.2105690}, doi = {10.1109/JSSC.2011.2105690}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChengHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/ChaoLCL11, author = {Min{-}Wen Chao and Chao{-}Hung Lin and Chih{-}Chieh Chang and Tong{-}Yee Lee}, title = {A graph-based shape matching scheme for 3D articulated objects}, journal = {Comput. Animat. Virtual Worlds}, volume = {22}, number = {2-3}, pages = {295--305}, year = {2011}, url = {https://doi.org/10.1002/cav.396}, doi = {10.1002/CAV.396}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/ChaoLCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LinWCLLW11, author = {Hsueh{-}Chun Lin and Hsi{-}Chin Wu and Chih{-}Hung Chang and Tsai{-}Chung Li and Wen{-}Miin Liang and Jong{-}Yi Wang}, title = {Development of a real-time clinical decision support system upon the web mvc-based architecture for prostate cancer treatment}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {11}, pages = {16}, year = {2011}, url = {https://doi.org/10.1186/1472-6947-11-16}, doi = {10.1186/1472-6947-11-16}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LinWCLLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LeeCHCL11, author = {Shuenn{-}Yuh Lee and Chih{-}Yuan Chen and Jia{-}Hua Hong and Rong{-}Guey Chang and Mark Po{-}Hung Lin}, title = {Automated synthesis of discrete-time sigma-delta modulators from system architecture to circuit netlist}, journal = {Microelectron. J.}, volume = {42}, number = {2}, pages = {347--357}, year = {2011}, url = {https://doi.org/10.1016/j.mejo.2010.11.004}, doi = {10.1016/J.MEJO.2010.11.004}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LeeCHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/PengLCKLCHCWTH11, author = {Jyh{-}Ying Peng and Chung{-}Chih Lin and Yen{-}Jen Chen and Lung{-}Sen Kao and Young{-}Chau Liu and Chung{-}Chien Chou and Yi{-}Hung Huang and Fang{-}Rong Chang and Yang{-}Chang Wu and Yuh{-}Show Tsai and Chun{-}Nan Hsu}, title = {Automatic Morphological Subtyping Reveals New Roles of Caspases in Mitochondrial Dynamics}, journal = {PLoS Comput. Biol.}, volume = {7}, number = {10}, year = {2011}, url = {https://doi.org/10.1371/journal.pcbi.1002212}, doi = {10.1371/JOURNAL.PCBI.1002212}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/PengLCKLCHCWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/LinCTGCFLC11, author = {Chyi{-}Yeu Lin and Li{-}Chieh Cheng and Chang{-}Kuo Tseng and Hung{-}Yan Gu and Kuo{-}Liang Chung and Chin{-}Shyurng Fahn and Kai{-}Jay Lu and Chih{-}Cheng Chang}, title = {A face robot for autonomous simplified musical notation reading and singing}, journal = {Robotics Auton. Syst.}, volume = {59}, number = {11}, pages = {943--953}, year = {2011}, url = {https://doi.org/10.1016/j.robot.2011.07.001}, doi = {10.1016/J.ROBOT.2011.07.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/LinCTGCFLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WuLCH11, author = {Hung{-}Yi Wu and Po{-}Lei Lee and Hsiang{-}Chih Chang and Jen{-}Chuen Hsieh}, title = {Accounting for Phase Drifts in SSVEP-Based BCIs by Means of Biphasic Stimulation}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {5}, pages = {1394--1402}, year = {2011}, url = {https://doi.org/10.1109/TBME.2010.2102757}, doi = {10.1109/TBME.2010.2102757}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WuLCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinHC11, author = {Mark Po{-}Hung Lin and Chih{-}Cheng Hsu and Yao{-}Tsung Chang}, title = {Post-Placement Power Optimization With Multi-Bit Flip-Flops}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {12}, pages = {1870--1882}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2165716}, doi = {10.1109/TCAD.2011.2165716}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LinHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LiuPCWC11, author = {Chien{-}Yu Liu and Ying{-}Shiuan Pan and Hsin{-}Hung Chen and Ying{-}Chih Wu and Da{-}Wei Chang}, title = {Techniques for improving performance of the {FAST} (fully-associative sector translation) flash translation layer}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {4}, pages = {1740--1748}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.6131149}, doi = {10.1109/TCE.2011.6131149}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LiuPCWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChangKL11, author = {Li{-}Chuan Chang and Chih{-}Hung Kuo and Bin{-}Da Liu}, title = {A Two-Stage Rate Control Mechanism for RDO-Based {H.264/AVC} Encoders}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {21}, number = {5}, pages = {660--673}, year = {2011}, url = {https://doi.org/10.1109/TCSVT.2011.2129770}, doi = {10.1109/TCSVT.2011.2129770}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChangKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/KangHCLLP11, author = {Li{-}Wei Kang and Chao{-}Yung Hsu and Hung{-}Wei Chen and Chun{-}Shien Lu and Chih{-}Yang Lin and Soo{-}Chang Pei}, title = {Feature-Based Sparse Representation for Image Similarity Assessment}, journal = {{IEEE} Trans. Multim.}, volume = {13}, number = {5}, pages = {1019--1030}, year = {2011}, url = {https://doi.org/10.1109/TMM.2011.2159197}, doi = {10.1109/TMM.2011.2159197}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/KangHCLLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChangWCCL11, author = {Yeong{-}Hwa Chang and Chun{-}I Wu and Hung{-}Chih Chen and Chia{-}Wen Chang and Hung{-}Wei Lin}, title = {Fractional-order integral sliding-mode flux observer for sensorless vector-controlled induction motors}, booktitle = {American Control Conference, {ACC} 2011, San Francisco, CA, USA, June 29 - July 1, 2011}, pages = {190--195}, year = {2011}, crossref = {DBLP:conf/amcc/2011}, url = {https://doi.org/10.1109/ACC.2011.5990796}, doi = {10.1109/ACC.2011.5990796}, timestamp = {Sun, 08 Aug 2021 01:40:54 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ChangWCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/JungLCH11, author = {Pei Jung and Kan Yan Lee and Chih Wei Chang and Jung Hung Huang}, title = {An effective weighted matrix algorithm for determining connection status of alarm emitting routes}, booktitle = {13th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2011, Taipei, Taiwan, September 21-23, 2011}, pages = {1--5}, year = {2011}, crossref = {DBLP:conf/apnoms/2011}, url = {https://doi.org/10.1109/APNOMS.2011.6077026}, doi = {10.1109/APNOMS.2011.6077026}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/JungLCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChangLCYHHKS11, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Chao{-}Tung Yang and Pao{-}Ann Hsiung and Nien{-}Lin Hsueh and Chorng{-}Shiuh Koong and Kuei{-}Kai Shao}, title = {XML-Based Reusable Component Repository for Embedded Software}, booktitle = {Workshop Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} Workshops 2011, Munich, Germany, 18-22 July 2011}, pages = {345--350}, year = {2011}, crossref = {DBLP:conf/compsac/2011w}, url = {https://doi.org/10.1109/COMPSACW.2011.64}, doi = {10.1109/COMPSACW.2011.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChangLCYHHKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HsuehWTCCK11, author = {Nien{-}Lin Hsueh and Lin{-}Chieh Wen and Der{-}Hong Ting and William C. Chu and Chih{-}Hung Chang and Chorng{-}Shiuh Koong}, title = {An Approach for Evaluating the Effectiveness of Design Patterns in Software Evolution}, booktitle = {Workshop Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} Workshops 2011, Munich, Germany, 18-22 July 2011}, pages = {315--320}, year = {2011}, crossref = {DBLP:conf/compsac/2011w}, url = {https://doi.org/10.1109/COMPSACW.2011.59}, doi = {10.1109/COMPSACW.2011.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/HsuehWTCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csee2/ChenTCLH11, author = {Chih{-}Sheng Chen and Chang{-}Shu Tsai and Hung{-}Kuan Chun and Wei{-}Jhih Lin and Wun{-}Min Huang}, title = {The Process Design and System Implementation of Applying {RFID} in Allocation and Picking Up Process Support System-the Use Case of a Medical Center}, booktitle = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011. Proceedings, Part {IV}}, pages = {367--370}, year = {2011}, crossref = {DBLP:conf/csee2/2011-4}, url = {https://doi.org/10.1007/978-3-642-23339-5\_67}, doi = {10.1007/978-3-642-23339-5\_67}, timestamp = {Wed, 17 May 2017 14:24:31 +0200}, biburl = {https://dblp.org/rec/conf/csee2/ChenTCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csee2/TsaiTC11, author = {Chang{-}Shu Tsai and Chung{-}Hung Tsai and Chih{-}Sheng Chen}, title = {By Using Medical Imaging of Radiographic Simulation System for Radiologic Education Program - The Example of Skeleton System}, booktitle = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011, Proceedings, Part {III}}, pages = {333--338}, year = {2011}, crossref = {DBLP:conf/csee2/2011-3}, url = {https://doi.org/10.1007/978-3-642-23345-6\_62}, doi = {10.1007/978-3-642-23345-6\_62}, timestamp = {Wed, 17 May 2017 14:24:31 +0200}, biburl = {https://dblp.org/rec/conf/csee2/TsaiTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/SuKSHC11, author = {Jau{-}Ming Su and Cheng{-}Chen Kou and Mei{-}Hui Shen and Wen{-}Chi Ho and Chih{-}Hung Chang}, title = {Application of {GIS} to analyze the spatial-temporal service gap for Hsinchu City bus system}, booktitle = {Eighth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2011, 26-28 July 2011, Shanghai, China}, pages = {2475--2479}, year = {2011}, crossref = {DBLP:conf/fskd/2011}, url = {https://doi.org/10.1109/FSKD.2011.6019962}, doi = {10.1109/FSKD.2011.6019962}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/fskd/SuKSHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KungCCC11, author = {Chung{-}Chun Kung and Ti{-}Hung Chen and Shuo{-}Chieh Chang and Chih{-}Chieh Chen}, title = {Estimation of the asympototic stability region of uncertain fuzzy systems with bounded controllers using variable structure system design approach}, booktitle = {{FUZZ-IEEE} 2011, {IEEE} International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings}, pages = {643--648}, year = {2011}, crossref = {DBLP:conf/fuzzIEEE/2011}, url = {https://doi.org/10.1109/FUZZY.2011.6007403}, doi = {10.1109/FUZZY.2011.6007403}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KungCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HuangTCTHC11, author = {Yu{-}Chun Annester Huang and Chih{-}Chieh Tsai and Teng{-}Wen Chang and Pen{-}Yan Tsai and Tien{-}Hsin Hung and Jai{-}Jung Chen}, title = {Blowing Light: Green-Based Interaction Design}, booktitle = {Human-Computer Interaction. Design and Development Approaches - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, pages = {82--91}, year = {2011}, crossref = {DBLP:conf/hci/2011-1}, url = {https://doi.org/10.1007/978-3-642-21602-2\_9}, doi = {10.1007/978-3-642-21602-2\_9}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/HuangTCTHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LiuLHHC11, author = {Kuo{-}Ping Liu and Cheng{-}Chung Liu and Kuo{-}Chun Hung and Chih{-}Hsin Huang and Chia{-}Jung Chang}, title = {Implementing a Classroom Oral Reading Platform for Primary {EFL} Students' Oral Reading Rate}, booktitle = {{ICALT} 2011, 11th {IEEE} International Conference on Advanced Learning Technologies, Athens, Georgia, USA, 6-8 July 2011}, pages = {312--313}, year = {2011}, crossref = {DBLP:conf/icalt/2011}, url = {https://doi.org/10.1109/ICALT.2011.97}, doi = {10.1109/ICALT.2011.97}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LiuLHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChouOC11, author = {Pang{-}Yen Chou and Hung{-}Chih Ou and Yao{-}Wen Chang}, title = {Heterogeneous B\({}^{\mbox{{\({_\ast}\)}}}\)-trees for analog placement with symmetry and regularity considerations}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {512--516}, year = {2011}, crossref = {DBLP:conf/iccad/2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105378}, doi = {10.1109/ICCAD.2011.6105378}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChouOC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/YangCW11, author = {Jen{-}Ho Yang and Chin{-}Chen Chang and Chih{-}Hung Wang}, title = {An Efficient V-Fairness (t, n) Threshold Secret Sharing Scheme}, booktitle = {Fifth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2011, Kinmen, Taiwan / Xiamen, China, August 29 - September 1, 2011}, pages = {180--183}, year = {2011}, crossref = {DBLP:conf/icgec/2011}, url = {https://doi.org/10.1109/ICGEC.2011.97}, doi = {10.1109/ICGEC.2011.97}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icgec/YangCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KangLCYLHP11, author = {Li{-}Wei Kang and Chih{-}Yang Lin and Hung{-}Wei Chen and Chia{-}Mu Yu and Chun{-}Shien Lu and Chao{-}Yung Hsu and Soo{-}Chang Pei}, title = {Secure transcoding for compressive multimedia sensing}, booktitle = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, pages = {917--920}, year = {2011}, crossref = {DBLP:conf/icip/2011}, url = {https://doi.org/10.1109/ICIP.2011.6116709}, doi = {10.1109/ICIP.2011.6116709}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/KangLCYLHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/ShihLLHCCHSYK11, author = {Chun{-}Yi Shih and Ming{-}Chih Li and Chao{-}Sheng Lin and Pao{-}Ann Hsiung and Chih{-}Hung Chang and William C. Chu and Nien{-}Lin Hsueh and Chihhsiong Shih and Chao{-}Tung Yang and Chorng{-}Shiuh Koong}, title = {Adaptive Performance Monitoring for Embedded Multicore Systems}, booktitle = {2011 International Conference on Parallel Processing Workshops, {ICPPW} 2011, Taipei, Taiwan, Sept. 13-16, 2011}, pages = {222--228}, year = {2011}, crossref = {DBLP:conf/icppw/2011}, url = {https://doi.org/10.1109/ICPPW.2011.27}, doi = {10.1109/ICPPW.2011.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/ShihLLHCCHSYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsdm/SuKHSC11, author = {Jau{-}Ming Su and Cheng{-}Chen Kou and Wen{-}Chi Ho and Mei{-}Hui Shen and Chih{-}Hung Chang}, title = {A spatial decision support system for analyzing the spatial-temporal transit service gap}, booktitle = {{IEEE} International Conference on Spatial Data Mining and Geographical Knowledge Services, {ICSDM} 2011, Fuzhou, China, June 29 - July 1, 2011}, pages = {506--510}, year = {2011}, crossref = {DBLP:conf/icsdm/2011}, url = {https://doi.org/10.1109/ICSDM.2011.5969097}, doi = {10.1109/ICSDM.2011.5969097}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icsdm/SuKHSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/YangCWCC11, author = {Chao{-}Tung Yang and Tzu{-}Chieh Chang and Hsien{-}Yi Wang and William Cheng{-}Chung Chu and Chih{-}Hung Chang}, title = {Performance Comparison with OpenMP Parallelization for Multi-core Systems}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2011, Busan, Korea, 26-28 May, 2011}, pages = {232--237}, year = {2011}, crossref = {DBLP:conf/ispa/2011}, url = {https://doi.org/10.1109/ISPA.2011.60}, doi = {10.1109/ISPA.2011.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/YangCWCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangSLWLWHLKLLCY11, author = {Meng{-}Fan Chang and Shin{-}Jang Shen and Chia{-}Chi Liu and Che{-}Wei Wu and Yu{-}Fan Lin and Shang{-}Chi Wu and Chia{-}En Huang and Han{-}Chao Lai and Ya{-}Chin King and Chorng{-}Jung Lin and Hung{-}Jen Liao and Yu{-}Der Chih and Hiroyuki Yamauchi}, title = {An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {206--208}, year = {2011}, crossref = {DBLP:conf/isscc/2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746284}, doi = {10.1109/ISSCC.2011.5746284}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangSLWLWHLKLLCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WenHTLLCTTL11, author = {Jen{-}Yang Wen and Yu{-}Chuan Huang and Min{-}Hong Tsai and Kuan{-}Yu Liao and James Chien{-}Mo Li and Ming{-}Tung Chang and Min{-}Hsiu Tsai and Chih{-}Mou Tseng and Hung{-}Chun Li}, title = {Test clock domain optimization for peak power supply noise reduction during scan}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, year = {2011}, crossref = {DBLP:conf/itc/2011}, url = {https://doi.org/10.1109/TEST.2011.6139163}, doi = {10.1109/TEST.2011.6139163}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WenHTLLCTTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/LinWLCLL11, author = {Hui{-}Hung Lin and Chih{-}Chin Wen and Shi{-}Wei Lin and Cheng{-}Chung Chang and Kuang{-}Chih Liu and Chorng{-}Tyan Lin}, title = {A Development and Construction of Parallel Robot with Embedded System}, booktitle = {First International Conference on Robot, Vision and Signal Processing, {RVSP} 2011, Kaohsiung City, Taiwan, November 21-23, 2011}, pages = {102--105}, year = {2011}, crossref = {DBLP:conf/rvsp/2011}, url = {https://doi.org/10.1109/RVSP.2011.88}, doi = {10.1109/RVSP.2011.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/LinWLCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/TsaiSCLWL11, author = {Shun{-}Hung Tsai and Chun{-}Hung Su and Chih{-}Hsiang Chang and Chorng{-}Tyan Lin and Chih{-}Chin Wen and Jeng{-}Han Li}, title = {Based on Fuzzy Color Detection and Field Calibration for Robot Soccer}, booktitle = {First International Conference on Robot, Vision and Signal Processing, {RVSP} 2011, Kaohsiung City, Taiwan, November 21-23, 2011}, pages = {86--89}, year = {2011}, crossref = {DBLP:conf/rvsp/2011}, url = {https://doi.org/10.1109/RVSP.2011.85}, doi = {10.1109/RVSP.2011.85}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rvsp/TsaiSCLWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangYHLLCCLCCHJLLLSWLH11, author = {Hao{-}I Yang and Shih{-}Chi Yang and Mao{-}Chih Hsia and Yung{-}Wei Lin and Yi{-}Wei Lin and Chien{-}Hen Chen and Chi{-}Shin Chang and Geng{-}Cing Lin and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {A high-performance low {VMIN} 55nm 512Kb disturb-free 8T {SRAM} with adaptive {VVSS} control}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {197--200}, year = {2011}, crossref = {DBLP:conf/socc/2011}, url = {https://doi.org/10.1109/SOCC.2011.6085080}, doi = {10.1109/SOCC.2011.6085080}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangYHLLCCLCCHJLLLSWLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/ChangLKCYHHK11, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and Kuo{-}Fong Kao and William C. Chu and Chao{-}Tung Yang and Nien{-}Lin Hsueh and Pao{-}Ann Hsiung and Chorng{-}Shiuh Koong}, title = {A SysML-Based Requirement Supporting Tool for Embedded Software}, booktitle = {Fifth International Conference on Secure Software Integration and Reliability Improvement, {SSIRI} 2011, 27-29 June, 2011, Jeju Island, Korea - Companion Volume}, pages = {202--206}, year = {2011}, crossref = {DBLP:conf/ssiri/2011c}, url = {https://doi.org/10.1109/SSIRI-C.2011.34}, doi = {10.1109/SSIRI-C.2011.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssiri/ChangLKCYHHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/web/WeiCCC11, author = {Chih{-}Ping Wei and Hung{-}Chen Chen and Ching{-}Tun Chang and Yen{-}Ming Chu}, title = {Supporting Patent Maintenance Decision: {A} Data Mining Approach}, booktitle = {E-Life: Web-Enabled Convergence of Commerce, Work, and Social Life - 10th Workshop on E-Business, {WEB} 2011, Shanghai, China, December 4, 2011, Revised Selected Papers}, pages = {87--99}, year = {2011}, crossref = {DBLP:conf/web/2011}, url = {https://doi.org/10.1007/978-3-642-29873-8\_9}, doi = {10.1007/978-3-642-29873-8\_9}, timestamp = {Mon, 30 Nov 2020 13:33:53 +0100}, biburl = {https://dblp.org/rec/conf/web/WeiCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/ChangLCC11, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Juei{-}Nan Chen}, title = {Context-Sensitive Content Representation for Mobile Learning}, booktitle = {Social Media Tools and Platforms in Learning Environments}, pages = {277--286}, year = {2011}, crossref = {DBLP:books/daglib/0027901}, url = {https://doi.org/10.1007/978-3-642-20392-3\_16}, doi = {10.1007/978-3-642-20392-3\_16}, timestamp = {Tue, 16 May 2017 14:01:34 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/ChangLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/ChienCS10, author = {Yu{-}Hung Chien and Chin{-}Chih Chang and Shey{-}Huei Sheu}, title = {Optimal age-replacement model with age-dependent type of failure and random lead time based on a cumulative repair-cost limit policy}, journal = {Ann. Oper. Res.}, volume = {181}, number = {1}, pages = {723--744}, year = {2010}, url = {https://doi.org/10.1007/s10479-009-0679-0}, doi = {10.1007/S10479-009-0679-0}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/ChienCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HsiehCHWO10, author = {Chih{-}Hung Hsieh and Darby Tien{-}Hao Chang and Cheng{-}Hao Hsueh and Chi{-}Yeh Wu and Yen{-}Jen Oyang}, title = {Predicting microRNA precursors with a generalized Gaussian components based density estimation algorithm}, journal = {{BMC} Bioinform.}, volume = {11}, number = {{S-1}}, pages = {52}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-S1-S52}, doi = {10.1186/1471-2105-11-S1-S52}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HsiehCHWO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/YangCJ10, author = {Jie{-}Chi Yang and Chih{-}Hung Chen and Ming{-}Chang Jeng}, title = {Integrating video-capture virtual reality technology into a physically interactive learning environment for English learning}, journal = {Comput. Educ.}, volume = {55}, number = {3}, pages = {1346--1356}, year = {2010}, url = {https://doi.org/10.1016/j.compedu.2010.06.005}, doi = {10.1016/J.COMPEDU.2010.06.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/YangCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/GattaGSHZCDXCCBKHWICZSETCHCV10, author = {Francesco Gatta and Ray Gomez and Young Shin and Takayuki Hayashi and Hanli Zou and James Y. C. Chang and Leonard Dauphinee and Jianhong Xiao and Dave S.{-}H. Chang and Tai{-}Hong Chih and Massimo Brandolini and Dongsoo Koh and Bryan Juo{-}Jung Hung and Tao Wu and Mattia Introini and Giuseppe Cusmai and Ertan Zencir and Frank Singor and Hans Eberhart and Loke Tan and Bruce Currivan and Lin He and Peter Cangiane and Pieter Vorenkamp}, title = {An embedded 65 nm {CMOS} baseband {IQ} 48 MHz-1 GHz dual tuner for {DOCSIS} 3.0}, journal = {{IEEE} Commun. Mag.}, volume = {48}, number = {4}, pages = {88--97}, year = {2010}, url = {https://doi.org/10.1109/MCOM.2010.5439081}, doi = {10.1109/MCOM.2010.5439081}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/GattaGSHZCDXCCBKHWICZSETCHCV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ChenHWWCS10, author = {Yen{-}Liang Chen and Hung{-}Chih Hsieh and Wang{-}Tsung Wu and Bor{-}Jiunn Wen and Wei{-}Yao Chang and Der{-}Chin Su}, title = {An alternative bend-testing technique for a flexible indium tin oxide film}, journal = {Displays}, volume = {31}, number = {4-5}, pages = {191--195}, year = {2010}, url = {https://doi.org/10.1016/j.displa.2010.07.003}, doi = {10.1016/J.DISPLA.2010.07.003}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ChenHWWCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/ChangWS10, author = {Chuan{-}Yu Chang and Hung{-}Jen Wang and Wen{-}Chih Shen}, title = {Copyright-proving scheme for audio with counter-propagation neural networks}, journal = {Digit. Signal Process.}, volume = {20}, number = {4}, pages = {1087--1101}, year = {2010}, url = {https://doi.org/10.1016/j.dsp.2009.12.001}, doi = {10.1016/J.DSP.2009.12.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/ChangWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/YuCCF10, author = {Chih{-}Chang Yu and Hsu{-}Yung Cheng and Chien{-}Hung Cheng and Kuo{-}Chin Fan}, title = {Efficient Human Action and Gait Analysis Using Multiresolution Motion Energy Histogram}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/975291}, doi = {10.1155/2010/975291}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasp/YuCCF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenLYT10, author = {Hsien{-}Ching Chen and Jen{-}Chang Lin and Yung{-}Kuang Yang and Chih{-}Hung Tsai}, title = {Optimization of wire electrical discharge machining for pure tungsten using a neural network integrated simulated annealing approach}, journal = {Expert Syst. Appl.}, volume = {37}, number = {10}, pages = {7147--7153}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2010.04.020}, doi = {10.1016/J.ESWA.2010.04.020}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenLYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeCTL10, author = {Jun{-}Huei Lee and Jia{-}Ging Chang and Chih{-}Hung Tsai and Rong{-}Kwei Li}, title = {Research on enhancement of {TOC} Simplified Drum-Buffer-Rope system using novel generic procedures}, journal = {Expert Syst. Appl.}, volume = {37}, number = {5}, pages = {3747--3754}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2009.11.049}, doi = {10.1016/J.ESWA.2009.11.049}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeCTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/SuC10, author = {Jau{-}Ming Su and Chih{-}Hung Chang}, title = {The multimodal trip planning system of intercity transportation in Taiwan}, journal = {Expert Syst. Appl.}, volume = {37}, number = {10}, pages = {6850--6861}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2010.03.037}, doi = {10.1016/J.ESWA.2010.03.037}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/SuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ShihCCK10, author = {Kuei{-}Ping Shih and Hung{-}Chang Chen and Chih{-}Yung Chang and Tai{-}Chien Kao}, title = {The Development and Implementation of Scaffolding-Based Self-Regulated Learning System for e/m-Learning}, journal = {J. Educ. Technol. Soc.}, volume = {13}, number = {1}, pages = {80--93}, year = {2010}, url = {http://www.ifets.info/abstract.php?art\_id=1020}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ShihCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HungCC10, author = {Chen{-}Chun Hung and Jin{-}Chern Chiou and Chih{-}Wei Chang}, title = {Transparent microprobe array fabricated by {MEMS} hot embossing technology for photodynamic therapy application}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {9}, pages = {569--576}, year = {2010}, url = {https://doi.org/10.1587/elex.7.569}, doi = {10.1587/ELEX.7.569}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HungCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijait/ChangLHO10, author = {Darby Tien{-}Hao Chang and Jung{-}Hsin Lin and Chih{-}Hung Hsieh and Yen{-}Jen Oyang}, title = {On the Design of Optimization Algorithms for Prediction of Molecular Interactions}, journal = {Int. J. Artif. Intell. Tools}, volume = {19}, number = {3}, pages = {267--280}, year = {2010}, url = {https://doi.org/10.1142/S0218213010000182}, doi = {10.1142/S0218213010000182}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijait/ChangLHO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijalr/ChangLHLC10, author = {Ping{-}Teng Chang and Chih{-}Sheng Lin and Kuo{-}Chen Hung and Han{-}Hsiang Lee and Ching{-}Hsiang Chang}, title = {Collaboration and Competition Process: {A} Multi-Teams and Genetic Algorithm Hybrid Approach}, journal = {Int. J. Artif. Life Res.}, volume = {1}, number = {3}, pages = {62--90}, year = {2010}, url = {https://doi.org/10.4018/jalr.2010070107}, doi = {10.4018/JALR.2010070107}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijalr/ChangLHLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcit/ChenCSWCS10, author = {Jui{-}Hung Chen and Han{-}Bin Chang and Chun{-}Yi Shen and Te{-}Wua Wang and Wen{-}Chih Chang and Timothy K. Shih}, title = {Immersive Learning Environment with Integrated Interactive Video and Ubiquitous Technologies}, journal = {J. Convergence Inf. Technol.}, volume = {5}, number = {9}, pages = {61--72}, year = {2010}, url = {http://www.aicit.org/jcit/ppl/JCIT0509\_06.pdf}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcit/ChenCSWCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcit/ChenKWCS10, author = {Jui{-}Hung Chen and David Tawei Ku and Te{-}Hua Wang and Wen{-}Chih Chang and Timothy K. Shih}, title = {Using Adventure Game to Facilitate Learning Assessment Process}, journal = {J. Convergence Inf. Technol.}, volume = {5}, number = {9}, pages = {126--134}, year = {2010}, url = {http://www.aicit.org/jcit/ppl/JCIT0509\_13.pdf}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcit/ChenKWCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangCCL10, author = {Kow{-}Ming Chang and Chih{-}Tien Chang and Kuo{-}Yi Chao and Chia{-}Hung Lin}, title = {A Novel pH-dependent Drift Improvement Method for Zirconium Dioxide Gated pH-Ion Sensitive Field Effect Transistors}, journal = {Sensors}, volume = {10}, number = {5}, pages = {4643--4654}, year = {2010}, url = {https://doi.org/10.3390/s100504643}, doi = {10.3390/S100504643}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChangCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangKKK10, author = {Hung{-}Chih Chang and Laszlo B. Kish and Maria D. King and Chiman Kwan}, title = {Binary Fingerprints at Fluctuation-Enhanced Sensing}, journal = {Sensors}, volume = {10}, number = {1}, pages = {361--373}, year = {2010}, url = {https://doi.org/10.3390/s100100361}, doi = {10.3390/S100100361}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChangKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JiangCLCHWLL10, author = {Joe{-}Air Jiang and Cheng{-}Long Chuang and Tzu{-}Shiang Lin and Chia{-}Pang Chen and Chih{-}Hung Hung and Jiing{-}Yi Wang and Chang{-}Wang Liu and Tzu{-}Yun Lai}, title = {Collaborative Localization in Wireless Sensor Networks \emph{via} Pattern Recognition in Radio Irregularity Using Omnidirectional Antennas}, journal = {Sensors}, volume = {10}, number = {1}, pages = {400--427}, year = {2010}, url = {https://doi.org/10.3390/s100100400}, doi = {10.3390/S100100400}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JiangCLCHWLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangSWLYCKCTKH10, author = {Shih{-}Han Wang and Chi{-}Yen Shen and Ting{-}Chan Weng and Pin{-}Hsuan Lin and Jia{-}Jyun Yang and I{-}Fen Chen and Shyh{-}Ming Kuo and Shwu{-}Jen Chang and Yuan{-}Kun Tu and Yu{-}Hsien Kao and Chih{-}Hsin Hung}, title = {Detection of Cartilage Oligomeric Matrix Protein Using a Quartz Crystal Microbalance}, journal = {Sensors}, volume = {10}, number = {12}, pages = {11633--11643}, year = {2010}, url = {https://doi.org/10.3390/s101211633}, doi = {10.3390/S101211633}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangSWLYCKCTKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LinCLHCW10, author = {Chin{-}Teng Lin and Che{-}Jui Chang and Bor{-}Shyh Lin and Shao{-}Hang Hung and Chih{-}Feng Chao and I{-}Jan Wang}, title = {A Real-Time Wireless Brain-Computer Interface System for Drowsiness Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {4}, number = {4}, pages = {214--222}, year = {2010}, url = {https://doi.org/10.1109/TBCAS.2010.2046415}, doi = {10.1109/TBCAS.2010.2046415}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LinCLHCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangLLHC10, author = {Robert Chen{-}Hao Chang and Chih{-}Hung Lin and Kuang{-}Hao Lin and Alex Chien{-}Lin Huang and Feng{-}Chi Chen}, title = {Iterative {QR} Decomposition Architecture Using the Modified Gram-Schmidt Algorithm for {MIMO} Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {5}, pages = {1095--1102}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2010.2047744}, doi = {10.1109/TCSI.2010.2047744}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ChangLLHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ChangLCK10, author = {Min{-}Kuan Chang and Shi{-}Yong Lee and Chien{-}Hao Chien and Chih{-}Hung Kuo}, title = {Performance analysis and modelling of single-step power control in finite state Markov channel under different feedback channels}, journal = {{IEEE} Trans. Commun.}, volume = {58}, number = {4}, pages = {1280--1290}, year = {2010}, url = {https://doi.org/10.1109/TCOMM.2010.04.070381}, doi = {10.1109/TCOMM.2010.04.070381}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ChangLCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KuoCFL10, author = {Chih{-}Hung Kuo and Li{-}Chuan Chang and Kuan{-}Wei Fan and Bin{-}Da Liu}, title = {Hardware/Software Codesign of a Low-Cost Rate Control Scheme for {H.264/AVC}}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {20}, number = {2}, pages = {250--261}, year = {2010}, url = {https://doi.org/10.1109/TCSVT.2009.2031518}, doi = {10.1109/TCSVT.2009.2031518}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KuoCFL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/TsuiLCKCY10, author = {Po{-}Hsiang Tsui and Yin{-}Yin Liao and Chien{-}Cheng Chang and Wen{-}Hung Kuo and King{-}Jen Chang and Chih{-}Kuang Yeh}, title = {Classification of Benign and Malignant Breast Tumors by 2-D Analysis Based on Contour Description and Scatterer Characterization}, journal = {{IEEE} Trans. Medical Imaging}, volume = {29}, number = {2}, pages = {513--522}, year = {2010}, url = {https://doi.org/10.1109/TMI.2009.2037147}, doi = {10.1109/TMI.2009.2037147}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/TsuiLCKCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangWHLLH10, author = {Ming{-}Hung Chang and Jung{-}Yi Wu and Wei{-}Chih Hsieh and Shang{-}Yuan Lin and You{-}Wei Liang and Wei Hwang}, title = {High efficiency power management system for solar energy harvesting applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {879--882}, year = {2010}, crossref = {DBLP:conf/apccas/2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774960}, doi = {10.1109/APCCAS.2010.5774960}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangWHLLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChenTCPHYCHLLFYWCWWP10, author = {Wei{-}Chih Chen and Chien{-}Chun Tsai and Chih{-}Hsien Chang and Yung{-}Chow Peng and Fu{-}Lung Hsueh and Tsung{-}Hsin Yu and Jinn{-}Yeh Chien and Wen{-}Hung Huang and Chi{-}Chang Lu and Mu{-}Shan Lin and Chin{-}Ming Fu and Shu{-}Chun Yang and Chung{-}Wing Wong and Wan{-}Te Chen and Chin{-}Hua Wen and Li Yueh Wang and Chiang Pu}, title = {A 2.5-8Gb/s transceiver with 5-tap {DFE} and Second order {CDR} against 28-inch channel and 5000ppm {SSC} in 40nm {CMOS} technology}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, year = {2010}, crossref = {DBLP:conf/cicc/2010}, url = {https://doi.org/10.1109/CICC.2010.5617469}, doi = {10.1109/CICC.2010.5617469}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChenTCPHYCHLLFYWCWWP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsr/LiuCCH10, author = {Chih{-}Hao Liu and K.{-}L. Chang and Jason Jen{-}Yen Chen and S.{-}C. Hung}, title = {Ontology-Based Context Representation and Reasoning Using {OWL} and {SWRL}}, booktitle = {8th Annual Conference on Communication Networks and Services Research, {CNSR} 2010, 11-14 May 2010, Montreal, Canada}, pages = {215--220}, year = {2010}, crossref = {DBLP:conf/cnsr/2010}, url = {https://doi.org/10.1109/CNSR.2010.22}, doi = {10.1109/CNSR.2010.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cnsr/LiuCCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HsuehCHCCCKS10, author = {Nien{-}Lin Hsueh and Peng{-}Hua Chu and Pao{-}Ann Hsiung and Min{-}Ju Chuang and William C. Chu and Chih{-}Hung Chang and Chorng{-}Shiuh Koong and Chihhsiong Shih}, title = {Supporting Design Enhancement by Pattern-Based Transformation}, booktitle = {Proceedings of the 34th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2010, Seoul, Korea, 19-23 July 2010}, pages = {462--467}, year = {2010}, crossref = {DBLP:conf/compsac/2010}, url = {https://doi.org/10.1109/COMPSAC.2010.53}, doi = {10.1109/COMPSAC.2010.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/HsuehCHCCCKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiehHCTTL10, author = {Ang{-}Chih Hsieh and TingTing Hwang and Ming{-}Tung Chang and Min{-}Hsiu Tsai and Chih{-}Mou Tseng and Hung{-}Chun Li}, title = {{TSV} redundancy: Architecture and design issues in 3D {IC}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {166--171}, year = {2010}, crossref = {DBLP:conf/date/2010}, url = {https://doi.org/10.1109/DATE.2010.5457218}, doi = {10.1109/DATE.2010.5457218}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HsiehHCTTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gca/WangLWH10, author = {Shuen{-}Tai Wang and Chin{-}Hung Li and Chang{-}Hsing Wu and Chih{-}Wei Hsieh}, title = {Phantom Toolkit: {A} Grid-Enabled Implementation for Autonomic Cluster Computing in Computerized Classrooms}, booktitle = {Proceedings of the 2010 International Conference on Grid Computing {\&} Applications, {GCA} 2010, July 12-15, 2010, Las Vegas, Nevada, {USA}}, pages = {52--57}, year = {2010}, crossref = {DBLP:conf/gca/2010}, timestamp = {Wed, 08 Dec 2010 08:34:25 +0100}, biburl = {https://dblp.org/rec/conf/gca/WangLWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LinHLKLKLC10, author = {Tay{-}Jyi Lin and Pi{-}Chen Hsiao and Chi{-}Hung Lin and Shu{-}Chang Kuo and Chou{-}Kun Lin and Yu{-}Ting Kuo and Chih{-}Wei Liu and Yuan{-}Hua Chu}, title = {Collaborative voltage scaling with online {STA} and variable-latency datapath}, booktitle = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, pages = {347--352}, year = {2010}, crossref = {DBLP:conf/glvlsi/2010}, url = {https://doi.org/10.1145/1785481.1785560}, doi = {10.1145/1785481.1785560}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LinHLKLKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LiuLHHC10, author = {Kuo{-}Ping Liu and Cheng{-}Chung Liu and Chih{-}Hsin Huang and Kuo{-}Chun Hung and Chia{-}Jung Chang}, title = {An Audio Book Platform for Early {EFL} Oral Reading Fluency}, booktitle = {{ICALT} 2010, 10th {IEEE} International Conference on Advanced Learning Technologies, Sousse, Tunisia, 5-7 July 2010}, pages = {94--98}, year = {2010}, crossref = {DBLP:conf/icalt/2010}, url = {https://doi.org/10.1109/ICALT.2010.34}, doi = {10.1109/ICALT.2010.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LiuLHHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChangHLL10, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Chih{-}Yu Lin and Ming{-}Hsien Li}, title = {On Distinguishing Relative Locations with Busy Tones for Wireless Sensor Networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2010, Cape Town, South Africa, 23-27 May 2010}, pages = {1--5}, year = {2010}, crossref = {DBLP:conf/icc/2010}, url = {https://doi.org/10.1109/ICC.2010.5502110}, doi = {10.1109/ICC.2010.5502110}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChangHLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangHLTC10, author = {Yao{-}Tsung Chang and Chih{-}Cheng Hsu and Mark Po{-}Hung Lin and Yu{-}Wen Tsai and Sheng{-}Fong Chen}, title = {Post-placement power optimization with multi-bit flip-flops}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {218--223}, year = {2010}, crossref = {DBLP:conf/iccad/2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654155}, doi = {10.1109/ICCAD.2010.5654155}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangHLTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/LinYC10, author = {Chih{-}Hung Lin and Ching{-}Yu Yang and Chia{-}Wei Chang}, title = {Authentication and Protection for Medical Image}, booktitle = {Computational Collective Intelligence. Technologies and Applications - Second International Conference, {ICCCI} 2010, Kaohsiung, Taiwan, November 10-12, 2010, Proceedings, Part {II}}, pages = {278--287}, year = {2010}, crossref = {DBLP:conf/iccci/2010-2}, url = {https://doi.org/10.1007/978-3-642-16732-4\_30}, doi = {10.1007/978-3-642-16732-4\_30}, timestamp = {Thu, 16 Mar 2023 20:00:30 +0100}, biburl = {https://dblp.org/rec/conf/iccci/LinYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/HungLHCT10, author = {Michael Chien{-}Chun Hung and Kate Ching{-}Ju Lin and Chih{-}Cheng Hsu and Cheng{-}Fu Chou and Chang{-}Jen Tu}, title = {On Enhancing Network-Lifetime Using Opportunistic Routing in Wireless Sensor Networks}, booktitle = {Proceedings of the 19th International Conference on Computer Communications and Networks, {IEEE} {ICCCN} 2010, Z{\"{u}}rich, Switzerland, August 2-5, 2010}, pages = {1--6}, year = {2010}, crossref = {DBLP:conf/icccn/2010}, url = {https://doi.org/10.1109/ICCCN.2010.5560128}, doi = {10.1109/ICCCN.2010.5560128}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/HungLHCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/WuWCH10, author = {Chih{-}Hung Wu and Yen{-}Liang Wu and Yuan{-}Ming Chang and Ming{-}Hung Hung}, title = {Web usage mining on the sequences of clicking patterns in a grid computing environment}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {2909--2914}, year = {2010}, crossref = {DBLP:conf/icmlc/2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580751}, doi = {10.1109/ICMLC.2010.5580751}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/WuWCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangKL10, author = {Li{-}Chuan Chang and Chih{-}Hung Kuo and Bin{-}Da Liu}, title = {Low complexity {MAD} prediction algorithms for rate controllable {H.264/AVC} hardware encoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {661--664}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537501}, doi = {10.1109/ISCAS.2010.5537501}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCHH10, author = {Shi{-}Wen Chen and Ming{-}Hung Chang and Wei{-}Chih Hsieh and Wei Hwang}, title = {Fully on-chip temperature, process, and voltage sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {897--900}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537410}, doi = {10.1109/ISCAS.2010.5537410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungCCWLL10, author = {Shao{-}Hang Hung and Che{-}Jui Chang and Chih{-}Feng Chao and I{-}Jan Wang and Chin{-}Teng Lin and Bor{-}Shyh Lin}, title = {Development of real-time wireless brain computer interface for drowsiness detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1380--1383}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537256}, doi = {10.1109/ISCAS.2010.5537256}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HungCCWLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCHLCWHHYC10, author = {Chih{-}Hsing Lin and Yung{-}Chang Chang and Wen{-}Chih Huang and Wei{-}Chih Lai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Chun{-}Ming Huang and Chih{-}Chyau Yang and Shih{-}Lun Chen}, title = {A packet-based emulating platform with serializer/deserializer interface for heterogeneous {IP} verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1061--1064}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537351}, doi = {10.1109/ISCAS.2010.5537351}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCHLCWHHYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHCL10, author = {Chih{-}Hung Lin and Alex Chien{-}Lin Huang and Robert Chen{-}Hao Chang and Kuang{-}Hao Lin}, title = {Low-power design of variable block-size {LDPC} decoder using nanometer technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1759--1762}, year = {2010}, crossref = {DBLP:conf/iscas/2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537590}, doi = {10.1109/ISCAS.2010.5537590}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinHCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCLCHFLWTWSYL10, author = {Chii{-}Wann Lin and Hung{-}Wei Chiu and Mu{-}Lien Lin and Chi{-}Heng Chang and I{-}Hsiu Ho and Po Hsiang Fang and Yi Chin Li and Chang Lun Wang and Yao{-}Chuan Tsai and Yeong{-}Ray Wen and Win{-}Pin Shih and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {Pain control on demand based on pulsed radio-frequency stimulation of the dorsal root ganglion using a batteryless implantable {CMOS} SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {234--235}, year = {2010}, crossref = {DBLP:conf/isscc/2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433936}, doi = {10.1109/ISSCC.2010.5433936}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCLCHFLWTWSYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MehtaSERWEFVZHBBMFLWCY10, author = {Jaimin Mehta and Robert Bogdan Staszewski and Oren E. Eliezer and Sameh Rezeq and Khurram Waheed and Mitch Entezari and Gennady Feygin and Sudheer K. Vemulapalli and Vasile Zoicas and Chih{-}Ming Hung and Nathen Barton and Imran Bashir and Kenneth Maggio and Michel Frechette and Meng{-}Chang Lee and John L. Wallberg and Patrick Cruise and Naveen K. Yanduru}, title = {A 0.8mm\({}^{\mbox{2}}\) all-digital SAW-less polar transmitter in 65nm {EDGE} SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {58--59}, year = {2010}, crossref = {DBLP:conf/isscc/2010}, url = {https://doi.org/10.1109/ISSCC.2010.5434050}, doi = {10.1109/ISSCC.2010.5434050}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MehtaSERWEFVZHBBMFLWCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/HungCCC10, author = {Li{-}Ling Hung and Chih{-}Yung Chang and Cheng{-}Chang Chen and Yu{-}Chieh Chen}, title = {{JTEF:} joint throughput enhancement and fairness {MAC} protocol for vehicular ad hoc networks}, booktitle = {Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2010, Caen, France, June 28 - July 2, 2010}, pages = {1--5}, year = {2010}, crossref = {DBLP:conf/iwcmc/2010}, url = {https://doi.org/10.1145/1815396.1815398}, doi = {10.1145/1815396.1815398}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/HungCCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/WangHYCW10, author = {Wenkai Wang and HsuanYu Sheila Hsu and HsiuJu Rebecca Yen and Hung{-}Chang Chiu and Chih{-}Ping Wei}, title = {Developing and Validating Service Innovation Readiness}, booktitle = {Pacific Asia Conference on Information Systems, {PACIS} 2010, Taipei, Taiwan, 9-12 July 2010}, pages = {71}, year = {2010}, crossref = {DBLP:conf/pacis/2010}, url = {http://aisel.aisnet.org/pacis2010/71}, timestamp = {Tue, 28 Feb 2012 16:59:14 +0100}, biburl = {https://dblp.org/rec/conf/pacis/WangHYCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/KoongLCCHHSY10, author = {Chorng{-}Shiuh Koong and Hung{-}Jui Lai and Chih{-}Hung Chang and William C. Chu and Nien{-}Lin Hsueh and Pao{-}Ann Hsiung and Chihhsiong Shih and Chao{-}Tung Yang}, title = {Supporting Tool for Embedded Software Testing}, booktitle = {Proceedings of the 10th International Conference on Quality Software, {QSIC} 2010, Zhangjiajie, China, 14-15 July 2010}, pages = {481--487}, year = {2010}, crossref = {DBLP:conf/qsic/2010}, url = {https://doi.org/10.1109/QSIC.2010.34}, doi = {10.1109/QSIC.2010.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/KoongLCCHHSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/TungCLCY10, author = {Hsin{-}Yu Tung and Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Hongji Yang}, title = {From Applications, to Models and to Embedded System Code: {A} Modeling Approach in Action}, booktitle = {Proceedings of the 10th International Conference on Quality Software, {QSIC} 2010, Zhangjiajie, China, 14-15 July 2010}, pages = {488--494}, year = {2010}, crossref = {DBLP:conf/qsic/2010}, url = {https://doi.org/10.1109/QSIC.2010.31}, doi = {10.1109/QSIC.2010.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/TungCLCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChangLHCSYHK10, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and Nien{-}Lin Hsueh and William C. Chu and Chihhsiong Shih and Chao{-}Tung Yang and Pao{-}Ann Hsiung and Chorng{-}Shiuh Koong}, title = {SysML-based requirement modeling environment for multicore embedded system}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {2224--2228}, year = {2010}, crossref = {DBLP:conf/sac/2010}, url = {https://doi.org/10.1145/1774088.1774555}, doi = {10.1145/1774088.1774555}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/ChangLHCSYHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/BanC09, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {The Topological Pressure of Linear Cellular Automata}, journal = {Entropy}, volume = {11}, number = {2}, pages = {271--284}, year = {2009}, url = {https://doi.org/10.3390/e11020271}, doi = {10.3390/E11020271}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/BanC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/ChangWWLLTCYWLT09, author = {Chi{-}Ho Chang and Sen Wang and Hsien{-}Shun Wu and E.{-}C. Liang and An{-}Shyi Liu and Kun{-}Hung Tsai and Meng{-}Ju Chiang and P.{-}J. Yang and Y.{-}J. Wu and H. Lee and Ching{-}Kuang C. Tzuang}, title = {Design of X-band complementary metal-oxide semiconductor-based frequency-modulation continuous-wave sensor}, journal = {{IET} Circuits Devices Syst.}, volume = {3}, number = {6}, pages = {331--339}, year = {2009}, url = {https://doi.org/10.1049/iet-cds.2008.0310}, doi = {10.1049/IET-CDS.2008.0310}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/ChangWWLLTCYWLT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/BanC09, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {On the Monotonicity of Entropy for Multilayer Cellular Neural Networks}, journal = {Int. J. Bifurc. Chaos}, volume = {19}, number = {11}, pages = {3657--3670}, year = {2009}, url = {https://doi.org/10.1142/S0218127409025043}, doi = {10.1142/S0218127409025043}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/BanC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChienSC09, author = {Yu{-}Hung Chien and Shey{-}Huei Sheu and Chin{-}Chih Chang}, title = {Optimal age-replacement time with minimal repair based on cumulative repair cost limit and random lead time}, journal = {Int. J. Syst. Sci.}, volume = {40}, number = {7}, pages = {703--715}, year = {2009}, url = {https://doi.org/10.1080/00207720902953144}, doi = {10.1080/00207720902953144}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/ChienSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ChangCHC09, author = {Chih{-}Yung Chang and Yu{-}Chieh Chen and Li{-}Ling Hung and Sheng{-}Wen Chang}, title = {A novel multi-channel {MAC} protocol with directional antenna for enhancing spatial reuse and bandwidth utilization in WLANs}, journal = {J. Parallel Distributed Comput.}, volume = {69}, number = {10}, pages = {824--837}, year = {2009}, url = {https://doi.org/10.1016/j.jpdc.2009.07.006}, doi = {10.1016/J.JPDC.2009.07.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ChangCHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GattaGSHZCDXCCB09, author = {Francesco Gatta and Ray Gomez and Young J. Shin and Takayuki Hayashi and Hanli Zou and James Y. C. Chang and Leonard Dauphinee and Jianhong Xiao and Dave S.{-}H. Chang and Tai{-}Hong Chih and Massimo Brandolini and Dongsoo Koh and Bryan Juo{-}Jung Hung and Tao Wu and Mattia Introini and Giuseppe Cusmai and Ertan Zencir and Frank Singor and Hans Eberhart and Loke Kun Tan and Bruce Currivan and Lin He and Peter Cangiane and Pieter Vorenkamp}, title = {An Embedded 65 nm {CMOS} Baseband {IQ} 48 MHz-1 GHz Dual Tuner for {DOCSIS} 3.0}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {12}, pages = {3511--3525}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2032497}, doi = {10.1109/JSSC.2009.2032497}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/GattaGSHZCDXCCB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/ChenWCCS09, author = {Jui{-}Hung Chen and Te{-}Hua Wang and Wen{-}Chih Chang and Louis R. Chao and Timothy K. Shih}, title = {Developing an Interactive Video Game-Based Learning Environment}, journal = {J. Softw.}, volume = {4}, number = {2}, pages = {132--139}, year = {2009}, url = {https://doi.org/10.4304/jsw.4.2.132-139}, doi = {10.4304/JSW.4.2.132-139}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/ChenWCCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCCHH09, author = {Chih{-}Hung Chou and Wen{-}Chi Chang and Chih{-}Min Chiu and Chih{-}Chang Huang and Hsien{-}Da Huang}, title = {{FMM:} a web server for metabolic pathway reconstruction and comparative analysis}, journal = {Nucleic Acids Res.}, volume = {37}, number = {Web-Server-Issue}, pages = {129--134}, year = {2009}, url = {https://doi.org/10.1093/nar/gkp264}, doi = {10.1093/NAR/GKP264}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCCHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangCCTHYJH09, author = {Hsi{-}Yuan Huang and Heng{-}Yi Chang and Chih{-}Hung Chou and Ching{-}Ping Tseng and Shinn{-}Ying Ho and Chi{-}Dung Yang and Yih{-}Wei Ju and Hsien{-}Da Huang}, title = {sRNAMap: genomic maps for small non-coding RNAs, their regulators and their targets in microbial genomes}, journal = {Nucleic Acids Res.}, volume = {37}, number = {Database-Issue}, pages = {150--154}, year = {2009}, url = {https://doi.org/10.1093/nar/gkn852}, doi = {10.1093/NAR/GKN852}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangCCTHYJH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AndersonRPKWCL09, author = {Travis Anderson and Fan Ren and Stephen J. Pearton and Byoung Sam Kang and Hung{-}Ta Wang and Chih{-}Yang Chang and Jenshan Lin}, title = {Advances in Hydrogen, Carbon Dioxide, and Hydrocarbon Gas Sensor Technology Using GaN and ZnO-Based Devices}, journal = {Sensors}, volume = {9}, number = {6}, pages = {4669--4694}, year = {2009}, url = {https://doi.org/10.3390/s90604669}, doi = {10.3390/S90604669}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AndersonRPKWCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ChangLLHHH09, author = {Ping{-}Teng Chang and Kuo{-}Ping Lin and Chih{-}Sheng Lin and Kuo{-}Chen Hung and Lung{-}Ting Hung and Ban{-}Dar Hsu}, title = {Developing a Fuzzy Bicluster Regression to Estimate Heat Tolerance in Plants by Chlorophyll Fluorescence}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {17}, number = {3}, pages = {485--504}, year = {2009}, url = {https://doi.org/10.1109/TFUZZ.2008.924216}, doi = {10.1109/TFUZZ.2008.924216}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/ChangLLHHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HsiaoLL09, author = {Hung{-}Chang Hsiao and Yung{-}Chih Lin and Hao Liao}, title = {Building Small-World Peer-to-Peer Networks Based on Hierarchical Structures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {7}, pages = {1023--1037}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.173}, doi = {10.1109/TPDS.2008.173}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HsiaoLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/YangCHT09, author = {Chao{-}Tung Yang and Hung{-}Yen Chen and Chih{-}Lin Huang and Shyh{-}Chang Tsaur}, title = {A Distributed File Storage with Replica Management in Peer-to-Peer Environments}, booktitle = {Ninth {IEEE} International Conference on Computer and Information Technology, Xiamen, China, {CIT} 2009, 11-14 October 2009, Proceedings, Volume {II}}, pages = {75--80}, year = {2009}, crossref = {DBLP:conf/IEEEcit/2009-2}, url = {https://doi.org/10.1109/CIT.2009.128}, doi = {10.1109/CIT.2009.128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/YangCHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChangLHO09, author = {Darby Tien{-}Hao Chang and Jung{-}Hsin Lin and Chih{-}Hung Hsieh and Yen{-}Jen Oyang}, title = {On the Design of Optimization Algorithms for Prediction of Molecular Interactions}, booktitle = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, pages = {208--215}, year = {2009}, crossref = {DBLP:conf/bibe/2009}, url = {https://doi.org/10.1109/BIBE.2009.57}, doi = {10.1109/BIBE.2009.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChangLHO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/WengCHN09, author = {Chia{-}Wei Weng and Chang{-}Chih Chiang and Chien{-}Hung Huang and Ka{-}Lok Ng}, title = {A Platform for Identifying MicroRNA Targeting Genes}, booktitle = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, pages = {386--387}, year = {2009}, crossref = {DBLP:conf/bibe/2009}, url = {https://doi.org/10.1109/BIBE.2009.78}, doi = {10.1109/BIBE.2009.78}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/WengCHN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ShihWLHHCKC09, author = {Chihhsiong Shih and Chien{-}Ting Wu and Cheng{-}Yao Lin and Pao{-}Ann Hsiung and Nien{-}Lin Hsueh and Chih{-}Hung Chang and Chorng{-}Shiuh Koong and William C. Chu}, title = {A Model-Driven Multicore Software Development Environment for Embedded System}, booktitle = {Proceedings of the 33rd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 2}, pages = {261--268}, year = {2009}, crossref = {DBLP:conf/compsac/2009-2}, url = {https://doi.org/10.1109/COMPSAC.2009.148}, doi = {10.1109/COMPSAC.2009.148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ShihWLHHCKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/Chang09, author = {Chih{-}Hung Chang}, title = {A Low-Cost Green {IT} Design and Application of {VHSP} Based on Virtualization Technology}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {225--230}, year = {2009}, crossref = {DBLP:conf/cse/2009}, url = {https://doi.org/10.1109/CSE.2009.322}, doi = {10.1109/CSE.2009.322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/Chang09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/HungCP09, author = {Chih{-}Chieh Hung and Chih{-}Wen Chang and Wen{-}Chih Peng}, title = {Mining trajectory profiles for discovering user communities}, booktitle = {Proceedings of the 2009 International Workshop on Location Based Social Networks, {LBSN} 2009, November 3, 2009, Seattle, Washington, USA, Proceedings}, pages = {1--8}, year = {2009}, crossref = {DBLP:conf/gis/2009lbsn}, url = {https://doi.org/10.1145/1629890.1629892}, doi = {10.1145/1629890.1629892}, timestamp = {Sat, 08 Feb 2020 13:18:29 +0100}, biburl = {https://dblp.org/rec/conf/gis/HungCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangLWHH09, author = {Ben{-}Jye Chang and Ying{-}Hsin Liang and Chih{-}Hsien Wu and Yung{-}Fa Huang and Ren{-}Hung Hwang}, title = {MDP-Based {CAC} for Two-Dimension Spreading {VSF-OFCDM} in 4G Cellular Communications}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, year = {2009}, crossref = {DBLP:conf/globecom/2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425787}, doi = {10.1109/GLOCOM.2009.5425787}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChangLWHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LeeCCTP09, author = {Liang{-}Teh Lee and Ching{-}Wei Chen and Hung{-}Yuan Chang and Chih{-}Chieh Tang and Kun{-}Chi Pan}, title = {A Non-critical Path Earliest-Finish Algorithm for Inter-dependent Tasks in Heterogeneous Computing Environments}, booktitle = {11th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2009, 25-27 June 2009, Seoul, Korea}, pages = {603--608}, year = {2009}, crossref = {DBLP:conf/hpcc/2009}, url = {https://doi.org/10.1109/HPCC.2009.11}, doi = {10.1109/HPCC.2009.11}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LeeCCTP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/HsiungLLCLTSSKHCC09, author = {Pao{-}Ann Hsiung and Chao{-}Sheng Lin and Shang{-}Wei Lin and Yean{-}Ru Chen and Chun{-}Hsien Lu and Sheng{-}Ya Tong and Wan{-}Ting Su and Chihhsiong Shih and Chorng{-}Shiuh Koong and Nien{-}Lin Hsueh and Chih{-}Hung Chang and William C. Chu}, title = {VERTAF/Multi-Core: {A} SysML-Based Application Framework for Multi-Core Embedded Software Development}, booktitle = {Algorithms and Architectures for Parallel Processing, 9th International Conference, {ICA3PP} 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings}, pages = {303--314}, year = {2009}, crossref = {DBLP:conf/ica3pp/2009}, url = {https://doi.org/10.1007/978-3-642-03095-6\_30}, doi = {10.1007/978-3-642-03095-6\_30}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/HsiungLLCLTSSKHCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChangCLC09, author = {Chih{-}Yung Chang and Chao{-}Tsun Chang and Ming{-}Hsien Li and Chun{-}Hung Chang}, title = {A Novel Relay Placement Mechanism for Capacity Enhancement in {IEEE} 802.16j WiMAX Networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2009, Dresden, Germany, 14-18 June 2009}, pages = {1--5}, year = {2009}, crossref = {DBLP:conf/icc/2009}, url = {https://doi.org/10.1109/ICC.2009.5198653}, doi = {10.1109/ICC.2009.5198653}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChangCLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/Hsiung0CHCSKLLT09, author = {Pao{-}Ann Hsiung and Shang{-}Wei Lin and Yean{-}Ru Chen and Nien{-}Lin Hsueh and Chih{-}Hung Chang and Chihhsiong Shih and Chorng{-}Shiuh Koong and Chao{-}Sheng Lin and Chun{-}Hsien Lu and Sheng{-}Ya Tong and Wan{-}Ting Su and William C. Chu}, title = {Model-driven development of multi-core embedded software}, booktitle = {Proceedings of the 2009 {ICSE} Workshop on Multicore Software Engineering, {IWMSE} '09, Vancouver, BC, Canada, May 18, 2009}, pages = {9--16}, year = {2009}, crossref = {DBLP:conf/icse/2009iwmse}, url = {https://doi.org/10.1109/IWMSE.2009.5071378}, doi = {10.1109/IWMSE.2009.5071378}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/Hsiung0CHCSKLLT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/HsiehCO09, author = {Chih{-}Hung Hsieh and Darby Tien{-}Hao Chang and Yen{-}Jen Oyang}, title = {Data classification with a generalized Gaussian components based density estimation algorithm}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2009, Atlanta, Georgia, USA, 14-19 June 2009}, pages = {1259--1266}, year = {2009}, crossref = {DBLP:conf/ijcnn/2009}, url = {https://doi.org/10.1109/IJCNN.2009.5179000}, doi = {10.1109/IJCNN.2009.5179000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/HsiehCO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCHC09, author = {Kuang{-}Hao Lin and Chih{-}Hung Lin and Robert Chen{-}Hao Chang and Alex Chien{-}Lin Huang and Feng{-}Chi Chen}, title = {Iterative {QR} Decomposition Architecture using the Modified Gram-Schmidt Algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1409--1412}, year = {2009}, crossref = {DBLP:conf/iscas/2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118029}, doi = {10.1109/ISCAS.2009.5118029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinLCHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ChenLC09, author = {Ping{-}Chang Chen and Chih{-}Yao Lo and Hung{-}Teng Chang}, title = {An Empirical Study of the Artificial Neural Network for Currency Exchange Rate Time Series Prediction}, booktitle = {The Sixth International Symposium on Neural Networks, {ISNN} 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part {IV}}, pages = {543--549}, year = {2009}, crossref = {DBLP:conf/isnn/2009-4}, url = {https://doi.org/10.1007/978-3-642-01216-7\_57}, doi = {10.1007/978-3-642-01216-7\_57}, timestamp = {Fri, 19 May 2017 01:26:39 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ChenLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/YangCHT09, author = {Chao{-}Tung Yang and Hung{-}Yen Chen and Chih{-}Lin Huang and Shyh{-}Chang Tsaur}, title = {Implementation of a Distributed File Storage on Peer-to-Peer Environments}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {679--683}, year = {2009}, crossref = {DBLP:conf/ispan/2009}, url = {https://doi.org/10.1109/I-SPAN.2009.152}, doi = {10.1109/I-SPAN.2009.152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/YangCHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GattaGSHZCDXCCBKHWICTCHCV09, author = {Francesco Gatta and Ray Gomez and Young Shin and Takayuki Hayashi and Hanli Zou and James Y. C. Chang and Leonard Dauphinee and Jianhong Xiao and Dave S.{-}H. Chang and Tai{-}Hong Chih and Massimo Brandolini and Dongsoo Koh and Bryan Juo{-}Jung Hung and Tao Wu and Mattia Introini and Giuseppe Cusmai and Loke Tan and Bruce Currivan and Lin He and Peter Cangiane and Pieter Vorenkamp}, title = {An embedded 65nm {CMOS} low-IF 48MHz-to-1GHz dual tuner for {DOCSIS} 3.0}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {122--123}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977338}, doi = {10.1109/ISSCC.2009.4977338}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GattaGSHZCDXCCBKHWICTCHCV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Chih{-}Chieh Yang and Shih{-}Hung Lin and Kuo{-}Pin Lan and Chien{-}Hua Wu and Ting{-}Hsun Wei and Chi{-}Chin Lien and Jiun{-}Yuan Wu and Chih{-}Hao Hsiao and Te{-}Wei Chen and Yeh{-}Lin Chu and Guan{-}Yi Lin and Yung{-}Chang Chang and Kung{-}Sheng Lin and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Chien{-}Hung Lin and Yung{-}Teng Lin and Shang{-}Ming Lee and Ya{-}Ching Yang and Yu{-}Lun Cheng and Chen{-}Chia Lee and Ming{-}Shiang Lai and Wen{-}Hua Wu and Ted Hu and Chao{-}Wei Tseng and Chen{-}Yu Hsiao and Wei{-}Liang Lee and Bo{-}Jiun Chen and Pao{-}Cheng Chiu and Shang{-}Ping Chen and Kun{-}Hsien Li and Kuan{-}Hua Chao and Chien{-}Ming Chen and Chuan{-}Cheng Hsiao and Jeffrey Ju and Wei{-}Hung Huang and Chi{-}Hui Wang and Hung{-}Sung Li and Evan Su and Joe Chen}, title = {A multi-format Blu-ray player SoC in 90nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {152--153}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977353}, doi = {10.1109/ISSCC.2009.4977353}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuoCCWCFCL09, author = {Yan{-}Bin Luo and Ping Chen and Qui{-}Ting Chen and Chih{-}Yong Wang and Chan{-}Hao Chang and Szu{-}Jui Fu and Chien{-}Ming Chen and Hung{-}Sung Li}, title = {A 250Mb/s-to-3.4Gb/s {HDMI} receiver with adaptive loop updating frequencies and an adaptive equalizer}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {190--191}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977372}, doi = {10.1109/ISSCC.2009.4977372}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LuoCCWCFCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SankaranMSSCHATHHO09, author = {Swaminathan Sankaran and Chuying Mao and Eunyoung Seok and Dongha Shim and Changhua Cao and Ruonan Han and Daniel J. Arenas and David B. Tanner and Stephen Hill and Chih{-}Ming Hung and Kenneth K. O}, title = {Towards terahertz operation of {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {202--203}, year = {2009}, crossref = {DBLP:conf/isscc/2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977378}, doi = {10.1109/ISSCC.2009.4977378}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SankaranMSSCHATHHO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChangHCL09, author = {Chih{-}Yung Chang and Li{-}Ling Hung and Yu{-}Chieh Chen and Ming{-}Hsien Li}, title = {On-supporting energy balanced k-barrier coverage in wireless sensor networks}, booktitle = {Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, {IWCMC} 2009, Leipzig, Germany, June 21-24, 2009}, pages = {274--278}, year = {2009}, crossref = {DBLP:conf/iwcmc/2009}, url = {https://doi.org/10.1145/1582379.1582440}, doi = {10.1145/1582379.1582440}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/ChangHCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/ChangWLJCP09, author = {Yu{-}Ming Chang and Ling{-}Yin Wei and Chun{-}Shuo Lin and Chen{-}Hen Jung and I{-}Hung Chen and Wen{-}Chih Peng}, title = {Exploring {GPS} Data for Traffic Status Estimation}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {369--370}, year = {2009}, crossref = {DBLP:conf/mdm/2009}, url = {https://doi.org/10.1109/MDM.2009.56}, doi = {10.1109/MDM.2009.56}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/ChangWLJCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/HungCLC09, author = {Li{-}Ling Hung and Yu{-}Chieh Chen and Yu{-}Ming Lu and Chih{-}Yung Chang}, title = {Spiral Movement Deployment Mechanism for Obstacle-Resistance in Wireless Sensor Networks}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {478--483}, year = {2009}, crossref = {DBLP:conf/mdm/2009}, url = {https://doi.org/10.1109/MDM.2009.84}, doi = {10.1109/MDM.2009.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/HungCLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenCSWC09, author = {Jui{-}Hung Chen and Han{-}Bin Chang and Chun{-}Yi Shen and Te{-}Hua Wang and Wen{-}Chih Chang}, title = {Developing the immersive learning environment by integrating the interactive video and ubiquitous technologies}, booktitle = {Proceedings of the first {ACM} international workshop on Multimedia technologies for distance learning, MTDL@MM 2009, Beijing, China, October 23, 2009}, pages = {39--48}, year = {2009}, crossref = {DBLP:conf/mm/2009mtdl}, url = {https://doi.org/10.1145/1631111.1631119}, doi = {10.1145/1631111.1631119}, timestamp = {Fri, 28 Jun 2019 14:12:54 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChenCSWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChangLCHK09, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Nien{-}Lin Hsueh and Chorng{-}Shiuh Koong}, title = {A case study of pattern-based software framework to improve the quality of software development}, booktitle = {Proceedings of the 2009 {ACM} Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009}, pages = {443--447}, year = {2009}, crossref = {DBLP:conf/sac/2009}, url = {https://doi.org/10.1145/1529282.1529379}, doi = {10.1145/1529282.1529379}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/ChangLCHK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KoongLCCS09, author = {Chorng{-}Shiuh Koong and Ching{-}Meng Lee and Deng{-}Jyi Chen and Chih{-}Hung Chang and Chihhsiong Shih}, title = {The visual authoring tool of flash-based component for interactive item template}, booktitle = {Proceedings of the 2009 {ACM} Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009}, pages = {547--548}, year = {2009}, crossref = {DBLP:conf/sac/2009}, url = {https://doi.org/10.1145/1529282.1529399}, doi = {10.1145/1529282.1529399}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/KoongLCCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sede/HsiehWHC09, author = {Ching{-}Tang Hsieh and Yeh{-}Kuang Wu and Kuo{-}Ming Hung and Chih{-}Yu Chang}, title = {A Machine Learning Approach for Watermarking in Dithered Halftone Images}, booktitle = {18th International Conference on Software Engineering and Data Engineering (SEDE-2009), June 22-24, 2009, Imperial Palace Hotel Las Vegas, Las Vegas, Nevada, USA, Proceedings}, pages = {266--270}, year = {2009}, crossref = {DBLP:conf/sede/2009}, timestamp = {Mon, 06 Jul 2009 10:37:45 +0200}, biburl = {https://dblp.org/rec/conf/sede/HsiehWHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChangH09, author = {Chih{-}Hung Chang and Tzu{-}Chien Hsiao}, title = {A Low-Cost Green {IT} Concept Design of {VHSP} Based on Virtualization Technology}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, pages = {4858--4863}, year = {2009}, crossref = {DBLP:conf/smc/2009}, url = {https://doi.org/10.1109/ICSMC.2009.5346051}, doi = {10.1109/ICSMC.2009.5346051}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChangH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChangCPS09, author = {Hung{-}Bin Chang and Kwang{-}Cheng Chen and Neeli Rashmi Prasad and Chih{-}Wei Su}, title = {Auction Based Spectrum Management of Cognitive Radio Networks}, booktitle = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, year = {2009}, crossref = {DBLP:conf/vtc/2009s}, url = {https://doi.org/10.1109/VETECS.2009.5073409}, doi = {10.1109/VETECS.2009.5073409}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChangCPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/LoCCCFHKKLLWYLLL09, author = {Hung{-}Yi Lo and Kai{-}Wei Chang and Shang{-}Tse Chen and Tsung{-}Hsien Chiang and Chun{-}Sung Ferng and Cho{-}Jui Hsieh and Yi{-}Kuang Ko and Tsung{-}Ting Kuo and Hung{-}Che Lai and Ken{-}Yi Lin and Chia{-}Hsuan Wang and Hsiang{-}Fu Yu and Chih{-}Jen Lin and Hsuan{-}Tien Lin and Shou{-}De Lin}, title = {An Ensemble of Three Classifiers for {KDD} Cup 2009: Expanded Linear Model, Heterogeneous Boosting, and Selective Naive Bayes}, booktitle = {Proceedings of KDD-Cup 2009 competition, Paris, France, June 28, 2009}, pages = {57--64}, year = {2009}, crossref = {DBLP:conf/kdd/2009kddcup}, url = {http://proceedings.mlr.press/v7/lo09.html}, timestamp = {Wed, 02 Sep 2020 16:33:16 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/LoCCCFHKKLLWYLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChangLJYLHW08, author = {Ting{-}Yu Chang and Yin{-}Yi Li and Chih{-}Hung Jen and Tsun{-}Po Yang and Chi{-}Hung Lin and Ming{-}Ta Hsu and Hsei{-}Wei Wang}, title = {easyExon - {A} Java-based {GUI} tool for processing and visualization of Affymetrix exon array data}, journal = {{BMC} Bioinform.}, volume = {9}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-432}, doi = {10.1186/1471-2105-9-432}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChangLJYLHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChangHLHCY08, author = {I{-}Chiu Chang and Hsin{-}Ginn Hwang and Hsueh{-}Chih Liaw and Ming{-}Chien Hung and Sing{-}Liang Chen and David C. Yen}, title = {A neural network evaluation model for {ERP} performance from {SCM} perspective to enhance enterprise competitive advantage}, journal = {Expert Syst. Appl.}, volume = {35}, number = {4}, pages = {1809--1816}, year = {2008}, url = {https://doi.org/10.1016/j.eswa.2007.08.102}, doi = {10.1016/J.ESWA.2007.08.102}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChangHLHCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HouSC08, author = {Tung{-}Hsu (Tony) Hou and Chi{-}Hung Su and Hung{-}Zhi Chang}, title = {Using neural networks and immune algorithms to find the optimal parameters for an {IC} wire bonding process}, journal = {Expert Syst. Appl.}, volume = {34}, number = {1}, pages = {427--436}, year = {2008}, url = {https://doi.org/10.1016/j.eswa.2006.09.024}, doi = {10.1016/J.ESWA.2006.09.024}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/HouSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/BanC08, author = {Jung{-}Chao Ban and Chih{-}Hung Chang}, title = {On the Dense Entropy of Two-Dimensional Inhomogeneous Cellular Neural Networks}, journal = {Int. J. Bifurc. Chaos}, volume = {18}, number = {11}, pages = {3221--3231}, year = {2008}, url = {https://doi.org/10.1142/S0218127408022378}, doi = {10.1142/S0218127408022378}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/BanC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/HouSC08, author = {Tung{-}Hsu Hou and Chi{-}Hung Su and Hung{-}Zhi Chang}, title = {An integrated multi-objective immune algorithm for optimizing the wire bonding process of integrated circuits}, journal = {J. Intell. Manuf.}, volume = {19}, number = {3}, pages = {361--374}, year = {2008}, url = {https://doi.org/10.1007/s10845-008-0088-2}, doi = {10.1007/S10845-008-0088-2}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/HouSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenRLLLOLSZY08, author = {E{-}Hung Chen and Jihong Ren and Brian S. Leibowitz and Hae{-}Chang Lee and Qi Lin and Kyung Suk Oh and Frank Lambrecht and Vladimir Stojanovic and Jared Zerbe and Chih{-}Kong Ken Yang}, title = {Near-Optimal Equalizer and Timing Adaptation for {I/O} Links Using a BER-Based Metric}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {9}, pages = {2144--2156}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2001871}, doi = {10.1109/JSSC.2008.2001871}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenRLLLOLSZY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChuangYJHZLLCC08, author = {Chun{-}Chih Chuang and Tsung{-}Fu Yang and Jin{-}Ye Juang and Yin{-}Po Hung and Chau{-}Jie Zhan and Yu{-}Min Lin and Ching{-}Tsung Lin and Pei{-}Chen Chang and Tao{-}Chih Chang}, title = {Influence of underfill materials on the reliability of coreless flip chip package}, journal = {Microelectron. Reliab.}, volume = {48}, number = {11-12}, pages = {1875--1881}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2008.09.005}, doi = {10.1016/J.MICROREL.2008.09.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChuangYJHZLLCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuLLCCKYC08, author = {Chih{-}Hung Liu and Hung{-}Yi Liu and Chung{-}Wei Lin and Szu{-}Jui Chou and Yao{-}Wen Chang and Sy{-}Yen Kuo and Shih{-}Yi Yuan and Yu{-}Wei Chen}, title = {An Efficient Graph-Based Algorithm for {ESD} Current Path Analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {8}, pages = {1363--1375}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.925779}, doi = {10.1109/TCAD.2008.925779}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuLLCCKYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinWC08, author = {Hung{-}Chih Lin and Hsiang{-}Han Wu and Tsin{-}Yuan Chang}, title = {An Active-Frequency Compensation Scheme for {CMOS} Low-Dropout Regulators With Transient-Response Improvement}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {9}, pages = {853--857}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2008.924366}, doi = {10.1109/TCSII.2008.924366}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/WenHYC08, author = {Cheng Wen and Chih{-}Hung Huang and Ming{-}Feng Yeh and Kuang{-}Chiung Chang}, title = {{TV} settop box design for processing text messages based on graph theory}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {54}, number = {2}, pages = {839--844}, year = {2008}, url = {https://doi.org/10.1109/TCE.2008.4560168}, doi = {10.1109/TCE.2008.4560168}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/WenHYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HsiaoH08, author = {Hung{-}Chang Hsiao and Chih{-}Peng He}, title = {A Tree-Based Peer-to-Peer Network with Quality Guarantees}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {19}, number = {8}, pages = {1099--1110}, year = {2008}, url = {https://doi.org/10.1109/TPDS.2007.70798}, doi = {10.1109/TPDS.2007.70798}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HsiaoH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/OuLC08, author = {Jian Chih Ou and Chang{-}Hung Lee and Ming{-}Syan Chen}, title = {Efficient algorithms for incremental Web log mining with dynamic thresholds}, journal = {{VLDB} J.}, volume = {17}, number = {4}, pages = {827--845}, year = {2008}, url = {https://doi.org/10.1007/s00778-006-0043-9}, doi = {10.1007/S00778-006-0043-9}, timestamp = {Fri, 09 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/OuLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LuCCCC08, author = {Chih{-}Wei Lu and Chih{-}Hung Chang and William C. Chu and Ya{-}Wen Cheng and Hsin{-}Chien Chang}, title = {A Requirement Tool to Support Model-Based Requirement Engineering}, booktitle = {Proceedings of the 32nd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2008, 28 July - 1 August 2008, Turku, Finland}, pages = {712--717}, year = {2008}, crossref = {DBLP:conf/compsac/2008}, url = {https://doi.org/10.1109/COMPSAC.2008.232}, doi = {10.1109/COMPSAC.2008.232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LuCCCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaEEE/ChangS08, author = {Chin{-}Chih Chang and Hung{-}Chi Su}, title = {A Context-Aware English Learning System based on Web Services}, booktitle = {Proceedings of the 2008 International Conference on E-Learning, E-Business, Enterprise Information Systems, and E-Government, {EEE} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {533--539}, year = {2008}, crossref = {DBLP:conf/csreaEEE/2008}, timestamp = {Tue, 10 Feb 2009 09:58:26 +0100}, biburl = {https://dblp.org/rec/conf/csreaEEE/ChangS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/ChengHCLYM08, author = {Kuo{-}Hsing Cheng and Cheng{-}Liang Hung and Chih{-}Hsien Chang and Yu{-}Lung Lo and Wei{-}Bin Yang and Jiunn{-}Way Miaw}, title = {A Spread-Spectrum Clock Generator Using Fractional {PLL} Controlled Delta-Sigma Modulator for Serial-ATA {III}}, booktitle = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava, Slovakia, April 16-18, 2008}, pages = {64--67}, year = {2008}, crossref = {DBLP:conf/ddecs/2008}, url = {https://doi.org/10.1109/DDECS.2008.4538758}, doi = {10.1109/DDECS.2008.4538758}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/ChengHCLYM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/LinTGCFLC08, author = {Chyi{-}Yeu Lin and Chang{-}Kuo Tseng and Hung{-}Yan Gu and Kuo{-}Liang Chung and Chin{-}Shyurng Fahn and Kai{-}Jay Lu and Chih{-}Cheng Chang}, title = {An autonomous singing and news broadcasting face robot}, booktitle = {8th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2008, Daejeon, South Korea, December 1-3, 2008}, pages = {454--461}, year = {2008}, crossref = {DBLP:conf/humanoids/2008}, url = {https://doi.org/10.1109/ICHR.2008.4755994}, doi = {10.1109/ICHR.2008.4755994}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/LinTGCFLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuanCW08, author = {Jenq{-}Tay Yuan and Chih{-}An Chiang and Chang{-}Hung Wu}, title = {A square-root-free {QRD-LSL} interpolation algorithm}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, {USA}}, pages = {3813--3816}, year = {2008}, crossref = {DBLP:conf/icassp/2008}, url = {https://doi.org/10.1109/ICASSP.2008.4518484}, doi = {10.1109/ICASSP.2008.4518484}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YuanCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/SuCH08, author = {Jau{-}Ming Su and Chih{-}Hung Chang and Wen{-}Chi Ho}, title = {Development of Trip Planning Systems on Public Transit in Taiwan}, booktitle = {Proceedings of the {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2008, Hainan, China, 6-8 April 2008}, pages = {791--795}, year = {2008}, crossref = {DBLP:conf/icnsc/2008}, url = {https://doi.org/10.1109/ICNSC.2008.4525323}, doi = {10.1109/ICNSC.2008.4525323}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/SuCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/ChenWCC08, author = {Jui{-}Hung Chen and Te{-}Hua Wang and Wen{-}Chih Chang and Louis R. Chao}, title = {Developing the Historical Culture Course by Using the Ubiquitous Game-Based Learning Environment}, booktitle = {Advances in Web Based Learning - {ICWL} 2008, 7th International Conference, Jinhua, China, August 20-22, 2008. Proceedings}, pages = {241--252}, year = {2008}, crossref = {DBLP:conf/icwl/2008}, url = {https://doi.org/10.1007/978-3-540-85033-5\_24}, doi = {10.1007/978-3-540-85033-5\_24}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/ChenWCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangCHKH08, author = {Li{-}Pu Chuang and Ming{-}Hung Chang and Po{-}Tsang Huang and Chih{-}Hao Kan and Wei Hwang}, title = {A 5.2mW all-digital fast-lock self-calibrated multiphase delay-locked loop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {3342--3345}, year = {2008}, crossref = {DBLP:conf/iscas/2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542174}, doi = {10.1109/ISCAS.2008.4542174}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangCHKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoCLL08, author = {Chih{-}Hung Kuo and Li{-}Chuan Chang and Zheng{-}Wei Liu and Bin{-}Da Liu}, title = {System level design of a spatio-temporal video resampling architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2797--2800}, year = {2008}, crossref = {DBLP:conf/iscas/2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542038}, doi = {10.1109/ISCAS.2008.4542038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinFC08, author = {Hung{-}Chih Lin and Bou{-}Ching Fung and Tsin{-}Yuan Chang}, title = {A current mode adaptive on-time control scheme for fast transient {DC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2602--2605}, year = {2008}, crossref = {DBLP:conf/iscas/2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541989}, doi = {10.1109/ISCAS.2008.4541989}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/ShihCLC08, author = {Kuei{-}Ping Shih and Hung{-}Chang Chen and Chun{-}Chih Li and Hsiang{-}I Chen}, title = {CLE\({}^{\mbox{2}}\)aR\({}^{\mbox{2}}\): {A} cross-layer energy-efficient and reliable routing protocol for wireless ad hoc networks}, booktitle = {Proceedings of the 13th {IEEE} Symposium on Computers and Communications {(ISCC} 2008), July 6-9, Marrakech, Morocco}, pages = {436--441}, year = {2008}, crossref = {DBLP:conf/iscc/2008}, url = {https://doi.org/10.1109/ISCC.2008.4625654}, doi = {10.1109/ISCC.2008.4625654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/ShihCLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeokCSATHO08, author = {Eunyoung Seok and Changhua Cao and Dongha Shim and Daniel J. Arenas and David B. Tanner and Chih{-}Ming Hung and Kenneth K. O}, title = {A 410GHz {CMOS} Push-Push Oscillator with an On-Chip Patch Antenna}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {472--473}, year = {2008}, crossref = {DBLP:conf/isscc/2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523262}, doi = {10.1109/ISSCC.2008.4523262}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeokCSATHO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/StaszewskiLEEMBHWSCRVWBLFMJELMFDMHLLJKMGAB08, author = {Robert Bogdan Staszewski and Dirk Leipold and Oren E. Eliezer and Mitch Entezari and Khurram Muhammad and Imran Bashir and Chih{-}Ming Hung and John L. Wallberg and Roman Staszewski and Patrick Cruise and Sameh Rezeq and Sudheer K. Vemulapalli and Khurram Waheed and Nathen Barton and Meng{-}Chang Lee and Chan Fernando and Kenneth Maggio and Tom Jung and Imtinan Elahi and S. Larson and Thomas Murphy and Gennady Feygin and Irene Yuanying Deng and Terry Mayhugh Jr. and Yo{-}Chuol Ho and K.{-}M. Low and Charles Lin and J. Jaehnig and J. Kerr and Jaimin Mehta and S. Glock and T. Almholt and Sumeer Bhatara}, title = {A 24mm\({}^{\mbox{2}}\) Quad-Band Single-Chip {GSM} Radio with Transmitter Calibration in 90nm Digital {CMOS}}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {208--209}, year = {2008}, crossref = {DBLP:conf/isscc/2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523130}, doi = {10.1109/ISSCC.2008.4523130}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/StaszewskiLEEMBHWSCRVWBLFMJELMFDMHLLJKMGAB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serp/ChuCL08, author = {William C. Chu and Chih{-}Hung Chang and Chih{-}Wei Lu}, title = {Model-based Object-oriented Requirement Engineering and its Support to Software Documents Integration}, booktitle = {Proceedings of the 2008 International Conference on Software Engineering Research {\&} Practice, {SERP} 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes}, pages = {431--436}, year = {2008}, crossref = {DBLP:conf/serp/2008}, timestamp = {Mon, 09 Feb 2009 10:28:35 +0100}, biburl = {https://dblp.org/rec/conf/serp/ChuCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WenCLW08, author = {Kun{-}Li Wen and Hung{-}Chih Chang and Ching{-}Hsiang Liu and Huei{-}Chu Wen}, title = {PSoC design in GM(1, 1) error analysis and its application in temperature prediction}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {2851--2855}, year = {2008}, crossref = {DBLP:conf/smc/2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811729}, doi = {10.1109/ICSMC.2008.4811729}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WenCLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/ChangLC08, author = {Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu}, title = {Improving Software Integration from Requirement Process with a Model-Based Object-Oriented Approach}, booktitle = {Second International Conference on Secure System Integration and Reliability Improvement, {SSIRI} 2008, July 14-17, 2008, Yokohama, Japan}, pages = {175--176}, year = {2008}, crossref = {DBLP:conf/ssiri/2008}, url = {https://doi.org/10.1109/SSIRI.2008.39}, doi = {10.1109/SSIRI.2008.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssiri/ChangLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HsuCLHLLW07, author = {Chen{-}Ming Hsu and Chien{-}Yu Chen and Baw{-}Jhiune Liu and Chih{-}Chang Huang and Min{-}Hung Laio and Chien{-}Chieh Lin and Tzung{-}Lin Wu}, title = {Identification of hot regions in protein-protein interactions by sequential pattern mining}, journal = {{BMC} Bioinform.}, volume = {8}, number = {{S-5}}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-S5-S8}, doi = {10.1186/1471-2105-8-S5-S8}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HsuCLHLLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HsuLLTLLYSHSLCLLSYCKH07, author = {Chun{-}Nan Hsu and Jin{-}Mei Lai and Chia{-}Hung Liu and Huei{-}Hun Tseng and Chih{-}Yun Lin and Kuan{-}Ting Lin and Hsu{-}Hua Yeh and Ting{-}Yi Sung and Wen{-}Lian Hsu and Li{-}Jen Su and Sheng{-}An Lee and Chang{-}Han Chen and Gen{-}Cher Lee and D. T. Lee and Yow{-}Ling Shiue and Chang{-}Wei Yeh and Chao{-}Hui Chang and Cheng{-}Yan Kao and Chi{-}Ying F. Huang}, title = {Detection of the inferred interaction network in hepatocellular carcinoma from {EHCO} (Encyclopedia of Hepatocellular Carcinoma genes Online)}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-66}, doi = {10.1186/1471-2105-8-66}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HsuLLTLLYSHSLCLLSYCKH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LoHCL07, author = {Wei{-}Cheng Lo and Po{-}Jung Huang and Chih{-}Hung Chang and Ping{-}Chiang Lyu}, title = {Protein structural similarity search by Ramachandran codes}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-307}, doi = {10.1186/1471-2105-8-307}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LoHCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WuTGF07, author = {Chih{-}Hung Wu and Gwo{-}Hshiung Tzeng and Yeong{-}Jia Goo and Wen{-}Chang Fang}, title = {A real-valued genetic algorithm to optimize the parameters of support vector machine for predicting bankruptcy}, journal = {Expert Syst. Appl.}, volume = {32}, number = {2}, pages = {397--408}, year = {2007}, url = {https://doi.org/10.1016/j.eswa.2005.12.008}, doi = {10.1016/J.ESWA.2005.12.008}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WuTGF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChenYSJ07, author = {Chih{-}Hung Chen and Jie{-}Chi Yang and Sarah Shen and Ming{-}Chang Jeng}, title = {A Desktop Virtual Reality Earth Motion System in Astronomy Education}, journal = {J. Educ. Technol. Soc.}, volume = {10}, number = {3}, pages = {289--304}, year = {2007}, url = {http://www.ifets.info/abstract.php?art\_id=784}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChenYSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChangSHC07, author = {Chih{-}Yung Chang and Kuei{-}Ping Shih and Chung{-}Hsien Hsu and Hung{-}Chang Chen}, title = {A location-aware multicasting protocol for Bluetooth Location Networks}, journal = {Inf. Sci.}, volume = {177}, number = {15}, pages = {3161--3177}, year = {2007}, url = {https://doi.org/10.1016/j.ins.2006.12.007}, doi = {10.1016/J.INS.2006.12.007}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChangSHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangTVWCC07, author = {Ping{-}Chen Huang and Ming{-}Da Tsai and George D. Vendelin and Huei Wang and Chun{-}Hung Chen and Chih{-}Sheng Chang}, title = {A Low-Power 114-GHz Push-Push {CMOS} {VCO} Using {LC} Source Degeneration}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {6}, pages = {1230--1239}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.897136}, doi = {10.1109/JSSC.2007.897136}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangTVWCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChiangHC07, author = {Shao{-}Shan Chiang and Chih{-}Hung Huang and Kuang{-}Chiung Chang}, title = {A Minimum Hop Routing Protocol for Home Security Systems Using Wireless Sensor Networks}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {53}, number = {4}, pages = {1483--1489}, year = {2007}, url = {https://doi.org/10.1109/TCE.2007.4429241}, doi = {10.1109/TCE.2007.4429241}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChiangHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HuangWC07, author = {Chih{-}Hung Huang and Cheng Wen and Kuang{-}Chiung Chang}, title = {Web-based fax server for home or small business use}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {53}, number = {3}, pages = {819--824}, year = {2007}, url = {https://doi.org/10.1109/TCE.2007.4341551}, doi = {10.1109/TCE.2007.4341551}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HuangWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChangCCFC07, author = {Yu{-}Wei Chang and Chih{-}Chi Cheng and Chun{-}Chia Chen and Hung{-}Chi Fang and Liang{-}Gee Chen}, title = {124 MSamples/s Pixel-Pipelined Motion-JPEG 2000 Codec Without Tile Memory}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {17}, number = {4}, pages = {398--406}, year = {2007}, url = {https://doi.org/10.1109/TCSVT.2006.888819}, doi = {10.1109/TCSVT.2006.888819}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChangCCFC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinHJC07, author = {Cheng{-}Hung Lin and Chih{-}Tsun Huang and Chang{-}Ping Jiang and Shih{-}Chieh Chang}, title = {Optimization of Pattern Matching Circuits for Regular Expression on {FPGA}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {12}, pages = {1303--1310}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.909801}, doi = {10.1109/TVLSI.2007.909801}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinHJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LuCCW07, author = {Chih{-}Wei Lu and William C. Chu and Chih{-}Hung Chang and Ching{-}Huey Wang}, title = {A Model-based Object-oriented Approach to Requirement Engineering {(MORE)}}, booktitle = {31st Annual International Computer Software and Applications Conference, {COMPSAC} 2007, Beijing, China, July 24-27, 2007. Volume 1}, pages = {153--156}, year = {2007}, crossref = {DBLP:conf/compsac/2007}, url = {https://doi.org/10.1109/COMPSAC.2007.30}, doi = {10.1109/COMPSAC.2007.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LuCCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaSAM/WangF07, author = {Chih{-}Hung Wang and Chien{-}Chang Feng}, title = {A Secure E-cash Scheme with Optional Traceability}, booktitle = {Proceedings of the 2007 International Conference on Security {\&} Management, {SAM} 2007, Las Vegas, Nevada, USA, June 25-28, 2007}, pages = {439--445}, year = {2007}, crossref = {DBLP:conf/csreaSAM/2007}, timestamp = {Wed, 12 Dec 2007 16:45:17 +0100}, biburl = {https://dblp.org/rec/conf/csreaSAM/WangF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/SheuYC07, author = {Shiann{-}Tsong Sheu and Chih{-}Cheng Yang and Hsu{-}Sheng Chang}, title = {A Dynamic Frequency Allocation Scheme for {IEEE} 802.16 OFDMA-Based WMANs Using Hungary Algorithm}, booktitle = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings}, pages = {205--214}, year = {2007}, crossref = {DBLP:conf/euc/2007w}, url = {https://doi.org/10.1007/978-3-540-77090-9\_19}, doi = {10.1007/978-3-540-77090-9\_19}, timestamp = {Wed, 22 Jun 2022 09:02:26 +0200}, biburl = {https://dblp.org/rec/conf/euc/SheuYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/WangLCCSHL07, author = {Fu{-}Tai Wang and Jenny Chih{-}Yu Lee and Shun{-}Hsyung Chang and Jhih{-}Jhen Chen and Chiu{-}Hung Su and Chen{-}Chain Hwu and Haw{-}Jyi Lin}, title = {Travelling waves in the occurrence of earthquake in Taiwan}, booktitle = {15th European Signal Processing Conference, {EUSIPCO} 2007, Poznan, Poland, September 3-7, 2007}, pages = {1926--1929}, year = {2007}, crossref = {DBLP:conf/eusipco/2007}, url = {https://ieeexplore.ieee.org/document/7099143/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/WangLCCSHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TsengLH07, author = {Chang{-}Chih Tseng and Chun{-}Hung Lu and Wen{-}Lian Hsu}, title = {A Mobile Environment for Chinese Language Learning}, booktitle = {Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {II}}, pages = {485--489}, year = {2007}, crossref = {DBLP:conf/hci/2007-9}, url = {https://doi.org/10.1007/978-3-540-73354-6\_53}, doi = {10.1007/978-3-540-73354-6\_53}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/TsengLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/ShihKCC07, author = {Kuei{-}Ping Shih and Tai{-}Chien Kao and Chih{-}Yung Chang and Hung{-}Chang Chen}, title = {Development and Evaluation of a Self-Regulatory-Learning-Cycle-Based System for Self-Regulated e/m-Learning}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, pages = {396--397}, year = {2007}, crossref = {DBLP:conf/icalt/2007}, url = {https://doi.org/10.1109/ICALT.2007.120}, doi = {10.1109/ICALT.2007.120}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/ShihKCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCLKYL07, author = {Li{-}Chuan Chang and Yen{-}Sung Chen and Rung{-}Wen Liou and Chih{-}Hung Kuo and Chia{-}Hung Yeh and Bin{-}Da Liu}, title = {A Real Time and Low Cost Hardware Architecture for Video Abstraction System}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {773--776}, year = {2007}, crossref = {DBLP:conf/iscas/2007}, url = {https://doi.org/10.1109/ISCAS.2007.378000}, doi = {10.1109/ISCAS.2007.378000}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCLKYL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLCWH07, author = {Chia{-}Wei Chang and Tien{-}Yu Lo and Chia{-}Min Chen and Kuo{-}Hsi Wu and Chung{-}Chih Hung}, title = {A Low-Power {CMOS} Voltage Reference Circuit Based On Subthreshold Operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {3844--3847}, year = {2007}, crossref = {DBLP:conf/iscas/2007}, url = {https://doi.org/10.1109/ISCAS.2007.377877}, doi = {10.1109/ISCAS.2007.377877}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLCWH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChienLSCHYCCG07, author = {Chih{-}Da Chien and Chien{-}Chang Lin and Yi{-}Hung Shih and He{-}Chun Chen and Chia{-}Jui Huang and Cheng{-}Yen Yu and Chih{-}Liang Chen and Ching{-}Hwa Cheng and Jiun{-}In Guo}, title = {A 252kgate/71mW Multi-Standard Multi-Channel Video Decoder for High Definition Video Applications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {282--603}, year = {2007}, crossref = {DBLP:conf/isscc/2007}, url = {https://doi.org/10.1109/ISSCC.2007.373404}, doi = {10.1109/ISSCC.2007.373404}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChienLSCHYCCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangHHY07, author = {Jia{-}Chang Wang and Chang{-}Yi Hung and Ming{-}Zhe Hsieh and Chih{-}Hao Yen}, title = {Apply spray coating technology to liquid based rapid prototyping system}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {3016--3020}, year = {2007}, crossref = {DBLP:conf/smc/2007}, url = {https://doi.org/10.1109/ICSMC.2007.4414138}, doi = {10.1109/ICSMC.2007.4414138}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangHHY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ShihCTL07, author = {Kuei{-}Ping Shih and Hung{-}Chang Chen and Jing{-}Kuen Tsai and Chun{-}Chih Li}, title = {{PALM:} {A} Partition Avoidance Lazy Movement Protocol for Mobile Sensor Networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {2484--2489}, year = {2007}, crossref = {DBLP:conf/wcnc/2007}, url = {https://doi.org/10.1109/WCNC.2007.463}, doi = {10.1109/WCNC.2007.463}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ShihCTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/ChangWC07, author = {Hung{-}Chi Chang and Jenq{-}Haur Wang and Chih{-}Yi Chiu}, title = {Finding Event-Relevant Content from the Web Using a Near-Duplicate Detection Approach}, booktitle = {2007 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2007, 2-5 November 2007, Silicon Valley, CA, USA, Main Conference Proceedings}, pages = {291--294}, year = {2007}, crossref = {DBLP:conf/webi/2007}, url = {https://doi.org/10.1109/WI.2007.25}, doi = {10.1109/WI.2007.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/ChangWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YangCLHW06, author = {Tsun{-}Po Yang and Ting{-}Yu Chang and Chi{-}Hung Lin and Ming{-}Ta Hsu and Hsei{-}Wei Wang}, title = {ArrayFusion: a web application for multi-dimensional analysis of CGH, {SNP} and microarray data}, journal = {Bioinform.}, volume = {22}, number = {21}, pages = {2697--2698}, year = {2006}, url = {https://doi.org/10.1093/bioinformatics/btl457}, doi = {10.1093/BIOINFORMATICS/BTL457}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/YangCLHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cera/LiaoCH06, author = {Hung{-}Chang Liao and Hsu{-}Hwa Chang and Chih{-}Ming Hsu}, title = {Using Canonical Correlation to Optimize Taguchi's Multiresponse Problem}, journal = {Concurr. Eng. Res. Appl.}, volume = {14}, number = {2}, pages = {141--149}, year = {2006}, url = {https://doi.org/10.1177/1063293X06066197}, doi = {10.1177/1063293X06066197}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cera/LiaoCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LyuCCL06, author = {JrJung Lyu and Li{-}Ying Chang and Chih{-}Kan Cheng and Chia{-}Hung Lin}, title = {A Case Study Approach on the Development of Design Chain Operations Reference-model in the Mold Industry}, journal = {Int. J. Electron. Bus. Manag.}, volume = {4}, number = {2}, pages = {113--122}, year = {2006}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V4\_N2/A01-paper\%2009\_4.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LyuCCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LoLC06, author = {Chih{-}Cheng Lo and Hung{-}Chang Lai and Wen{-}Shyen E. Chen}, title = {An adaptive fast expansion, loading statistics with dynamic swapping algorithm to support real time services over {CATV} networks}, journal = {J. Commun. Networks}, volume = {8}, number = {4}, pages = {432--441}, year = {2006}, url = {https://doi.org/10.1109/JCN.2006.6182791}, doi = {10.1109/JCN.2006.6182791}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LoLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LinLCTLCC06, author = {Chih{-}Yang Lin and Wen{-}Jeng Lee and Shyh{-}Jye Chen and Ching{-}Hwa Tsai and Jei{-}Han Lee and Chia{-}Hung Chang and Yu{-}Tai Ching}, title = {A Study of Grid Artifacts Formation and Elimination in Computed Radiographic Images}, journal = {J. Digit. Imaging}, volume = {19}, number = {4}, pages = {351--361}, year = {2006}, url = {https://doi.org/10.1007/s10278-006-0630-8}, doi = {10.1007/S10278-006-0630-8}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LinLCTLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/KehSCCC06, author = {Huan{-}Chao Keh and Kuei{-}Ping Shih and Chih{-}Yung Chang and Hung{-}Chang Chen and Chien{-}Min Chou}, title = {{GUARD:} a GUide, Alarm, Recovery and Detection System on a Wireless Sensor Network for the Blind}, journal = {J. Mobile Multimedia}, volume = {2}, number = {4}, pages = {359--370}, year = {2006}, url = {http://www.rintonpress.com/journals/jmm/abstractsJmm2-4.html}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/KehSCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungSBLL06, author = {Chih{-}Ming Hung and Robert Bogdan Staszewski and Nathen Barton and Meng{-}Chang Lee and Dirk Leipold}, title = {A digitally controlled oscillator system for SAW-less transmitters in cellular handsets}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {5}, pages = {1160--1170}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.872739}, doi = {10.1109/JSSC.2006.872739}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HungSBLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MuhammadHMHJELD06, author = {Khurram Muhammad and Yo{-}Chuol Ho and Terry Mayhugh Jr. and Chih{-}Ming Hung and Tom Jung and Imtinan Elahi and Charles Lin and Irene Yuanying Deng and Chan Fernando and John L. Wallberg and Sudheer K. Vemulapalli and Scott Larson and Thomas Murphy and Dirk Leipold and Patrick Cruise and J. Jaehnig and Meng{-}Chang Lee and Robert Bogdan Staszewski and Roman Staszewski and Ken Maggio}, title = {The First Fully Integrated Quad-Band {GSM/GPRS} Receiver in a 90-nm Digital {CMOS} Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {8}, pages = {1772--1783}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.877271}, doi = {10.1109/JSSC.2006.877271}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MuhammadHMHJELD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ChangFCCC06, author = {Yu{-}Wei Chang and Hung{-}Chi Fang and Chih{-}Chi Cheng and Chun{-}Chia Chen and Liang{-}Gee Chen}, title = {Precompression Quality-Control Algorithm for {JPEG} 2000}, journal = {{IEEE} Trans. Image Process.}, volume = {15}, number = {11}, pages = {3279--3293}, year = {2006}, url = {https://doi.org/10.1109/TIP.2006.882013}, doi = {10.1109/TIP.2006.882013}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ChangFCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/FangCWHC06, author = {Hung{-}Chi Fang and Yu{-}Wei Chang and Tu{-}Chih Wang and Chao{-}Tsung Huang and Liang{-}Gee Chen}, title = {High-Performance {JPEG} 2000 Encoder With Rate-Distortion Optimization}, journal = {{IEEE} Trans. Multim.}, volume = {8}, number = {4}, pages = {645--653}, year = {2006}, url = {https://doi.org/10.1109/TMM.2006.876305}, doi = {10.1109/TMM.2006.876305}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/FangCWHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/FangCCC06, author = {Hung{-}Chi Fang and Yu{-}Wei Chang and Chih{-}Chi Cheng and Liang{-}Gee Chen}, title = {Memory Efficient {JPEG} 2000 Architecture With Stripe Pipeline Scheduling}, journal = {{IEEE} Trans. Signal Process.}, volume = {54}, number = {12}, pages = {4807--4816}, year = {2006}, url = {https://doi.org/10.1109/TSP.2006.881218}, doi = {10.1109/TSP.2006.881218}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/FangCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ShihCCC06, author = {Kuei{-}Ping Shih and Chih{-}Yung Chang and Hung{-}Chang Chen and Chien{-}Wen Chang}, title = {On Avoiding {RTS} Collisions for {IEEE} 802.11-BasedWireless Ad Hoc Networks}, booktitle = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, pages = {747--752}, year = {2006}, crossref = {DBLP:conf/aina/2006}, url = {https://doi.org/10.1109/AINA.2006.242}, doi = {10.1109/AINA.2006.242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ShihCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinWCYCTCH06, author = {Hung{-}Chih Lin and Yu{-}Jen Wang and Kai{-}Ting Cheng and Shang{-}Yu Yeh and Wei{-}Nien Chen and Chia{-}Yang Tsai and Tian{-}Sheuan Chang and Hsueh{-}Ming Hang}, title = {Algorithms and {DSP} implementation of {H.264/AVC}}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {742--749}, year = {2006}, crossref = {DBLP:conf/aspdac/2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594775}, doi = {10.1109/ASPDAC.2006.1594775}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinWCYCTCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KennethKFMYHBDG06, author = {Kenneth K. O and Kihong Kim and Brian A. Floyd and Jesal Mehta and Hyun Yoon and Chih{-}Ming Hung and Daniel F. Bravo and Timothy O. Dickson and Xiaoling Guo and Ran Li and Narasimhan Trichy and James Caserta and Wayne R. Bomstad II and Jason Branch and Dong{-}Jun Yang and Jose L. Bohorquez and Jie Chen and Eunyoung Seok and Joe E. Brewer and Li Gao and Aravind Sugavanam and Jau{-}Jr Lin and Y. Su and Changhua Cao and M.{-}H. Hwang and Yanping Ding and Zhenbiao Li and S.{-}H. Hwang and H. Wu and Swaminathan Sankaran and N. Zhang}, title = {Silicon Integrated Circuits Incorporating Antennas}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {473--480}, year = {2006}, crossref = {DBLP:conf/cicc/2006}, url = {https://doi.org/10.1109/CICC.2006.320824}, doi = {10.1109/CICC.2006.320824}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KennethKFMYHBDG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinHJC06, author = {Cheng{-}Hung Lin and Chih{-}Tsun Huang and Chang{-}Ping Jiang and Shih{-}Chieh Chang}, title = {Optimization of regular expression pattern matching circuits on {FPGA}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {12--17}, year = {2006}, crossref = {DBLP:conf/date/2006}, url = {https://doi.org/10.1109/DATE.2006.244157}, doi = {10.1109/DATE.2006.244157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinHJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/ChangHLLH06, author = {Ping{-}Teng Chang and Lung{-}Ting Hung and Kuo{-}Ping Lin and Chih{-}Sheng Lin and Kuo{-}Chen Hung}, title = {Protein Sequence Alignment Based on Fuzzy Arithmetic and Genetic Algorithm}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2006, Vancouver, BC, Canada, July 16-21, 2006}, pages = {1362--1367}, year = {2006}, crossref = {DBLP:conf/fuzzIEEE/2006}, url = {https://doi.org/10.1109/FUZZY.2006.1681887}, doi = {10.1109/FUZZY.2006.1681887}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/ChangHLLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/WangCLC06, author = {Jenq{-}Haur Wang and Hung{-}Chi Chang and Chih{-}Yuan Lin and Lee{-}Feng Chien}, title = {A Peer-to-Peer Approach to Collaborative Repository for Digital Libraries}, booktitle = {Digital Libraries: Achievements, Challenges and Opportunities, 9th International Conference on Asian Digital Libraries, {ICADL} 2006, Kyoto, Japan, November 27-30, 2006, Proceedings}, pages = {511--514}, year = {2006}, crossref = {DBLP:conf/icadl/2006}, url = {https://doi.org/10.1007/11931584\_60}, doi = {10.1007/11931584\_60}, timestamp = {Tue, 27 Jul 2021 17:37:28 +0200}, biburl = {https://dblp.org/rec/conf/icadl/WangCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HuangCHFKHS06, author = {Nen{-}Fu Huang and Chih{-}Hao Chen and Yuang{-}Fang Huang and Yi{-}Hsuan Feng and Chia{-}Nan Kao and Hsien{-}Wei Hung and Ming{-}Chang Shih}, title = {A Scalable Architecture for High Available Security Switches}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2006, Istanbul, Turkey, 11-15 June 2006}, pages = {2340--2344}, year = {2006}, crossref = {DBLP:conf/icc/2006}, url = {https://doi.org/10.1109/ICC.2006.255119}, doi = {10.1109/ICC.2006.255119}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HuangCHFKHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuLCTLCK06, author = {Hung{-}Yi Liu and Chung{-}Wei Lin and Szu{-}Jui Chou and Wei{-}Ting Tu and Chih{-}Hung Liu and Yao{-}Wen Chang and Sy{-}Yen Kuo}, title = {Current path analysis for electrostatic discharge protection}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {510--515}, year = {2006}, crossref = {DBLP:conf/iccad/2006}, url = {https://doi.org/10.1145/1233501.1233604}, doi = {10.1145/1233501.1233604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuLCTLCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KuoHCW06, author = {Bor{-}Chen Kuo and Chih{-}Cheng Hung and Chen{-}Wei Chang and Hsuan{-}Po Wang}, title = {A Modified Nonparametric Weight Feature Extraction Using Spatial and Spectral Information}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, pages = {172--175}, year = {2006}, crossref = {DBLP:conf/igarss/2006}, url = {https://doi.org/10.1109/IGARSS.2006.49}, doi = {10.1109/IGARSS.2006.49}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KuoHCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/YangHSCC06, author = {Chao{-}Tung Yang and Tsu{-}Fen Han and Wen{-}Chung Shih and Wen{-}Chung Chiang and Chih{-}Hung Chang}, title = {Metropolitan-Scale Grid Environment: The Implementation and Applications of {TIGER} Grid}, booktitle = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, pages = {579--588}, year = {2006}, crossref = {DBLP:conf/ispa/2006w}, url = {https://doi.org/10.1007/11942634\_59}, doi = {10.1007/11942634\_59}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ispa/YangHSCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangFCCLCC06, author = {Yu{-}Wei Chang and Hung{-}Chi Fang and Chih{-}Chi Cheng and Chun{-}Chia Chen and Chung{-}Jr Lian and Shao{-}Yi Chien and Liang{-}Gee Chen}, title = {124Ms/s pixel-pipelined motion-JPEG 2000 codec without tile memory}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1586--1595}, year = {2006}, crossref = {DBLP:conf/isscc/2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696213}, doi = {10.1109/ISSCC.2006.1696213}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangFCCLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PolanskyLSDBCME06, author = {Yan Polansky and Avi Lavan and Ran Sahar and Oleg Dadashev and Yoram Betser and Guy Cohen and Eduardo Maayan and Boaz Eitan and Ful{-}Long Ni and Yen{-}Hui Joseph Ku and Chih{-}Yuan Lu and Tim Chang{-}Ting Chen and Chun{-}Yu Liao and Chin{-}Hung Chang and Chung Kuang Chen and Wen{-}Chiao Ho and Yite Shih and Wenchi Ting and Wenpin Lu}, title = {A 4b/cell {NROM} 1Gb Data-Storage Memory}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {448--458}, year = {2006}, crossref = {DBLP:conf/isscc/2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696077}, doi = {10.1109/ISSCC.2006.1696077}, timestamp = {Thu, 14 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PolanskyLSDBCME06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/WuFG06, author = {Chih{-}Hung Wu and Wen{-}Chang Fang and Yeong{-}Jia Goo}, title = {Variable Selection Method Affects SVM-based Models in Bankruptcy Prediction}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, year = {2006}, crossref = {DBLP:conf/jcis/2006}, url = {https://doi.org/10.2991/jcis.2006.114}, doi = {10.2991/JCIS.2006.114}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jcis/WuFG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChangCCFC06, author = {Yu{-}Wei Chang and Chih{-}Chi Cheng and Chun{-}Chia Chen and Hung{-}Chi Fang and Liang{-}Gee Chen}, title = {Design and Implementation of {JPEG} 2000 Codec with Bit-Plane Scalable Architecture}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, pages = {428--433}, year = {2006}, crossref = {DBLP:conf/sips/2006}, url = {https://doi.org/10.1109/SIPS.2006.352621}, doi = {10.1109/SIPS.2006.352621}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChangCCFC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChangSW06, author = {Chuan{-}Yu Chang and Wen{-}Chih Shen and Hung{-}Jen Wang}, title = {Using Counter-propagation Neural Network for Robust Digital Audio Watermarking in {DWT} Domain}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {1214--1219}, year = {2006}, crossref = {DBLP:conf/smc/2006}, url = {https://doi.org/10.1109/ICSMC.2006.384880}, doi = {10.1109/ICSMC.2006.384880}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChangSW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/YangCW06, author = {Jen{-}Ho Yang and Chin{-}Chen Chang and Chih{-}Hung Wang}, title = {A Practical Solution to the (\emph{t}, \emph{n}) Threshold Untraceable Signature with (\emph{k}, \emph{l}) Verification Scheme}, booktitle = {Ubiquitous Intelligence and Computing, Third International Conference, {UIC} 2006, Wuhan, China, September 3-6, 2006, Proceedings}, pages = {998--1007}, year = {2006}, crossref = {DBLP:conf/uic/2006}, url = {https://doi.org/10.1007/11833529\_101}, doi = {10.1007/11833529\_101}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/YangCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/YangCW05, author = {Jen{-}Ho Yang and Chin{-}Chen Chang and Chih{-}Hung Wang}, title = {An iterative modular multiplication algorithm in {RNS}}, journal = {Appl. Math. Comput.}, volume = {171}, number = {1}, pages = {637--645}, year = {2005}, url = {https://doi.org/10.1016/j.amc.2005.01.076}, doi = {10.1016/J.AMC.2005.01.076}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/YangCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenCK05, author = {Ching{-}Wen Chen and Chih{-}Hung Chang and Chang{-}Jung Ku}, title = {A Low Power-Consuming Embedded System Design by Reducing Memory Access Frequencies}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {88-D}, number = {12}, pages = {2748--2756}, year = {2005}, url = {https://doi.org/10.1093/ietisy/e88-d.12.2748}, doi = {10.1093/IETISY/E88-D.12.2748}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdet/ChangHKCS05, author = {Flora Chia{-}I Chang and Lun{-}Ping Hung and Huan{-}Chao Keh and Wen{-}Chih Chang and Timothy K. Shih}, title = {A Design and Implementation of a SCORM-Based Courseware System Using Influence Diagram}, journal = {Int. J. Distance Educ. Technol.}, volume = {3}, number = {3}, pages = {82--96}, year = {2005}, url = {https://doi.org/10.4018/jdet.2005070106}, doi = {10.4018/JDET.2005070106}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdet/ChangHKCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChenCLK05, author = {Yuh{-}Shyan Chen and Chih{-}Yung Chang and Tsung{-}Hung Lin and Chun{-}Bo Kuo}, title = {A generalized fault-tolerant sorting algorithm on a product network}, journal = {J. Syst. Archit.}, volume = {51}, number = {3}, pages = {185--205}, year = {2005}, url = {https://doi.org/10.1016/j.sysarc.2004.11.005}, doi = {10.1016/J.SYSARC.2004.11.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ChenCLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/StaszewskiHBLL05, author = {Robert Bogdan Staszewski and Chih{-}Ming Hung and Nathen Barton and Meng{-}Chang Lee and Dirk Leipold}, title = {A digitally controlled oscillator in a 90 nm digital {CMOS} process for mobile phones}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {11}, pages = {2203--2211}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.857359}, doi = {10.1109/JSSC.2005.857359}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/StaszewskiHBLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/StaszewskiWRHEV05, author = {Robert Bogdan Staszewski and John L. Wallberg and Sameh Rezeq and Chih{-}Ming Hung and Oren E. Eliezer and Sudheer K. Vemulapalli and Chan Fernando and Ken Maggio and Roman Staszewski and Nathen Barton and Meng{-}Chang Lee and Patrick Cruise and Mitch Entezari and Khurram Muhammad and Dirk Leipold}, title = {All-digital {PLL} and transmitter for mobile phones}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {12}, pages = {2469--2482}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.857417}, doi = {10.1109/JSSC.2005.857417}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/StaszewskiWRHEV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/TsengCHFHC05, author = {Po{-}Chih Tseng and Yung{-}Chi Chang and Yu{-}Wen Huang and Hung{-}Chi Fang and Chao{-}Tsung Huang and Liang{-}Gee Chen}, title = {Advances in Hardware Architectures for Image and Video Coding - {A} Survey}, journal = {Proc. {IEEE}}, volume = {93}, number = {1}, pages = {184--197}, year = {2005}, url = {https://doi.org/10.1109/JPROC.2004.839622}, doi = {10.1109/JPROC.2004.839622}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/TsengCHFHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/FangCWLC05, author = {Hung{-}Chi Fang and Yu{-}Wei Chang and Tu{-}Chih Wang and Chung{-}Jr Lian and Liang{-}Gee Chen}, title = {Parallel embedded block coding architecture for {JPEG} 2000}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {15}, number = {9}, pages = {1086--1097}, year = {2005}, url = {https://doi.org/10.1109/TCSVT.2005.852618}, doi = {10.1109/TCSVT.2005.852618}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/FangCWLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MuhammadHMHJELD05, author = {Khurram Muhammad and Yo{-}Chuol Ho and Terry Mayhugh Jr. and Chih{-}Ming Hung and Tom Jung and Imtinan Elahi and Charles Lin and Irene Yuanying Deng and Chan Fernando and John L. Wallberg and Sudheer K. Vemulapalli and S. Larson and Thomas Murphy and Dirk Leipold and Patrick Cruise and J. Jaehnig and Meng{-}Chang Lee and Robert Bogdan Staszewski and Roman Staszewski and Kenneth Maggio}, title = {A discrete time quad-band {GSM/GPRS} receiver in a 90nm digital {CMOS} process}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {809--812}, year = {2005}, crossref = {DBLP:conf/cicc/2005}, url = {https://doi.org/10.1109/CICC.2005.1568792}, doi = {10.1109/CICC.2005.1568792}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MuhammadHMHJELD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dms/HungYCC05, author = {Lun{-}Ping Hung and Hsuan{-}Che Yang and Wen{-}Chih Chang and Hui{-}Fen Chiang}, title = {A Sharable Test Content Development And Analysis Mechanism Based on {SCORM}}, booktitle = {Proceedings of the 11th International Conference on Distributed Multimedia Systems, {DMS} 2005, September 5-7, 2005, Fairmont Banff Springs Hotel, Banff, Alberta, Canada}, pages = {423--426}, year = {2005}, crossref = {DBLP:conf/dms/2005}, timestamp = {Tue, 12 Jun 2007 15:50:46 +0200}, biburl = {https://dblp.org/rec/conf/dms/HungYCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gca/ChangWC05, author = {Ruay Shiung Chang and Chih{-}Min Wang and Po{-}Hung Chen}, title = {Fragmented Replica Selection and Retrieval in Data Grids}, booktitle = {Proceedings of The 2005 International Conference on Grid Computing and Applications, {GCA} 2005, Las Vegas, Nevada, USA, June 20-23, 2005}, pages = {154--160}, year = {2005}, crossref = {DBLP:conf/gca/2005}, timestamp = {Thu, 09 Aug 2018 16:13:20 +0200}, biburl = {https://dblp.org/rec/conf/gca/ChangWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/FengCLC05, author = {Kuang{-}Cheng Feng and Ben Chang and Chih{-}Hung Lai and Tak{-}Wai Chan}, title = {Joyce: {A} Multi-Player Game on One-on-One Digital Classroom Environment for Practicing Fractions}, booktitle = {Proceedings of the 5th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2005, Kaohsiung, Taiwan, July 5-8, 2005}, pages = {543--544}, year = {2005}, crossref = {DBLP:conf/icalt/2005}, url = {https://doi.org/10.1109/ICALT.2005.186}, doi = {10.1109/ICALT.2005.186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/FengCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FangCCCC05, author = {Hung{-}Chi Fang and Yu{-}Wei Chang and Chih{-}Chi Cheng and Chun{-}Chia Chen and Liang{-}Gee Chen}, title = {Memory efficient {JPEG2000} architecture with stripe pipeline scheme}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {1--4}, year = {2005}, crossref = {DBLP:conf/icassp/2005}, url = {https://doi.org/10.1109/ICASSP.2005.1416225}, doi = {10.1109/ICASSP.2005.1416225}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/FangCCCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KennethKFMYHBDGLTCBBYBCSGSLYCHDHWZB05, author = {Kenneth K. O and Kihong Kim and Brian A. Floyd and Jesal Mehta and Hyun Yoon and Chih{-}Ming Hung and Daniel F. Bravo and Timothy O. Dickson and Xiaoling Guo and Ran Li and Narasimhan Trichy and James Caserta and Wayne R. Bomstad II and Jason Branch and Dong{-}Jun Yang and Jose L. Bohorquez and Jie Chen and Eunyoung Seok and Li Gao and Aravind Sugavanam and Jau{-}Jr Lin and S. Yu and Changhua Cao and M.{-}H. Hwang and Y.{-}R. Ding and S.{-}H. Hwang and Hsin{-}Ta Wu and N. Zhang and Joe E. Brewer}, title = {The feasibility of on-chip interconnection using antennas}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {979--984}, year = {2005}, crossref = {DBLP:conf/iccad/2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560204}, doi = {10.1109/ICCAD.2005.1560204}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KennethKFMYHBDGLTCBBYBCSGSLYCHDHWZB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KuoCSH05, author = {Bor{-}Chen Kuo and Chun{-}Hao Chang and Tian{-}Wei Sheu and Chih{-}Cheng Hung}, title = {Feature extractions using labeled and unlabeled data}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {1257--1260}, year = {2005}, crossref = {DBLP:conf/igarss/2005}, url = {https://doi.org/10.1109/IGARSS.2005.1525347}, doi = {10.1109/IGARSS.2005.1525347}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KuoCSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChenCCC05, author = {Berlin Chen and Yi{-}Ting Chen and Chih{-}Hao Chang and Hung{-}Bin Chen}, title = {Speech retrieval of Mandarin broadcast news via mobile devices}, booktitle = {9th European Conference on Speech Communication and Technology, INTERSPEECH-Eurospeech 2005, Lisbon, Portugal, September 4-8, 2005}, pages = {109--112}, year = {2005}, crossref = {DBLP:conf/interspeech/2005}, url = {https://doi.org/10.21437/Interspeech.2005-80}, doi = {10.21437/INTERSPEECH.2005-80}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChenCCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ChenKC05, author = {Ching{-}Wen Chen and Chang{-}Jung Ku and Chih{-}Hung Chang}, title = {Design Schemes and Performance Analysis of Dynamic Rerouting Interconnection Networks for Tolerating Faults and Preventing Collisions}, booktitle = {Parallel and Distributed Processing and Applications, Third International Symposium, {ISPA} 2005, Nanjing, China, November 2-5, 2005, Proceedings}, pages = {168--179}, year = {2005}, crossref = {DBLP:conf/ispa/2005}, url = {https://doi.org/10.1007/11576235\_22}, doi = {10.1007/11576235\_22}, timestamp = {Tue, 14 Apr 2020 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ChenKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/ChangCL05, author = {Hung{-}Ching Chang and Chun{-}Chin Chen and Chih{-}Feng Lin}, title = {XScale Hardware Acceleration on Cryptographic Algorithms for IPSec Applications}, booktitle = {International Symposium on Information Technology: Coding and Computing {(ITCC} 2005), Volume 1, 4-6 April 2005, Las Vegas, Nevada, {USA}}, pages = {592--597}, year = {2005}, crossref = {DBLP:conf/itcc/2005-1}, url = {https://doi.org/10.1109/ITCC.2005.304}, doi = {10.1109/ITCC.2005.304}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/ChangCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itre/ShihCCW05, author = {Kuei{-}Ping Shih and Chih{-}Yung Chang and Hung{-}Chang Chen and Sheng{-}Shih Wang}, title = {A self-regulated learning system with scaffolding support for self-regulated e/m-learning}, booktitle = {{ITRE} 2005 - 3rd International Conference on Information Technology: Research and Education, June 27-30 2005, Hsinchu, Taiwan, Proceedings}, pages = {30--34}, year = {2005}, crossref = {DBLP:conf/itre/2005}, url = {https://doi.org/10.1109/ITRE.2005.1503060}, doi = {10.1109/ITRE.2005.1503060}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itre/ShihCCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/ShenHCL05, author = {Sheng{-}Chih Shen and Hung{-}Ming Hsu and Yi{-}Wei Chang and Kuen{-}Jong Lee}, title = {A high speed {BIST} architecture for {DDR-SDRAM} testing}, booktitle = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, pages = {52--57}, year = {2005}, crossref = {DBLP:conf/mtdt/2005}, url = {https://doi.org/10.1109/MTDT.2005.9}, doi = {10.1109/MTDT.2005.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/ShenHCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ChenKC05, author = {Ching{-}Wen Chen and Chang{-}Jung Ku and Chih{-}Hung Chang}, title = {Designing a High Performance and Low Energy-Consuming Embedded System with Considering Code Compressed Environments}, booktitle = {11th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2005), 17-19 August 2005, Hong Kong, China}, pages = {317--324}, year = {2005}, crossref = {DBLP:conf/rtcsa/2005}, url = {https://doi.org/10.1109/RTCSA.2005.37}, doi = {10.1109/RTCSA.2005.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ChenKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/OuLC05, author = {Jian Chih Ou and Chang{-}Hung Lee and Ming{-}Syan Chen}, title = {Web log mining with adaptive support thresholds}, booktitle = {Proceedings of the 14th international conference on World Wide Web, {WWW} 2005, Chiba, Japan, May 10-14, 2005 - Special interest tracks and posters}, pages = {1188--1189}, year = {2005}, crossref = {DBLP:conf/www/2005si}, url = {https://doi.org/10.1145/1062745.1062932}, doi = {10.1145/1062745.1062932}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/OuLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cata/ShinYH04, author = {Sung Y. Shin and ChanGon Yoo and Chih{-}Cheng Hung}, title = {Reliable High-Speed Communication Module for Linux Cluster File System Using {M-VIA}}, booktitle = {19th International Conference on Computers and Their Applications, {CATA} 2004, March 18-20, 2004, Red Lion Hotel on Fifth Avenue, Seattle, Washington, {USA}}, pages = {205--208}, year = {2004}, crossref = {DBLP:conf/cata/2004}, timestamp = {Mon, 09 Aug 2021 16:27:20 +0200}, biburl = {https://dblp.org/rec/conf/cata/ShinYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChangCLY04, author = {Chih{-}Hung Chang and William C. Chu and Chih{-}Wei Lu and Don{-}Lin Yang}, title = {An Integrated Software Development Environment with {XML} Internal Representation}, booktitle = {28th International Computer Software and Applications Conference {(COMPSAC} 2004), Design and Assessment of Trustworthy Software-Based Systems, 27-30 September 2004, Hong Kong, China, Proceedings}, pages = {540--545}, year = {2004}, crossref = {DBLP:conf/compsac/2004}, url = {https://doi.org/10.1109/CMPSAC.2004.1342892}, doi = {10.1109/CMPSAC.2004.1342892}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChangCLY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/HungLCSHCCH04, author = {Jason C. Hung and L. J. Lin and Wen{-}Chih Chang and Timothy K. Shih and Hui{-}Huang Hsu and Han{-}Bin Chang and Hsuan{-}Pu Chang and Kuan{-}Hao Huang}, title = {A Cognition Assessment Authoring System for E-Learning}, booktitle = {24th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan}, pages = {262--267}, year = {2004}, crossref = {DBLP:conf/icdcsw/2004}, url = {https://doi.org/10.1109/ICDCSW.2004.1284041}, doi = {10.1109/ICDCSW.2004.1284041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/HungLCSHCCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiaoC04, author = {Wen{-}Hung Liao and Chi{-}Chih Chang}, title = {Embedding information within dynamic visual patterns}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Multimedia and Expo, {ICME} 2004, 27-30 June 2004, Taipei, Taiwan}, pages = {895--898}, year = {2004}, crossref = {DBLP:conf/icmcs/2004}, url = {https://doi.org/10.1109/ICME.2004.1394345}, doi = {10.1109/ICME.2004.1394345}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiaoC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ChangWC04, author = {Ruay Shiung Chang and Chih{-}Min Wang and Po{-}Hung Chen}, title = {Replica Selection on Co-allocation Data Grids}, booktitle = {Parallel and Distributed Processing and Applications, Second InternationalSymposium, {ISPA} 2004, Hong Kong, China, December 13-15, 2004, Proceedings}, pages = {584--593}, year = {2004}, crossref = {DBLP:conf/ispa/2004}, url = {https://doi.org/10.1007/978-3-540-30566-8\_70}, doi = {10.1007/978-3-540-30566-8\_70}, timestamp = {Tue, 14 Apr 2020 13:23:10 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ChangWC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ChenGC04, author = {Ching{-}Wen Chen and Phui{-}Si Gan and Chih{-}Hung Chang}, title = {Designing a High Performance and Fault Tolerant Multistage Interconnection Network with Easy Dynamic Rerouting}, booktitle = {Parallel and Distributed Processing and Applications, Second InternationalSymposium, {ISPA} 2004, Hong Kong, China, December 13-15, 2004, Proceedings}, pages = {1007--1016}, year = {2004}, crossref = {DBLP:conf/ispa/2004}, url = {https://doi.org/10.1007/978-3-540-30566-8\_114}, doi = {10.1007/978-3-540-30566-8\_114}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ChenGC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/presence/ChuangCCLCD03, author = {Tien{-}Yow Chuang and Chih{-}Hung Chen and Hwa{-}ann Chang and Hui{-}Chen Lee and Cheng{-}Lian Chou and Ji{-}Liang Doong}, title = {Virtual Reality Serves as a Support Technology in Cadiopulmonary Exercise Training}, journal = {Presence Teleoperators Virtual Environ.}, volume = {12}, number = {3}, pages = {326--331}, year = {2003}, url = {https://doi.org/10.1162/105474603765879567}, doi = {10.1162/105474603765879567}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/presence/ChuangCCLCD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/smr/LuCCYL03, author = {Chih{-}Wei Lu and William C. Chu and Chih{-}Hung Chang and Don{-}Lin Yang and Wen{-}Da Lian}, title = {Integrating diverse paradigms in evolution and maintenance by an XML-based unified model}, journal = {J. Softw. Maintenance Res. Pract.}, volume = {15}, number = {3}, pages = {111--114}, year = {2003}, url = {https://doi.org/10.1002/smr.272}, doi = {10.1002/SMR.272}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/smr/LuCCYL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FangWCC03, author = {Hung{-}Chi Fang and Tu{-}Chih Wang and Yu{-}Wei Chang and Liang{-}Gee Chen}, title = {Hardware oriented rate control algorithm and implementation for realtime video coding}, booktitle = {2003 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '03, Hong Kong, April 6-10, 2003}, pages = {489--492}, year = {2003}, crossref = {DBLP:conf/icassp/2003}, url = {https://doi.org/10.1109/ICASSP.2003.1202410}, doi = {10.1109/ICASSP.2003.1202410}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/FangWCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/FangWCC03, author = {Hung{-}Chi Fang and Tu{-}Chih Wang and Yu{-}Wei Chang and Liang{-}Gee Chen}, title = {Hardware oriented rate control algorithm and implementation for realtime video coding}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Multimedia and Expo, {ICME} 2003, 6-9 July 2003, Baltimore, MD, {USA}}, pages = {421--424}, year = {2003}, crossref = {DBLP:conf/icmcs/2003}, url = {https://doi.org/10.1109/ICME.2003.1221338}, doi = {10.1109/ICME.2003.1221338}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/FangWCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/FangWCSC03, author = {Hung{-}Chi Fang and Tu{-}Chih Wang and Yu{-}Wei Chang and Ya{-}Yun Shih and Liang{-}Gee Chen}, title = {Novel word-level algorithm of embedded block coding in {JPEG} 2000}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Multimedia and Expo, {ICME} 2003, 6-9 July 2003, Baltimore, MD, {USA}}, pages = {137--140}, year = {2003}, crossref = {DBLP:conf/icmcs/2003}, url = {https://doi.org/10.1109/ICME.2003.1220873}, doi = {10.1109/ICME.2003.1220873}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/FangWCSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LoLC03, author = {Chih{-}Cheng Lo and Hung{-}Chang Lai and Wen{-}Shyen E. Chen}, title = {An Adaptive Contention Period Control in {HFC} Networks}, booktitle = {Information Networking, Networking Technologies for Enhanced Internet Services International Conference, {ICOIN} 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers}, pages = {151--160}, year = {2003}, crossref = {DBLP:conf/icoin/2003}, url = {https://doi.org/10.1007/978-3-540-45235-5\_15}, doi = {10.1007/978-3-540-45235-5\_15}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/LoLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LinTHC03, author = {Hung{-}Hsing Lin and Ching{-}Chih Tsai and Jui{-}Cheng Hsu and Chih{-}Fu Chang}, title = {Ultrasonic self-localization and pose tracking of an autonomous mobile robot via fuzzy adaptive extended information filtering}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, pages = {1283--1290}, year = {2003}, crossref = {DBLP:conf/icra/2003}, url = {https://doi.org/10.1109/ROBOT.2003.1241769}, doi = {10.1109/ROBOT.2003.1241769}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LinTHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KuoKCC03, author = {Chih{-}Chung Kuo and Chi{-}Shiang Kuo and Jau{-}Hung Chen and Sen{-}Chia Chang}, title = {Automatic speech segmentation and verification for concatenative synthesis}, booktitle = {8th European Conference on Speech Communication and Technology, {EUROSPEECH} 2003 - {INTERSPEECH} 2003, Geneva, Switzerland, September 1-4, 2003}, pages = {305--308}, year = {2003}, crossref = {DBLP:conf/interspeech/2003}, url = {https://doi.org/10.21437/Eurospeech.2003-125}, doi = {10.21437/EUROSPEECH.2003-125}, timestamp = {Thu, 22 Jun 2023 16:42:17 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KuoKCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangWLCC03, author = {Hung{-}Chi Fang and Tu{-}Chih Wang and Chung{-}Jr Lian and Te{-}Hao Chang and Liang{-}Gee Chen}, title = {High speed memory efficient {EBCOT} architecture for {JPEG2000}}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {736--739}, year = {2003}, crossref = {DBLP:conf/iscas/2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206079}, doi = {10.1109/ISCAS.2003.1206079}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangWLCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismse/Xu0NCC03, author = {Baowen Xu and Lei Xu and Changhai Nie and William C. Chu and Chih{-}Hung Chang}, title = {Applying combinatorial method to test browser compatibility}, booktitle = {Fifth International Symposium on Multimedia Software Engineering, {ISMSE} 2003, Taichung, Taiwan, December 10-12, 2003}, pages = {156--162}, year = {2003}, crossref = {DBLP:conf/ismse/2003}, url = {https://doi.org/10.1109/MMSE.2003.1254437}, doi = {10.1109/MMSE.2003.1254437}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismse/Xu0NCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/LeeOC03, author = {Chang{-}Hung Lee and Jian Chih Ou and Ming{-}Syan Chen}, title = {Progressive Weighted Miner: An Efficient Method for Time-Constraint Mining}, booktitle = {Advances in Knowledge Discovery and Data Mining, 7th Pacific-Asia Conference, {PAKDD} 2003, Seoul, Korea, April 30 - May 2, 2003, Proceedings}, pages = {449--460}, year = {2003}, crossref = {DBLP:conf/pakdd/2003}, url = {https://doi.org/10.1007/3-540-36175-8\_45}, doi = {10.1007/3-540-36175-8\_45}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/LeeOC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LoLC03, author = {Chih{-}Cheng Lo and Hung{-}Chang Lai and Wen{-}Shyen E. Chen}, title = {A novel contention period control algorithm to improve throughput in {HFC} networks}, booktitle = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, pages = {84--88}, year = {2003}, crossref = {DBLP:conf/pimrc/2003}, url = {https://doi.org/10.1109/PIMRC.2003.1264237}, doi = {10.1109/PIMRC.2003.1264237}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LoLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeFCH02, author = {Chih{-}Hung Lee and Wen{-}Yu Fu and Chung{-}Chiao Chang and Tsai{-}Ming Hsieh}, title = {An efficient hierarchical approach for general floorplan area minimization}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS} 2002, Singapore, 16-18 December 2002}, pages = {347--352}, year = {2002}, crossref = {DBLP:conf/apccas/2002}, url = {https://doi.org/10.1109/APCCAS.2002.1115257}, doi = {10.1109/APCCAS.2002.1115257}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeFCH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChuLCCHX02, author = {William C. Chu and Chih{-}Wei Lu and Chih{-}Hung Chang and Yeh{-}Ching Chung and Yueh{-}Min Huang and Baowen Xu}, title = {Software Maintainability Improvement: Integrating Standards and Models}, booktitle = {26th International Computer Software and Applications Conference {(COMPSAC} 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings}, pages = {697--702}, year = {2002}, crossref = {DBLP:conf/compsac/2002}, url = {https://doi.org/10.1109/CMPSAC.2002.1045083}, doi = {10.1109/CMPSAC.2002.1045083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChuLCCHX02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeLFCH02, author = {Chih{-}Hung Lee and Yu{-}Chung Lin and Wen{-}Yu Fu and Chung{-}Chiao Chang and Tsai{-}Ming Hsieh}, title = {A New Formulation for {SOC} Floorplan Area Minimization Problem}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {1100}, year = {2002}, crossref = {DBLP:conf/date/2002}, url = {https://doi.org/10.1109/DATE.2002.998456}, doi = {10.1109/DATE.2002.998456}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeLFCH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/KuoKKK02, author = {Chih{-}Hung Kuo and Chang{-}Su Kim and Robert Ku and C.{-}C. Jay Kuo}, title = {Embedded space-time coding for wireless broadcast}, booktitle = {Visual Communications and Image Processing 2002, San Jose, CA, USA, January 19, 2002}, pages = {967--977}, year = {2002}, crossref = {DBLP:conf/vcip/2002}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vcip/KuoKKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KuoKK02, author = {Chih{-}Hung Kuo and Chang{-}Su Kim and C.{-}C. Jay Kuo}, title = {Robust video transmission over wideband wireless channel using space-time coded {OFDM} systems}, booktitle = {2002 {IEEE} Wireless Communications and Networking Conference Record, {WCNC} 2002, Orlando, Florida, USA, MArch 17-21, 2002}, pages = {931--936}, year = {2002}, crossref = {DBLP:conf/wcnc/2002}, url = {https://doi.org/10.1109/WCNC.2002.993396}, doi = {10.1109/WCNC.2002.993396}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/KuoKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcb/HungLC01, author = {Hui{-}Chih Hung and Guang{-}Yaw Liu and Gu{-}Gang Chang}, title = {Computer Data Fitting in the Equilibrium Protein Folding Process Involving Multiple Intermediates}, booktitle = {Computer science and biology: Proceedings of the German Conference on Bioinformatics, {GCB} 2001, October 7-10, 2001, Braunschweig, Germany}, pages = {176--178}, year = {2001}, crossref = {DBLP:conf/gcb/2001}, timestamp = {Tue, 15 Mar 2005 13:06:29 +0100}, biburl = {https://dblp.org/rec/conf/gcb/HungLC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LiuHCTL01, author = {Jen{-}Chang Liu and Wen{-}Liang Hwang and Ming{-}Syan Chen and Jin{-}Wu Tsai and Chi{-}Hung Lin}, title = {Wavelet based active contour model for object tracking}, booktitle = {Proceedings of the 2001 International Conference on Image Processing, {ICIP} 2001, Thessaloniki, Greece, October 7-10, 2001}, pages = {206--209}, year = {2001}, crossref = {DBLP:conf/icip/2001}, url = {https://doi.org/10.1109/ICIP.2001.958087}, doi = {10.1109/ICIP.2001.958087}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/LiuHCTL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChangHCFC01, author = {Yung{-}Chi Chang and Chao{-}Chih Huang and Hao{-}Chieh Chang and Hung{-}Chi Fang and Liang{-}Gee Chen}, title = {Error-Propagation Analysis and Concealment Strategy for {MPEG-4} Video Bitstream with Data Partitioning}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Multimedia and Expo, {ICME} 2001, August 22-25, 2001, Tokyo, Japan}, year = {2001}, crossref = {DBLP:conf/icmcs/2001}, url = {https://doi.org/10.1109/ICME.2001.1237729}, doi = {10.1109/ICME.2001.1237729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChangHCFC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LiuCC00, author = {Chi{-}Min Liu and Chin{-}Chih Chiu and Hung{-}Yuan Chang}, title = {Design of vocabulary-independent Mandarin keyword spotters}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {8}, number = {4}, pages = {483--487}, year = {2000}, url = {https://doi.org/10.1109/89.848230}, doi = {10.1109/89.848230}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/LiuCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/SheuCCYTHL00, author = {Ruey{-}Kai Sheu and Ming{-}Chun Cheng and Yue{-}Shan Chang and Shyan{-}Ming Yuan and Jensen Tsai and Yao{-}Jin Hung and Ming{-}Chih Lai}, title = {{CFMS} - {A} Collaborative File Management System on {WWW}}, booktitle = {High-Performance Computing and Networking, 8th International Conference, {HPCN} Europe 2000, Amsterdam, The Netherlands, May 8-10, 2000, Proceedings}, pages = {217--226}, year = {2000}, crossref = {DBLP:conf/hpcn/2000}, url = {https://doi.org/10.1007/3-540-45492-6\_22}, doi = {10.1007/3-540-45492-6\_22}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcn/SheuCCYTHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/XuZSYC00, author = {Baowen Xu and Weifeng Zhang and William Song and Hongji Yang and Chih{-}Hung Chang}, title = {Application of Data Mining in Web Pre-Fetching}, booktitle = {2000 International Symposium on Multimedia Software Engineering, {ISMSE} 2000, Taipei, Taiwan, December 11-13, 2000}, pages = {372--377}, year = {2000}, crossref = {DBLP:conf/mse/2000}, url = {https://doi.org/10.1109/MMSE.2000.897238}, doi = {10.1109/MMSE.2000.897238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/XuZSYC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DLunaTMLCLGMLCW99, author = {Lionel J. D'Luna and Loke Tan and Dean W. Mueller and Joe L. Laskowski and Kelly B. Cameron and Jind{-}Yeh Lee and David Gee and Jason S. Monroe and Honman S. Law and Jason Chang and Myles H. Wakayama and Tom Kwan and Chi{-}Hung Lin and Aaron Buchwald and Tarek Kaylani and Fang Lu and Tom Spieker and Robert A. Hawley and Henry Samueli}, title = {A single-chip universal cable set-top box/modem transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {11}, pages = {1647--1660}, year = {1999}, url = {https://doi.org/10.1109/4.799875}, doi = {10.1109/4.799875}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DLunaTMLCLGMLCW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/TsengTL98, author = {Din{-}Chang Tseng and Hung{-}Ming Tsai and Chih{-}Chin Lai}, title = {Unsupervised texture segmentation for multispectral remote-sensing images}, booktitle = {Fourteenth International Conference on Pattern Recognition, {ICPR} 1998, Brisbane, Australia, 16-20 August, 1998}, pages = {1630--1632}, year = {1998}, crossref = {DBLP:conf/icpr/1998}, url = {https://doi.org/10.1109/ICPR.1998.712029}, doi = {10.1109/ICPR.1998.712029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/TsengTL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChangCWCSL92, author = {Yu{-}Sun Chang and Mong{-}Liang Chen and Ray{-}Chang Wu and Pey{-}Jium Chang and Chih{-}Hung Shu and Shih{-}Tung Liu}, title = {Sequence analysis of the {BZLF} 1 gene of Epstein-Barr virus derived from a new variant isolated in Taiwan}, journal = {Nucleic Acids Res.}, volume = {20}, number = {1}, pages = {139}, year = {1992}, url = {https://doi.org/10.1093/nar/20.1.139}, doi = {10.1093/NAR/20.1.139}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/ChangCWCSL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChouD91, author = {Chih{-}Hsiang Chou and David Hung{-}Chang Du}, title = {Hierarchical Uni-Directional Hypercubes}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {530--533}, year = {1991}, crossref = {DBLP:conf/icpp/1991-1}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChouD91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ChouD90, author = {Chih{-}Hsiang Chou and David Hung{-}Chang Du}, title = {Uni-directional hypercubes}, booktitle = {Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990}, pages = {254--263}, year = {1990}, crossref = {DBLP:conf/sc/1990}, url = {https://doi.org/10.1109/SUPERC.1990.130028}, doi = {10.1109/SUPERC.1990.130028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/ChouD90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/HuangW90, author = {Chang{-}Lin Huang and Chih Hung Wu}, title = {Encoding of sign language image sequences at very low rate}, booktitle = {Visual Communications and Image Processing '90: Fifth in a Series, Visual Communications and Image Processing '90, Lausanne, Switzerland, 2-4 October 1990}, year = {1990}, crossref = {DBLP:conf/vcip/1990}, url = {https://doi.org/10.1117/12.24129}, doi = {10.1117/12.24129}, timestamp = {Fri, 11 Mar 2022 16:11:20 +0100}, biburl = {https://dblp.org/rec/conf/vcip/HuangW90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compgeom/2024, editor = {Wolfgang Mulzer and Jeff M. Phillips}, title = {40th International Symposium on Computational Geometry, SoCG 2024, June 11-14, 2024, Athens, Greece}, series = {LIPIcs}, volume = {293}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://www.dagstuhl.de/dagpub/978-3-95977-316-4}, isbn = {978-3-95977-316-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compgeom/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2024, title = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/xpl/conhome/10546498/proceeding}, isbn = {978-3-9819263-8-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2024, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024}, doi = {10.1109/ISCAS58744.2024}, isbn = {979-8-3503-3099-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2024, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024}, doi = {10.1109/ISSCC49657.2024}, isbn = {979-8-3503-0620-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/noms/2024, title = {{NOMS} 2024 {IEEE} Network Operations and Management Symposium, Seoul, Republic of Korea, May 6-10, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/NOMS59830.2024}, doi = {10.1109/NOMS59830.2024}, isbn = {979-8-3503-2793-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/noms/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/soda/2024, editor = {David P. Woodruff}, title = {Proceedings of the 2024 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2024, Alexandria, VA, USA, January 7-10, 2024}, publisher = {{SIAM}}, year = {2024}, url = {https://doi.org/10.1137/1.9781611977912}, doi = {10.1137/1.9781611977912}, isbn = {978-1-61197-791-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/soda/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wacv/2024w, title = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACVW} 2024 - Workshops, Waikoloa, HI, USA, January 1-6, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACVW60836.2024}, doi = {10.1109/WACVW60836.2024}, isbn = {979-8-3503-7028-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wacv/2024w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aimech/2023, title = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023}, doi = {10.1109/AIM46323.2023}, isbn = {978-1-6654-7633-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aimech/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2023w, title = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023}, doi = {10.1109/CVPRW59228.2023}, isbn = {979-8-3503-0249-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2023w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ecis/2023, editor = {Margunn Aanestad and Stefan Klein and Monideepa Tarafdar and Shengnan Han and Sven Laumer and Isabel Ramos}, title = {31st European Conference on Information Systems - Co-creating Sustainable Digital Futures, {ECIS} 2023, Kristiansan, Norway, June 11-16, 2023}, year = {2023}, url = {http://aisel.aisnet.org/ecis2023/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ecis/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esorics/2023w2, editor = {Sokratis K. Katsikas and Habtamu Abie and Silvio Ranise and Luca Verderame and Enrico Cambiaso and Rita Maria Ugarelli and Isabel Pra{\c{c}}a and Wenjuan Li and Weizhi Meng and Steven Furnell and Basel Katt and Sandeep Pirbhulal and Ankur Shukla and Michele Ianni and Mila Dalla Preda and Kim{-}Kwang Raymond Choo and Miguel Pupo Correia and Abhishta Abhishta and Giovanni Sileno and Mina Alishahi and Harsha K. Kalutarage and Naoto Yanai}, title = {Computer Security. {ESORICS} 2023 International Workshops - CPS4CIP, ADIoT, SecAssure, WASP, TAURIN, PriST-AI, and SECAI, The Hague, The Netherlands, September 25-29, 2023, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14399}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-54129-2}, doi = {10.1007/978-3-031-54129-2}, isbn = {978-3-031-54128-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/esorics/2023w2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/focs/2023, title = {64th {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2023, Santa Cruz, CA, USA, November 6-9, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FOCS57990.2023}, doi = {10.1109/FOCS57990.2023}, isbn = {979-8-3503-1894-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/focs/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gcce/2023, title = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023}, doi = {10.1109/GCCE59613.2023}, isbn = {979-8-3503-4018-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gcce/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icccm/2023, title = {Proceedings of the 2023 11th International Conference on Computer and Communications Management, {ICCCM} 2023, Nagoya, Japan, August 4-6, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3617733}, doi = {10.1145/3617733}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icccm/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-tw/2023, title = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023}, doi = {10.1109/ICCE-TAIWAN58799.2023}, isbn = {979-8-3503-2417-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2023, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023}, doi = {10.1109/ISSCC42615.2023}, isbn = {978-1-6654-9016-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kse/2023, editor = {Huynh Thi Thanh Binh and Van{-}Thuc Hoang and Le{-}Minh Nguyen and SyVinh Le and Thi{-}Dao Vu and Duy Trung Pham}, title = {15th International Conference on Knowledge and Systems Engineering, {KSE} 2023, Hanoi, Vietnam, October 18-20, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/KSE59128.2023}, doi = {10.1109/KSE59128.2023}, isbn = {979-8-3503-2974-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/kse/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ofc/2023, title = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023}, doi = {10.23919/OFC49934.2023}, isbn = {978-1-957171-18-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ofc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2023, title = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023}, isbn = {978-4-86348-806-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asse/2022, title = {{ASSE} 2022: 3rd Asia Service Sciences and Software Engineering Conference, Macao, February 24 - 26, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3523181}, doi = {10.1145/3523181}, isbn = {978-1-4503-8745-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asse/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2022, editor = {Hong Va Leong and Sahra Sedigh Sarvestani and Yuuichi Teranishi and Alfredo Cuzzocrea and Hiroki Kashiwazaki and Dave Towey and Ji{-}Jiang Yang and Hossain Shahriar}, title = {46th {IEEE} Annual Computers, Software, and Applications Conferenc, {COMPSAC} 2022, Los Alamitos, CA, USA, June 27 - July 1, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COMPSAC54236.2022}, doi = {10.1109/COMPSAC54236.2022}, isbn = {978-1-6654-8810-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2022, editor = {Rob Oshana}, title = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517}, doi = {10.1145/3489517}, isbn = {978-1-4503-9142-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2022, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022}, doi = {10.23919/DATE54114.2022}, isbn = {978-3-9819263-6-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eurosys/2022ml, editor = {Eiko Yoneki and Luigi Nardi}, title = {EuroMLSys '22: Proceedings of the 2nd European Workshop on Machine Learning and Systems, Rennes, France, April 5 - 8, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3517207}, doi = {10.1145/3517207}, isbn = {978-1-4503-9254-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/2022ml.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icact/2022, title = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022}, doi = {10.23919/ICACT53585.2022}, isbn = {979-11-88428-08-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icact/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iceb/2022, title = {International Conference on Electronic Business, {ICEB} 2022, Bangkok, Thailand, October 13-17, 2022}, publisher = {Association for Information Systems}, year = {2022}, url = {https://aisel.aisnet.org/iceb2022/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iceb/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ickii/2022, title = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022}, doi = {10.1109/ICKII55100.2022}, isbn = {978-1-6654-7929-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ickii/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/2022, editor = {Hanseok Ko and John H. L. Hansen}, title = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022}, doi = {10.21437/INTERSPEECH.2022}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2022, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022}, doi = {10.1109/ISSCC42614.2022}, isbn = {978-1-6654-2800-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwslt/2022, editor = {Elizabeth Salesky and Marcello Federico and Marta Ruiz Costa{-}juss{\`{a}}}, title = {Proceedings of the 19th International Conference on Spoken Language Translation, IWSLT@ACL 2022, Dublin, Ireland (in-person and online), May 26-27, 2022}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.iwslt-1/}, isbn = {978-1-955917-41-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kes/2022, editor = {Matteo Cristani and Carlos Toro and Cecilia Zanni{-}Merk and Robert J. Howlett and Lakhmi C. Jain}, title = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 26th International Conference KES-2022, Verona, Italy and Virtual Event, 7-9 September 2022}, series = {Procedia Computer Science}, volume = {207}, publisher = {Elsevier}, year = {2022}, url = {https://www.sciencedirect.com/science/journal/18770509/207}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/kes/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/2022, title = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022}, doi = {10.1109/MICRO56248.2022}, isbn = {978-1-6654-6272-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/micro/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/noms/2022, title = {2022 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2022, Budapest, Hungary, April 25-29, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NOMS54207.2022}, doi = {10.1109/NOMS54207.2022}, isbn = {978-1-6654-0601-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/noms/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pacis/2022, editor = {Ming{-}Hui Huang and Guy Gable and Christy M. K. Cheung and Dongming Xu}, title = {26th Pacific Asia Conference on Information Systems, {PACIS} 2022, Virtual Event / Taipei, Taiwan / Sydney, Australia, July 5-9, 2022}, year = {2022}, url = {http://aisel.aisnet.org/pacis2022/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pacis/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/racs/2022, editor = {Peng Li and Junyoung Heo and Tom{\'{a}}s Cern{\'{y}}}, title = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2022, Virtual Event, Japan, October 3-6, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3538641}, doi = {10.1145/3538641}, isbn = {978-1-4503-9398-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/racs/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scisisis/2022, title = {Joint 12th International Conference on Soft Computing and Intelligent Systems and 23rd International Symposium on Advanced Intelligent Systems, SCIS{\&}ISIS 2022, Ise, Japan, November 29 - Dec. 2, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SCISISIS55246.2022}, doi = {10.1109/SCISISIS55246.2022}, isbn = {978-1-6654-9924-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi-dat/2022, title = {2022 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSI-DAT54769.2022}, doi = {10.1109/VLSI-DAT54769.2022}, isbn = {978-1-6654-0921-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsit/2022, title = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022}, isbn = {978-1-6654-9772-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acl/2021f, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://aclanthology.org/volumes/2021.findings-acl/}, isbn = {978-1-954085-54-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/acl/2021f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2021, title = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2021, Penang, Malaysia, November 22-26, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/APCCAS51387.2021}, doi = {10.1109/APCCAS51387.2021}, isbn = {978-1-6654-3916-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2021, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021}, doi = {10.1109/A-SSCC53895.2021}, isbn = {978-1-6654-4350-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/case/2021, title = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CASE49439.2021}, doi = {10.1109/CASE49439.2021}, isbn = {978-1-6654-1873-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/case/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/drc/2021, title = {Device Research Conference, {DRC} 2021, Santa Barbara, CA, USA, June 20-23, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DRC52342.2021}, doi = {10.1109/DRC52342.2021}, isbn = {978-1-6654-1240-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/drc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ecoc/2021, title = {European Conference on Optical Communication, {ECOC} 2021, Bordeaux, France, September 13-16, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ECOC52684.2021}, doi = {10.1109/ECOC52684.2021}, isbn = {978-1-6654-3868-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2021, title = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021}, doi = {10.1109/GLOBECOM46510.2021}, isbn = {978-1-7281-8104-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icgsp/2021, title = {{ICGSP} 2021: The 5th International Conference on Graphics and Signal Processing, Nagoya Japan, June 25 - 27, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474906}, doi = {10.1145/3474906}, isbn = {978-1-4503-8941-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icgsp/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2021, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021}, doi = {10.1109/ISSCC42613.2021}, isbn = {978-1-7281-9549-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2021, title = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021}, doi = {10.23919/VLSICIRCUITS52068.2021}, isbn = {978-4-86348-780-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/accv/2020-2, editor = {Hiroshi Ishikawa and Cheng{-}Lin Liu and Tom{\'{a}}s Pajdla and Jianbo Shi}, title = {Computer Vision - {ACCV} 2020 - 15th Asian Conference on Computer Vision, Kyoto, Japan, November 30 - December 4, 2020, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12623}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-69532-3}, doi = {10.1007/978-3-030-69532-3}, isbn = {978-3-030-69531-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/accv/2020-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2020, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020}, doi = {10.1109/A-SSCC48613.2020}, isbn = {978-1-7281-8436-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bmvc/2020, title = {31st British Machine Vision Conference 2020, {BMVC} 2020, Virtual Event, UK, September 7-10, 2020}, publisher = {{BMVA} Press}, year = {2020}, url = {https://www.bmvc2020-conference.com/programme/accepted-papers/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2020, title = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9060424/proceeding}, isbn = {978-1-7281-6031-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cvpr/2020, title = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/CVPR2020}, isbn = {978-1-7281-7168-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2020, title = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9112295/proceeding}, isbn = {978-3-9819263-4-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccmb/2020, title = {{ICCMB} 2020: The 3rd International Conference on Computers in Management and Business, Tokyo, Japan, January 31 - February 2, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3383845}, doi = {10.1145/3383845}, isbn = {978-1-4503-7677-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccmb/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icecsys/2020, title = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020}, doi = {10.1109/ICECS49266.2020}, isbn = {978-1-7281-6044-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2020w, title = {2020 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2020, London, UK, July 6-10, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9099356/proceeding}, isbn = {978-1-7281-1485-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2020w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiaiaai/2020, editor = {Tokuro Matsuo and Kunihiko Takamatsu and Yuichi Ono and Sachio Hirokawa}, title = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020}, doi = {10.1109/IIAI-AAI50415.2020}, isbn = {978-1-7281-7397-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/imis/2020, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Hyunhee Park}, title = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1195}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-50399-4}, doi = {10.1007/978-3-030-50399-4}, isbn = {978-3-030-50398-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/imis/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2020, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020}, doi = {10.1109/ISCAS45731.2020}, isbn = {978-1-7281-3320-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2020, title = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9046640/proceeding}, isbn = {978-1-7281-3205-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sips/2020, title = {{IEEE} Workshop on Signal Processing Systems, SiPS 2020, Coimbra, Portugal, October 20-22, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9187068/proceeding?isnumber=9195186}, isbn = {978-1-7281-8100-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sips/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2020, title = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9146894/proceeding}, isbn = {978-1-7281-9942-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wacv/2020, title = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2020, Snowmass Village, CO, USA, March 1-5, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9087828/proceeding}, isbn = {978-1-7281-6553-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wacv/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2019, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/9043348/proceeding}, isbn = {978-1-7281-5106-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibm/2019, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8965270/proceeding}, isbn = {978-1-7281-1867-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/bibm/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/case/2019, title = {15th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2019, Vancouver, BC, Canada, August 22-26, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8827189/proceeding}, isbn = {978-1-7281-0356-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/case/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2019, title = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781}, doi = {10.1145/3316781}, isbn = {978-1-4503-6725-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2019, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8704855/proceeding}, isbn = {978-3-9819263-2-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2019lbp, editor = {Constantine Stephanidis}, title = {{HCI} International 2019 - Late Breaking Papers - 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11786}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-30033-3}, doi = {10.1007/978-3-030-30033-3}, isbn = {978-3-030-30032-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/2019lbp.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hicss/2019, editor = {Tung Bui}, title = {52nd Hawaii International Conference on System Sciences, {HICSS} 2019, Grand Wailea, Maui, Hawaii, USA, January 8-11, 2019}, publisher = {ScholarSpace}, year = {2019}, url = {https://scholarspace.manoa.hawaii.edu/handle/10125/59440}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hicss/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-tw/2019, title = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8966968/proceeding}, isbn = {978-1-7281-3279-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icit2/2019, title = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8746085/proceeding}, isbn = {978-1-5386-6376-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icit2/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2019, title = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8790385/proceeding}, isbn = {978-1-7281-1340-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiaiaai/2019, title = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8972340/proceeding}, isbn = {978-1-7281-2627-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2019, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8682239/proceeding}, isbn = {978-1-7281-0397-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispan/2019, editor = {Christian Esposito and Jiman Hong and Kim{-}Kwang Raymond Choo}, title = {Pervasive Systems, Algorithms and Networks - 16th International Symposium, {I-SPAN} 2019, Naples, Italy, September 16-20, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1080}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-30143-9}, doi = {10.1007/978-3-030-30143-9}, isbn = {978-3-030-30142-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispan/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2019, title = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8656625/proceeding}, isbn = {978-1-5386-8531-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ltec/2019, editor = {Lorna Uden and Dario Liberona and Galo S{\'{a}}nchez and Sara Rodr{\'{\i}}guez{-}Gonz{\'{a}}lez}, title = {Learning Technology for Education Challenges - 8th International Workshop, {LTEC} 2019, Zamora, Spain, July 15-18, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1011}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20798-4}, doi = {10.1007/978-3-030-20798-4}, isbn = {978-3-030-20797-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ltec/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/naacl/2019-1, editor = {Jill Burstein and Christy Doran and Thamar Solorio}, title = {Proceedings of the 2019 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2019, Minneapolis, MN, USA, June 2-7, 2019, Volume 1 (Long and Short Papers)}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://aclanthology.org/volumes/N19-1/}, isbn = {978-1-950737-13-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/naacl/2019-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/taai/2019, title = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8954857/proceeding}, isbn = {978-1-7281-4666-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/taai/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi-dat/2019, title = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2019, Hsinchu, Taiwan, April 22-25, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8734473/proceeding}, isbn = {978-1-7281-0655-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2018-2, editor = {Sorel Reisman and Sheikh Iqbal Ahamed and Claudio Demartini and Thomas M. Conte and Ling Liu and William R. Claycomb and Motonori Nakamura and Edmundo Tovar and Stelvio Cimato and Chung{-}Horng Lung and Hiroki Takakura and Ji{-}Jiang Yang and Toyokazu Akiyama and Zhiyong Zhang and Kamrul Hasan}, title = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 2}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=8377622}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2018-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gcce/2018, title = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8555972/proceeding}, isbn = {978-1-5386-6309-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gcce/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/i2mtc/2018, title = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2018, Houston, TX, USA, May 14-17, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8401508/proceeding}, isbn = {978-1-5386-2222-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icbl/2018, editor = {Simon K. S. Cheung and Lam{-}for Kwok and Kenichi Kubota and Lap{-}Kei Lee and Jumpei Tokito}, title = {Blended Learning. Enhancing Learning Success - 11th International Conference, {ICBL} 2018, Osaka, Japan, July 31 - August 2, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10949}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94505-7}, doi = {10.1007/978-3-319-94505-7}, isbn = {978-3-319-94504-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icbl/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2018, editor = {Iris Bahar}, title = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765}, doi = {10.1145/3240765}, isbn = {978-1-4503-5950-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiaiaai/2018, title = {7th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2018, Yonago, Japan, July 8-13, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8440618/proceeding}, isbn = {978-1-5386-7447-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/imis/2018, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Tomoya Enokido}, title = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {773}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-93554-6}, doi = {10.1007/978-3-319-93554-6}, isbn = {978-3-319-93553-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/imis/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispan/2018, title = {15th International Symposium on Pervasive Systems, Algorithms and Networks, {I-SPAN} 2018, Yichang, China, October 16-18, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/I-SPAN43851.2018}, doi = {10.1109/I-SPAN43851.2018}, isbn = {978-1-5386-8535-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispan/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2018, title = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8304413/proceeding}, isbn = {978-1-5090-4940-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sas2/2018, title = {2018 {IEEE} Sensors Applications Symposium, {SAS} 2018, Seoul, South Korea, March 12-14, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8331763/proceeding}, isbn = {978-1-5386-2092-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sas2/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggrapha/2018posters, editor = {Nafees Bin Zafar and Kun Zhou}, title = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289}, doi = {10.1145/3283289}, isbn = {978-1-4503-6063-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/2018posters.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2018, title = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8484863/proceeding}, isbn = {978-1-5386-4214-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vrst/2018, editor = {Stephen N. Spencer and Shigeo Morishima and Yuichi Itoh and Takaaki Shiratori and Yonghao Yue and Rob Lindeman}, title = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3281505}, doi = {10.1145/3281505}, isbn = {978-1-4503-6086-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vrst/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wicon/2018, editor = {Jiann{-}Liang Chen and Ai{-}Chun Pang and Der{-}Jiunn Deng and Chun{-}Cheng Lin}, title = {Wireless Internet - 11th {EAI} International Conference, WiCON 2018, Taipei, Taiwan, October 15-16, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {264}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-06158-6}, doi = {10.1007/978-3-030-06158-6}, isbn = {978-3-030-06157-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wicon/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ats/2017, title = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8267185/proceeding}, isbn = {978-1-5386-2437-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ats/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cimaging/2017, editor = {Charles A. Bouman and Robert L. Stevenson}, title = {Computational Imaging XV, Burlingame, California, USA, 29 January 2017 - 2 February 2017}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://library.imaging.org/ei/articles/29/17}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cimaging/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/i2mtc/2017, title = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2017, Torino, Italy, May 22-25, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7959776/proceeding}, isbn = {978-1-5090-3596-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2017, editor = {Sri Parameswaran}, title = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8167715/proceeding}, isbn = {978-1-5386-3093-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iiaiaai/2017, title = {6th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2017, Hamamatsu, Japan, July 9-13, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8106908/proceeding}, isbn = {978-1-5386-0621-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ijcai/2017cgw, editor = {Tristan Cazenave and Mark H. M. Winands and Abdallah Saffidine}, title = {Computer Games - 6th Workshop, {CGW} 2017, Held in Conjunction with the 26th International Conference on Artificial Intelligence, {IJCAI} 2017, Melbourne, VIC, Australia, August, 20, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {818}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75931-9}, doi = {10.1007/978-3-319-75931-9}, isbn = {978-3-319-75930-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/2017cgw.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispan/2017, title = {14th International Symposium on Pervasive Systems, Algorithms and Networks {\&} 11th International Conference on Frontier of Computer Science and Technology {\&} Third International Symposium of Creative Computing, {ISPAN-FCST-ISCC} 2017, Exeter, United Kingdom, June 21-23, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8119480/proceeding}, isbn = {978-1-5386-0840-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispan/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2017, title = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/7866667/proceeding}, isbn = {978-1-5090-3758-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/medinfo/2017, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, publisher = {{IOS} Press}, year = {2017}, isbn = {978-1-61499-829-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nems/2017, title = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8012169/proceeding}, isbn = {978-1-5090-3059-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/nems/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/newcas/2017, title = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2017, Strasbourg, France, June 25-28, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8001599/proceeding}, isbn = {978-1-5090-4991-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/newcas/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggrapha/2017briefs, editor = {Diego Gutierrez and Hui Huang}, title = {{SIGGRAPH} Asia 2017 Technical Briefs, Bangkok, Thailand, November 27 - 30, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3145749}, doi = {10.1145/3145749}, isbn = {978-1-4503-5406-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/2017briefs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/taai/2017, title = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2017, Taipei, Taiwan, December 1-3, 2017}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8356583/proceeding}, isbn = {978-1-5386-4203-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/taai/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/accv/2016-w1, editor = {Chu{-}Song Chen and Jiwen Lu and Kai{-}Kuang Ma}, title = {Computer Vision - {ACCV} 2016 Workshops - {ACCV} 2016 International Workshops, Taipei, Taiwan, November 20-24, 2016, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10116}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-54407-6}, doi = {10.1007/978-3-319-54407-6}, isbn = {978-3-319-54406-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/accv/2016-w1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2016, title = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7786273/proceeding}, isbn = {978-1-5090-1570-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2016, title = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7422345/proceeding}, isbn = {978-1-4673-9569-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asscc/2016, title = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7833314/proceeding}, isbn = {978-1-5090-3699-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asscc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/biocas/2016, title = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7803480/proceeding}, isbn = {978-1-5090-2959-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/biocas/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2016, title = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937}, doi = {10.1145/2897937}, isbn = {978-1-4503-4236-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2016, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7454909/proceeding}, isbn = {978-3-9815-3707-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2016, title = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7585490/proceeding}, isbn = {978-1-5090-2972-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2016-7, editor = {Margherita Antona and Constantine Stephanidis}, title = {Universal Access in Human-Computer Interaction. Methods, Techniques, and Best Practices - 10th International Conference, {UAHCI} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9737}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40250-5}, doi = {10.1007/978-3-319-40250-5}, isbn = {978-3-319-40249-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/2016-7.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-tw/2016, title = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, publisher = {{IEEE}}, year = {2016}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7508353}, isbn = {978-1-5090-2073-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icores/2016, editor = {Bego{\~{n}}a Vitoriano and Greg H. Parlier and Dominique de Werra}, title = {Proceedings of 5th the International Conference on Operations Research and Enterprise Systems {(ICORES} 2016), Rome, Italy, February 23-25, 2016}, publisher = {SciTePress}, year = {2016}, isbn = {978-989-758-171-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icores/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2016, title = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7592514/proceeding}, isbn = {978-1-5090-3332-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2016, title = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7515073/proceeding}, isbn = {978-1-4799-5341-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isie/2016, title = {25th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2016, Santa Clara, CA, USA, June 8-10, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7740453/proceeding}, isbn = {978-1-5090-0873-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isie/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isvlsi/2016, title = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7558446/proceeding}, isbn = {978-1-4673-9039-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nems/2016, title = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7750493/proceeding}, isbn = {978-1-5090-1947-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/nems/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tsa/2016, title = {Third International Conference on Trustworthy Systems and their Applications, {TSA} 2016, Wuhan, China, September 18-22, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7777701/proceeding}, isbn = {978-1-5090-3539-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/tsa/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2016, title = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7569797/proceeding}, isbn = {978-1-5090-0635-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asicon/2015, title = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7506193/proceeding}, isbn = {978-1-4799-8483-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/asicon/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2015, title = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7321938/proceeding}, isbn = {978-1-4799-8682-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cig/2015, title = {2015 {IEEE} Conference on Computational Intelligence and Games, {CIG} 2015, Tainan, Taiwan, August 31 - September 2, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7302806/proceeding}, isbn = {978-1-4799-8622-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cig/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2015yau, editor = {Sheikh Iqbal Ahamed and Carl K. Chang and William C. Chu and Ivica Crnkovic and Pao{-}Ann Hsiung and Gang Huang and Jingwei Yang}, title = {39th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2015, Taichung, Taiwan, July 1-5, 2015. Volume 1}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7271781/proceeding}, isbn = {978-1-4673-6564-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2015yau.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2015, title = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2744769}, isbn = {978-1-4503-3520-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icce-tw/2015, title = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, publisher = {{IEEE}}, year = {2015}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7170113}, isbn = {978-1-4799-8745-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ichl/2015, editor = {Simon K. S. Cheung and Lam For Kwok and Harrison Hao Yang and Joseph Fong and Reggie Kwan}, title = {Hybrid Learning: Innovation in Educational Practices - 8th International Conference, {ICHL} 2015, Wuhan, China, July 27-29, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9167}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20621-9}, doi = {10.1007/978-3-319-20621-9}, isbn = {978-3-319-20620-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ichl/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2015, title = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7054075/proceeding}, isbn = {978-1-4799-6223-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nems/2015, title = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7132046/proceeding}, isbn = {978-1-4673-6695-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/nems/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pacis/2015, editor = {Atreyi Kankanhalli and Andrew Burton{-}Jones and Thompson S. H. Teo}, title = {19th Pacific Asia Conference on Information Systems, {PACIS} 2015, Singapore, July 5-9, 2015}, year = {2015}, url = {http://aisel.aisnet.org/pacis2015/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pacis/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/simultech/2015, editor = {Mohammad S. Obaidat and Janusz Kacprzyk and Tuncer I. {\"{O}}ren}, title = {{SIMULTECH} 2015 - Proceedings of the 5th International Conference on Simulation and Modeling Methodologies, Technologies and Applications, Colmar, Alsace, France, 21 - 23 July, 2015}, publisher = {SciTePress}, year = {2015}, isbn = {978-989-758-120-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/simultech/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tsa/2015, title = {2015 Second International Conference on Trustworthy Systems and Their Applications, {TSA} 2015, Hualien, Taiwan, July 8-9, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7335924/proceeding}, isbn = {978-1-4673-9581-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/tsa/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi-dat/2015, title = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7111694/proceeding}, isbn = {978-1-4799-6275-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsdm/2015, editor = {Xueqi Cheng and Hang Li and Evgeniy Gabrilovich and Jie Tang}, title = {Proceedings of the Eighth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2015, Shanghai, China, February 2-6, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2684822}, doi = {10.1145/2684822}, isbn = {978-1-4503-3317-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aimech/2014, title = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2014, Besancon, France, July 8-11, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6869121/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aimech/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bhi/2014, title = {Proceedings of {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2014, Valencia, Spain, June 1-4, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6853543/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/bhi/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2014, title = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6924030/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2014w, title = {{IEEE} 38th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2014, Vasteras, Sweden, July 21-25, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6900115/proceeding}, isbn = {978-1-4799-3578-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2014w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2014, title = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6932855/proceeding}, isbn = {978-1-4799-5694-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/i2mtc/2014, title = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2014, Proceedings, Montevideo, Uruguay, May 12-15, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6845396/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccnc/2014, title = {International Conference on Computing, Networking and Communications, {ICNC} 2014, Honolulu, HI, USA, February 3-6, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6778476/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icis/2014, editor = {Michael D. Myers and Detmar W. Straub}, title = {Proceedings of the International Conference on Information Systems - Building a Better World through Information Systems, {ICIS} 2014, Auckland, New Zealand, December 14-17, 2014}, publisher = {Association for Information Systems}, year = {2014}, url = {http://aisel.aisnet.org/icis2014/}, isbn = {978-0-615-15788-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icis/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icost/2014, editor = {Cathy Bodine and Sumi Helal and Tao Gu and Mounir Mokhtari}, title = {Smart Homes and Health Telematics - 12th International Conference, {ICOST} 2014, Denver, CO, USA, June 25-27, 2014, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {8456}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-14424-5}, doi = {10.1007/978-3-319-14424-5}, isbn = {978-3-319-14423-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icost/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iih-msp/2014, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6990729/proceeding}, isbn = {978-1-4799-5390-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/intcompsymp/2014, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, publisher = {{IOS} Press}, year = {2015}, url = {http://ebooks.iospress.nl/volume/intelligent-systems-and-applications-proceedings-of-the-international-computer-symposium-ics-held-at-taichung-taiwan-december-12-14-2014}, isbn = {978-1-61499-483-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2014, title = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6852006/proceeding}, isbn = {978-1-4799-3431-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2014, title = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6747109/proceeding}, isbn = {978-1-4799-0918-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ithings/2014, title = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7058329/proceeding}, isbn = {978-1-4799-5967-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ithings/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pacis/2014, editor = {Keng Siau and Qing Li and Xunhua Guo}, title = {18th Pacific Asia Conference on Information Systems, {PACIS} 2014, Chengdu, China, June 24-28, 2014}, year = {2014}, url = {http://aisel.aisnet.org/pacis2014/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pacis/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/persuasive/2014, editor = {Anna Spagnolli and Luca Chittaro and Luciano Gamberini}, title = {Persuasive Technology - 9th International Conference, {PERSUASIVE} 2014, Padua, Italy, May 21-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8462}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07127-5}, doi = {10.1007/978-3-319-07127-5}, isbn = {978-3-319-07126-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/persuasive/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2014, title = {25th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communication, {PIMRC} 2014, Washington DC, USA, September 2-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7116213/proceeding}, isbn = {978-1-4799-4912-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smartcomp/2014w, title = {International Conference on Smart Computing, {SMARTCOMP} Workshops 2014, Hong Kong, November 5, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7031554/proceeding}, isbn = {978-1-4799-6447-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smartcomp/2014w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/tsa/2014, title = {2014 International Conference on Trustworthy Systems and their Applications, {TSA} 2014, Taichung, Taiwan, June 9-10, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6955702/proceeding}, isbn = {978-1-4799-6565-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/tsa/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsic/2014, title = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6850260/proceeding}, isbn = {978-1-4799-3327-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/3dic/2013, title = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6690582/proceeding}, isbn = {978-1-4673-6484-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/3dic/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2013, title = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6648471/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2013w, title = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6605718/proceeding}, isbn = {978-1-4799-2159-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2013w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2013, title = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, publisher = {{ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2463209}, isbn = {978-1-4503-2071-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/emc/2013, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-007-7262-5}, doi = {10.1007/978-94-007-7262-5}, isbn = {978-94-007-7261-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/emc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2013-2, editor = {Masaaki Kurosu}, title = {Human-Computer Interaction. Applications and Services - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8005}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39262-7}, doi = {10.1007/978-3-642-39262-7}, isbn = {978-3-642-39261-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/2013-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/i2mtc/2013, title = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2013, Minneapolis, MN, USA, May 6-9, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6548505/proceeding}, isbn = {978-1-4673-4621-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icgec/2013, editor = {Jeng{-}Shyang Pan and Pavel Kr{\"{o}}mer and V{\'{a}}clav Sn{\'{a}}sel}, title = {Genetic and Evolutionary Computing - Proceedings of the Seventh International Conference on Genetic and Evolutionary Computing, {ICGEC} 2013, August 25-27, 2013, Prague, Czech Republic}, series = {Advances in Intelligent Systems and Computing}, volume = {238}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-01796-9}, doi = {10.1007/978-3-319-01796-9}, isbn = {978-3-319-01795-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icgec/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2013, title = {Proceedings of the 2013 {IEEE} International Conference on Multimedia and Expo, {ICME} 2013, San Jose, CA, USA, July 15-19, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6596168/proceeding}, isbn = {978-1-4799-0015-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2013w, title = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, San Jose, CA, USA, July 15-19, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6599032/proceeding}, isbn = {978-1-4799-1604-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2013w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmlc/2013, title = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2013, Tianjin, China, July 14-17, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6880948/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2013, title = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6560459/proceeding}, isbn = {978-1-4673-5760-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isce/2013, title = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6560460/proceeding}, isbn = {978-1-4673-6198-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isce/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2013, title = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6480926/proceeding}, isbn = {978-1-4673-4515-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcmc/2013, editor = {Roberto Saracco and Khaled Ben Letaief and Mario Gerla and Sergio Palazzo and Luigi Atzori}, title = {2013 9th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2013, Sardinia, Italy, July 1-5, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6578011/proceeding}, isbn = {978-1-4673-2479-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/qsic/2013, title = {2013 13th International Conference on Quality Software, Najing, China, July 29-30, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6605720/proceeding}, isbn = {978-1-4799-0500-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/qsic/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggraph/2013posters, title = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '13, Anaheim, CA, USA, July 21-25, 2013, Poster Proceedings}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2503385}, doi = {10.1145/2503385}, isbn = {978-1-4503-2342-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/2013posters.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2013, title = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6689802/proceeding}, isbn = {978-0-7695-5154-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/soca/2013, title = {2013 {IEEE} 6th International Conference on Service-Oriented Computing and Applications, Koloa, HI, USA, December 16-18, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6717185/proceeding}, isbn = {978-1-4799-2701-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/soca/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi-dat/2013, title = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6526674/proceeding}, isbn = {978-1-4673-4435-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acssc/2012, editor = {Michael B. Matthews}, title = {Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers, {ACSCC} 2012, Pacific Grove, CA, USA, November 4-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6480934/proceeding}, isbn = {978-1-4673-5050-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/acssc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2012, title = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6412846/proceeding}, isbn = {978-1-4577-1728-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apsipa/2012, title = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6398045/proceeding}, isbn = {978-1-4673-4863-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2012, title = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6156603/proceeding}, isbn = {978-1-4673-0770-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/biocas/2012, title = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6410528}, isbn = {978-1-4673-2291-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/biocas/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2012, editor = {Xiaoying Bai and Fevzi Belli and Elisa Bertino and Carl K. Chang and Atilla El{\c{c}}i and Cristina Cerschi Seceleanu and Haihua Xie and Mohammad Zulkernine}, title = {36th Annual {IEEE} Computer Software and Applications Conference, {COMPSAC} 2012, Izmir, Turkey, July 16-20, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6340121/proceeding}, isbn = {978-1-4673-1990-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2012, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2228360}, isbn = {978-1-4503-1199-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/espa/2012, title = {2012 {IEEE} International Conference on Emerging Signal Processing Applications, {ESPA} 2012, Las Vegas, NV, USA, January 12-14, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6146699/proceeding}, isbn = {978-1-4673-0899-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/espa/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/esscirc/2012, title = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6331297/proceeding}, isbn = {978-1-4673-2212-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2012, title = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6490098/proceeding}, isbn = {978-1-4673-0920-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/healthcom/2012, title = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6362316/proceeding}, isbn = {978-1-4577-2039-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpcc/2012, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6331801/proceeding}, isbn = {978-1-4673-2164-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ica3pp/2012-2, editor = {Yang Xiang and Ivan Stojmenovic and Bernady O. Apduhan and Guojun Wang and Koji Nakano and Albert Y. Zomaya}, title = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7440}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33065-0}, doi = {10.1007/978-3-642-33065-0}, isbn = {978-3-642-33064-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/2012-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2012, title = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6268628/proceeding}, isbn = {978-1-4673-0046-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2012, title = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6350935/proceeding}, isbn = {978-1-4577-2052-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccel/2012, title = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6153584/proceeding}, isbn = {978-1-4577-0230-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccel/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2012, title = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6297631/proceeding}, isbn = {978-1-4673-1659-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmlc/2012, title = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2012, Xian, Shaanxi, China, July 15-17, 2012, Proceedings}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6338447/proceeding}, isbn = {978-1-4673-1484-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpr/2012, title = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6425799/proceeding}, isbn = {978-1-4673-2216-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icpr/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icufn/2012, title = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6252853}, isbn = {978-1-4673-1377-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icufn/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icuimc/2012, editor = {Suk{-}Han Lee and Lajos Hanzo and Roslan Ismail and Dongsoo S. Kim and Min Young Chung and Sang{-}Won Lee}, title = {The 6th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '12, Kuala Lumpur, Malaysia, February 20-22, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2184751}, isbn = {978-1-4503-1172-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iih-msp/2012, editor = {George A. Tsihrintzis and Jeng{-}Shyang Pan and Hsiang{-}Cheh Huang and Maria Virvou and Lakhmi C. Jain}, title = {Eighth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2012, Piraeus-Athens, Greece, July 18-20, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6273237/proceeding}, isbn = {978-1-4673-1741-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2012, title = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6257548/proceeding}, isbn = {978-1-4673-0218-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isie/2012, title = {21st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2012, Hangzhou, China, 28-31 May, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6230783/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isie/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isocc/2012, title = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6395852/proceeding}, isbn = {978-1-4673-2989-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isocc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispacs/2012, title = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6470430/proceeding}, isbn = {978-1-4673-5083-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2012, title = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171933/proceeding}, isbn = {978-1-4673-0376-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itst/2012, title = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6415494/proceeding}, isbn = {978-1-4673-3071-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/itst/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisys/2012, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2307636}, isbn = {978-1-4503-1301-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nems/2012, title = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6189421/proceeding}, isbn = {978-1-4673-1122-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/nems/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/qsic/2012, editor = {Antony Tang and Henry Muccini}, title = {2012 12th International Conference on Quality Software, Xi'an, Shaanxi, China, August 27-29, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6317270/proceeding}, isbn = {978-1-4673-2857-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/qsic/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/scisisis/2012, title = {The 6th International Conference on Soft Computing and Intelligent Systems (SCIS), and The 13th International Symposium on Advanced Intelligence Systems (ISIS), Kobe, Japan, November 20-24, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6495582/proceeding}, isbn = {978-1-4673-2742-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggrapha/2012briefs, editor = {Zhengyou Zhang and Zhengguo Li}, title = {{SIGGRAPH} Asia 2012 Technical Briefs, Singapore, November 28 - December 1, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2407746}, doi = {10.1145/2407746}, isbn = {978-1-4503-1915-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/2012briefs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sii/2012, title = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6416132}, isbn = {978-1-4673-1496-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sii/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vlsi-dat/2012, title = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6204369/proceeding}, isbn = {978-1-4577-2080-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2012, title = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6209058/proceeding}, isbn = {978-1-4673-0436-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/whispers/2012, title = {4th Workshop on Hyperspectral Image and Signal Processing, {WHISPERS} 2012, Shanghai, China, June 4-7, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6867428/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/whispers/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wpmc/2012, title = {The 15th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2012, Taipei, Taiwan, September 24-27, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6387516/proceeding}, isbn = {978-1-4673-4533-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kdd/2011kddcup, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/kdd/2011kddcup.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/amcc/2011, title = {American Control Conference, {ACC} 2011, San Francisco, CA, USA, June 29 - July 1, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ACC18948.2011}, doi = {10.1109/ACC18948.2011}, isbn = {978-1-4577-0081-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/amcc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apnoms/2011, title = {13th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2011, Taipei, Taiwan, September 21-23, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6069626/proceeding}, isbn = {978-1-4577-1668-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2011w, title = {Workshop Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} Workshops 2011, Munich, Germany, 18-22 July 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6032032/proceeding}, isbn = {978-0-7695-4459-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2011w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csee2/2011-4, editor = {Song Lin and Xiong Huang}, title = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011. Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {217}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23339-5}, doi = {10.1007/978-3-642-23339-5}, isbn = {978-3-642-23338-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/csee2/2011-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csee2/2011-3, editor = {Song Lin and Xiong Huang}, title = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {216}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23345-6}, doi = {10.1007/978-3-642-23345-6}, isbn = {978-3-642-23344-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/csee2/2011-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fskd/2011, title = {Eighth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2011, 26-28 July 2011, Shanghai, China}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FSKD18083.2011}, doi = {10.1109/FSKD18083.2011}, isbn = {978-1-61284-180-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/fskd/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fuzzIEEE/2011, title = {{FUZZ-IEEE} 2011, {IEEE} International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5976945/proceeding}, isbn = {978-1-4244-7315-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2011-1, editor = {Julie A. Jacko}, title = {Human-Computer Interaction. Design and Development Approaches - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6761}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21602-2}, doi = {10.1007/978-3-642-21602-2}, isbn = {978-3-642-21601-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/2011-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icalt/2011, title = {{ICALT} 2011, 11th {IEEE} International Conference on Advanced Learning Technologies, Athens, Georgia, USA, 6-8 July 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5991609/proceeding}, isbn = {978-0-7695-4346-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icalt/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2011, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6095474/proceeding}, isbn = {978-1-4577-1399-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icgec/2011, editor = {Junzo Watada and Pau{-}Choo Chung and Jim{-}Min Lin and Chin{-}Shiuh Shieh and Jeng{-}Shyang Pan}, title = {Fifth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2011, Kinmen, Taiwan / Xiamen, China, August 29 - September 1, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6042363/proceeding}, isbn = {978-1-4577-0817-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icgec/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2011, editor = {Beno{\^{\i}}t Macq and Peter Schelkens}, title = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6094293/proceeding}, isbn = {978-1-4577-1304-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icip/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icppw/2011, editor = {Jang{-}Ping Sheu and Cho{-}Li Wang}, title = {2011 International Conference on Parallel Processing Workshops, {ICPPW} 2011, Taipei, Taiwan, Sept. 13-16, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6046212/proceeding}, isbn = {978-1-4577-1337-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icppw/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icsdm/2011, title = {{IEEE} International Conference on Spatial Data Mining and Geographical Knowledge Services, {ICSDM} 2011, Fuzhou, China, June 29 - July 1, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5958522/proceeding}, isbn = {978-1-4244-8352-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icsdm/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispa/2011, title = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2011, Busan, Korea, 26-28 May, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5949579/proceeding}, isbn = {978-1-4577-0391-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispa/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2011, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/5740653/proceeding}, isbn = {978-1-61284-303-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2011, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6132473/proceeding}, isbn = {978-1-4577-0153-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/itc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rvsp/2011, editor = {Tien{-}Szu Pan and Mong{-}Fong Horng and Jianrong Tan and Jeng{-}Shyang Pan}, title = {First International Conference on Robot, Vision and Signal Processing, {RVSP} 2011, Kaohsiung City, Taiwan, November 21-23, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6114264/proceeding}, isbn = {978-1-4577-1881-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/rvsp/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/socc/2011, title = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6076821/proceeding}, isbn = {978-1-4577-1616-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/socc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ssiri/2011c, title = {Fifth International Conference on Secure Software Integration and Reliability Improvement, {SSIRI} 2011, 27-29 June, 2011, Jeju Island, Korea - Companion Volume}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6003672/proceeding}, isbn = {978-0-7695-4454-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ssiri/2011c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/web/2011, editor = {Michael J. Shaw and Dongsong Zhang and Wei T. Yue}, title = {E-Life: Web-Enabled Convergence of Commerce, Work, and Social Life - 10th Workshop on E-Business, {WEB} 2011, Shanghai, China, December 4, 2011, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {108}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-29873-8}, doi = {10.1007/978-3-642-29873-8}, isbn = {978-3-642-29872-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/web/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0027901, editor = {Bebo White and Irwin King and Philip Tsang}, title = {Social Media Tools and Platforms in Learning Environments}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20392-3}, doi = {10.1007/978-3-642-20392-3}, isbn = {978-3-642-20391-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/books/daglib/0027901.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2010, title = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5767825/proceeding}, isbn = {978-1-4244-7454-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2010, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5605059/proceeding}, isbn = {978-1-4244-5758-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cnsr/2010, title = {8th Annual Conference on Communication Networks and Services Research, {CNSR} 2010, 11-14 May 2010, Montreal, Canada}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5489039/proceeding}, isbn = {978-0-7695-4041-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cnsr/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2010, editor = {Sheikh Iqbal Ahamed and Doo{-}Hwan Bae and Sung Deok Cha and Carl K. Chang and Rajesh Subramanyan and W. Eric Wong and Hen{-}I Yang}, title = {Proceedings of the 34th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2010, Seoul, Korea, 19-23 July 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5676249/proceeding}, isbn = {978-0-7695-4085-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2010, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5450668/proceeding}, isbn = {978-1-4244-7054-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gca/2010, editor = {Hamid R. Arabnia and George A. Gravvanis and Ashu M. G. Solo}, title = {Proceedings of the 2010 International Conference on Grid Computing {\&} Applications, {GCA} 2010, July 12-15, 2010, Las Vegas, Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2010}, isbn = {1-60132-144-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gca/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2010, editor = {R. Iris Bahar and Fabrizio Lombardi and David Atienza and Erik Brunvand}, title = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009, Providence, Rhode Island, USA, May 16-18 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1785481}, doi = {10.1145/1785481}, isbn = {978-1-4503-0012-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icalt/2010, title = {{ICALT} 2010, 10th {IEEE} International Conference on Advanced Learning Technologies, Sousse, Tunisia, 5-7 July 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5570018/proceeding}, isbn = {978-0-7695-4055-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icalt/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2010, title = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2010, Cape Town, South Africa, 23-27 May 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5497983/proceeding}, isbn = {978-1-4244-6402-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2010, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5638200/proceeding}, isbn = {978-1-4244-8192-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccci/2010-2, editor = {Jeng{-}Shyang Pan and Shyi{-}Ming Chen and Ngoc Thanh Nguyen}, title = {Computational Collective Intelligence. Technologies and Applications - Second International Conference, {ICCCI} 2010, Kaohsiung, Taiwan, November 10-12, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6422}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16732-4}, doi = {10.1007/978-3-642-16732-4}, isbn = {978-3-642-16731-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccci/2010-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icccn/2010, title = {Proceedings of the 19th International Conference on Computer Communications and Networks, {IEEE} {ICCCN} 2010, Z{\"{u}}rich, Switzerland, August 2-5, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5559661/proceeding}, isbn = {978-1-4244-7115-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icccn/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmlc/2010, title = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, publisher = {{IEEE}}, year = {2010}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2010, title = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5512009/proceeding}, isbn = {978-1-4244-5308-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2010, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, publisher = {{IEEE}}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5428240/proceeding}, isbn = {978-1-4244-6033-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcmc/2010, editor = {Ahmed Helmy and Peter Mueller and Yan Zhang}, title = {Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2010, Caen, France, June 28 - July 2, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-4503-0062-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pacis/2010, title = {Pacific Asia Conference on Information Systems, {PACIS} 2010, Taipei, Taiwan, 9-12 July 2010}, publisher = {AISeL}, year = {2010}, url = {http://aisel.aisnet.org/pacis2010/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pacis/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/qsic/2010, editor = {Ji Wang and W. K. Chan and Fei{-}Ching Kuo}, title = {Proceedings of the 10th International Conference on Quality Software, {QSIC} 2010, Zhangjiajie, China, 14-15 July 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5562736/proceeding}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/qsic/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/2010, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088}, doi = {10.1145/1774088}, isbn = {978-1-60558-639-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sac/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/IEEEcit/2009-2, title = {Ninth {IEEE} International Conference on Computer and Information Technology, Xiamen, China, {CIT} 2009, 11-14 October 2009, Proceedings, Volume {II}}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=5328992}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/2009-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibe/2009, editor = {Jeffrey J. P. Tsai and Phillip C.{-}Y. Sheu and Han C. W. Hsiao}, title = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5211103/proceeding}, isbn = {978-0-7695-3656-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/bibe/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2009-2, editor = {Sheikh Iqbal Ahamed and Elisa Bertino and Carl K. Chang and Vladimir Getov and Lin Liu and Ming Hua and Rajesh Subramanyan}, title = {Proceedings of the 33rd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 2}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=5254045}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2009-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cse/2009, title = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5282954/proceeding}, isbn = {978-1-4244-5334-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cse/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gis/2009lbsn, editor = {Xiaofang Zhou and Xing Xie}, title = {Proceedings of the 2009 International Workshop on Location Based Social Networks, {LBSN} 2009, November 3, 2009, Seattle, Washington, USA, Proceedings}, publisher = {{ACM}}, year = {2009}, isbn = {978-1-60558-860-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gis/2009lbsn.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/globecom/2009, title = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, publisher = {{IEEE}}, year = {2009}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/globecom/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpcc/2009, title = {11th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2009, 25-27 June 2009, Seoul, Korea}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5166953/proceeding}, isbn = {978-0-7695-3738-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ica3pp/2009, editor = {Arrems Hua and Shih{-}Liang Chang}, title = {Algorithms and Architectures for Parallel Processing, 9th International Conference, {ICA3PP} 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5574}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03095-6}, doi = {10.1007/978-3-642-03095-6}, isbn = {978-3-642-03094-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2009, title = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2009, Dresden, Germany, 14-18 June 2009}, publisher = {{IEEE}}, year = {2009}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icse/2009iwmse, title = {Proceedings of the 2009 {ICSE} Workshop on Multicore Software Engineering, {IWMSE} '09, Vancouver, BC, Canada, May 18, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {http://dl.acm.org/citation.cfm?id=1569135}, isbn = {978-1-4244-3718-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icse/2009iwmse.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ijcnn/2009, title = {International Joint Conference on Neural Networks, {IJCNN} 2009, Atlanta, Georgia, USA, 14-19 June 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5161636/proceeding}, isbn = {978-1-4244-3548-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2009, title = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5076158/proceeding}, isbn = {978-1-4244-3827-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isnn/2009-4, editor = {Hongwei Wang and Yi Shen and Tingwen Huang and Zhigang Zeng}, title = {The Sixth International Symposium on Neural Networks, {ISNN} 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part {IV}}, series = {Advances in Intelligent and Soft Computing}, volume = {56}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01216-7}, doi = {10.1007/978-3-642-01216-7}, isbn = {978-3-642-01215-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isnn/2009-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispan/2009, title = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5379703/proceeding}, isbn = {978-0-7695-3908-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispan/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2009, title = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/4926119/proceeding}, isbn = {978-1-4244-3458-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iwcmc/2009, editor = {Mohsen Guizani and Peter M{\"{u}}ller and Klaus{-}Peter F{\"{a}}hnrich and Athanasios V. Vasilakos and Yan Zhang and Jun Zhang}, title = {Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, {IWCMC} 2009, Leipzig, Germany, June 21-24, 2009}, publisher = {{ACM}}, year = {2009}, isbn = {978-1-60558-569-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mdm/2009, editor = {Yu{-}Chee Tseng and Peter Scheuermann and Ralf Hartmut G{\"{u}}ting and Wang{-}Chien Lee and Chung{-}Ta King and Evaggelia Pitoura}, title = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5088898/proceeding}, isbn = {978-0-7695-3650-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/mdm/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2009mtdl, editor = {Timothy K. Shih and Changsheng Xu and Rynson W. H. Lau and Yueh{-}Min Huang}, title = {Proceedings of the first {ACM} international workshop on Multimedia technologies for distance learning, MTDL@MM 2009, Beijing, China, October 23, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1631111}, doi = {10.1145/1631111}, isbn = {978-1-60558-757-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/mm/2009mtdl.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sac/2009, editor = {Sung Y. Shin and Sascha Ossowski}, title = {Proceedings of the 2009 {ACM} Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1529282}, doi = {10.1145/1529282}, isbn = {978-1-60558-166-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sac/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sede/2009, title = {18th International Conference on Software Engineering and Data Engineering (SEDE-2009), June 22-24, 2009, Imperial Palace Hotel Las Vegas, Las Vegas, Nevada, USA, Proceedings}, publisher = {{ISCA}}, year = {2009}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sede/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2009, title = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5340904/proceeding}, isbn = {978-1-4244-2794-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vtc/2009s, title = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, publisher = {{IEEE}}, year = {2009}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vtc/2009s.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/kdd/2009kddcup, editor = {Gideon Dror and Marc Boull{\'{e}} and Isabelle Guyon and Vincent Lemaire and David Vogel}, title = {Proceedings of KDD-Cup 2009 competition, Paris, France, June 28, 2009}, series = {{JMLR} Proceedings}, volume = {7}, publisher = {JMLR.org}, year = {2009}, url = {http://proceedings.mlr.press/v7/}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/kdd/2009kddcup.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2008, title = {Proceedings of the 32nd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2008, 28 July - 1 August 2008, Turku, Finland}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4591502/proceeding}, isbn = {978-0-7695-3262-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csreaEEE/2008, editor = {Hamid R. Arabnia and Azita Bahrami}, title = {Proceedings of the 2008 International Conference on E-Learning, E-Business, Enterprise Information Systems, and E-Government, {EEE} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2008}, isbn = {1-60132-063-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/csreaEEE/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ddecs/2008, editor = {Bernd Straube and Milos Drutarovsk{\'{y}} and Michel Renovell and Peter Gramata and M{\'{a}}ria Fischerov{\'{a}}}, title = {Proceedings of the 11th {IEEE} Workshop on Design {\&} Diagnostics of Electronic Circuits {\&} Systems {(DDECS} 2008), Bratislava, Slovakia, April 16-18, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4534845/proceeding}, isbn = {978-1-4244-2276-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/humanoids/2008, title = {8th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2008, Daejeon, South Korea, December 1-3, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4747411/proceeding}, isbn = {978-1-4244-2821-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2008, title = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, {USA}}, publisher = {{IEEE}}, year = {2008}, isbn = {1-4244-1484-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icnsc/2008, title = {Proceedings of the {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2008, Hainan, China, 6-8 April 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4489617/proceeding}, isbn = {978-1-4244-1685-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icwl/2008, editor = {Frederick W. B. Li and Jianmin Zhao and Timothy K. Shih and Rynson W. H. Lau and Qing Li and Dennis McLeod}, title = {Advances in Web Based Learning - {ICWL} 2008, 7th International Conference, Jinhua, China, August 20-22, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5145}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85033-5}, doi = {10.1007/978-3-540-85033-5}, isbn = {978-3-540-85032-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icwl/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2008, title = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4534149/proceeding}, isbn = {978-1-4244-1683-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscc/2008, title = {Proceedings of the 13th {IEEE} Symposium on Computers and Communications {(ISCC} 2008), July 6-9, Marrakech, Morocco}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4607772/proceeding}, isbn = {978-1-4244-2702-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2008, title = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4497158/proceeding}, isbn = {978-1-4244-2010-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/serp/2008, editor = {Hamid R. Arabnia and Hassan Reza}, title = {Proceedings of the 2008 International Conference on Software Engineering Research {\&} Practice, {SERP} 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes}, publisher = {{CSREA} Press}, year = {2008}, isbn = {1-60132-088-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/serp/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2008, title = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4803719/proceeding}, isbn = {978-1-4244-2383-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ssiri/2008, title = {Second International Conference on Secure System Integration and Reliability Improvement, {SSIRI} 2008, July 14-17, 2008, Yokohama, Japan}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4579773/proceeding}, isbn = {978-0-7695-3266-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ssiri/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2007, title = {31st Annual International Computer Software and Applications Conference, {COMPSAC} 2007, Beijing, China, July 24-27, 2007. Volume 1}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=4290963}, isbn = {978-0-7695-2870-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csreaSAM/2007, editor = {Selim Aissi and Hamid R. Arabnia}, title = {Proceedings of the 2007 International Conference on Security {\&} Management, {SAM} 2007, Las Vegas, Nevada, USA, June 25-28, 2007}, publisher = {{CSREA} Press}, year = {2007}, isbn = {1-60132-048-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/csreaSAM/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/euc/2007w, editor = {Mieso K. Denko and Chi{-}Sheng Shih and Kuan{-}Ching Li and Shiao{-}Li Tsao and Qing{-}An Zeng and Soo{-}Hyun Park and Young{-}Bae Ko and Shih{-}Hao Hung and Jong Hyuk Park}, title = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2007 Workshops: TRUST, WSOC, NCUS, UUWSN, USN, ESO, and SECUBIQ, Taipei, Taiwan, December 17-20, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4809}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77090-9}, doi = {10.1007/978-3-540-77090-9}, isbn = {978-3-540-77089-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/euc/2007w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/eusipco/2007, title = {15th European Signal Processing Conference, {EUSIPCO} 2007, Poznan, Poland, September 3-7, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/7067185/proceeding}, isbn = {978-839-2134-04-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hci/2007-9, editor = {Michael J. Smith and Gavriel Salvendy}, title = {Human Interface and the Management of Information. Interacting in Information Environments, Symposium on Human Interface 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4558}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73354-6}, doi = {10.1007/978-3-540-73354-6}, isbn = {978-3-540-73353-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/2007-9.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icalt/2007, editor = {J. Michael Spector and Demetrios G. Sampson and Toshio Okamoto and Kinshuk and Stefano A. Cerri and Maomi Ueno and Akihiro Kashihara}, title = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4280926/proceeding}, isbn = {978-0-7695-2916-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icalt/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2007, title = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4252534/proceeding}, isbn = {1-4244-0920-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2007, title = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4242240/proceeding}, isbn = {1-4244-0853-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2007, title = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4413560/proceeding}, isbn = {978-1-4244-0990-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2007, title = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, publisher = {{IEEE}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4204175/proceeding}, isbn = {1-4244-0658-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/webi/2007, title = {2007 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2007, 2-5 November 2007, Silicon Valley, CA, USA, Main Conference Proceedings}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4427043/proceeding}, isbn = {0-7695-3026-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/webi/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aina/2006, title = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/10777/proceeding}, isbn = {0-7695-2466-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aina/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/aspdac/2006, editor = {Fumiyasu Hirose}, title = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/10626/proceeding}, doi = {10.1145/1118299}, isbn = {0-7803-9451-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2006, title = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4114893/proceeding}, isbn = {1-4244-0075-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2006, editor = {Georges G. E. Gielen}, title = {Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, {DATE} 2006, Munich, Germany, March 6-10, 2006}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11014/proceeding}, isbn = {3-9810801-0-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fuzzIEEE/2006, title = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2006, Vancouver, BC, Canada, July 16-21, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11093/proceeding}, isbn = {0-7803-9488-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icadl/2006, editor = {Shigeo Sugimoto and Jane Hunter and Andreas Rauber and Atsuyuki Morishima}, title = {Digital Libraries: Achievements, Challenges and Opportunities, 9th International Conference on Asian Digital Libraries, {ICADL} 2006, Kyoto, Japan, November 27-30, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4312}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11931584}, doi = {10.1007/11931584}, isbn = {3-540-49375-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icadl/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icc/2006, title = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2006, Istanbul, Turkey, 11-15 June 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4024074/proceeding}, isbn = {1-4244-0355-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2006, editor = {Soha Hassoun}, title = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501}, doi = {10.1145/1233501}, isbn = {1-59593-389-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2006, title = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4087812/proceeding}, isbn = {0-7803-9509-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispa/2006w, editor = {Geyong Min and Beniamino Di Martino and Laurence Tianruo Yang and Minyi Guo and Gudula R{\"{u}}nger}, title = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4331}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11942634}, doi = {10.1007/11942634}, isbn = {3-540-49860-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispa/2006w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2006, title = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11149/proceeding}, isbn = {1-4244-0079-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/jcis/2006, title = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, isbn = {90-78677-01-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/jcis/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sips/2006, title = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, publisher = {{IEEE}}, year = {2006}, isbn = {1-4244-0382-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sips/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/smc/2006, title = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/4273787/proceeding}, isbn = {1-4244-0099-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/smc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/uic/2006, editor = {Jianhua Ma and Hai Jin and Laurence Tianruo Yang and Jeffrey J. P. Tsai}, title = {Ubiquitous Intelligence and Computing, Third International Conference, {UIC} 2006, Wuhan, China, September 3-6, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4159}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11833529}, doi = {10.1007/11833529}, isbn = {3-540-38091-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/uic/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cicc/2005, title = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10489/proceeding}, isbn = {0-7803-9023-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cicc/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dms/2005, editor = {Angela Guercio and Timothy Arndt}, title = {Proceedings of the 11th International Conference on Distributed Multimedia Systems, {DMS} 2005, September 5-7, 2005, Fairmont Banff Springs Hotel, Banff, Alberta, Canada}, publisher = {Knowledge Systems Institute}, year = {2005}, isbn = {1-891706-17-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/dms/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gca/2005, editor = {Hamid R. Arabnia and Jun Ni}, title = {Proceedings of The 2005 International Conference on Grid Computing and Applications, {GCA} 2005, Las Vegas, Nevada, USA, June 20-23, 2005}, publisher = {{CSREA} Press}, year = {2005}, isbn = {1-932415-57-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gca/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icalt/2005, title = {Proceedings of the 5th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2005, Kaohsiung, Taiwan, July 5-8, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10084/proceeding}, isbn = {0-7695-2338-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icalt/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2005, title = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/9711/proceeding}, isbn = {0-7803-8874-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2005, title = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10431/proceeding}, isbn = {0-7803-9254-X}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/igarss/2005, title = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10226/proceeding}, isbn = {0-7803-9050-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/igarss/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/2005, title = {9th European Conference on Speech Communication and Technology, INTERSPEECH-Eurospeech 2005, Lisbon, Portugal, September 4-8, 2005}, publisher = {{ISCA}}, year = {2005}, url = {https://doi.org/10.21437/Interspeech.2005}, doi = {10.21437/INTERSPEECH.2005}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispa/2005, editor = {Yi Pan and Daoxu Chen and Minyi Guo and Jiannong Cao and Jack J. Dongarra}, title = {Parallel and Distributed Processing and Applications, Third International Symposium, {ISPA} 2005, Nanjing, China, November 2-5, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3758}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11576235}, doi = {10.1007/11576235}, isbn = {3-540-29769-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispa/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itcc/2005-1, title = {International Symposium on Information Technology: Coding and Computing {(ITCC} 2005), Volume 1, 4-6 April 2005, Las Vegas, Nevada, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=30835}, isbn = {0-7695-2315-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/itcc/2005-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itre/2005, title = {{ITRE} 2005 - 3rd International Conference on Information Technology: Research and Education, June 27-30 2005, Hsinchu, Taiwan, Proceedings}, publisher = {{IEEE}}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10051/proceeding}, isbn = {0-7803-8933-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/itre/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mtdt/2005, title = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10032/proceeding}, isbn = {0-7695-2313-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/mtdt/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rtcsa/2005, title = {11th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2005), 17-19 August 2005, Hong Kong, China}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10343/proceeding}, isbn = {0-7695-2346-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/www/2005si, editor = {Allan Ellis and Tatsuya Hagino}, title = {Proceedings of the 14th international conference on World Wide Web, {WWW} 2005, Chiba, Japan, May 10-14, 2005 - Special interest tracks and posters}, publisher = {{ACM}}, year = {2005}, isbn = {1-59593-051-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/www/2005si.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cata/2004, editor = {Bidyut Gupta}, title = {19th International Conference on Computers and Their Applications, {CATA} 2004, March 18-20, 2004, Red Lion Hotel on Fifth Avenue, Seattle, Washington, {USA}}, publisher = {{ISCA}}, year = {2004}, isbn = {1-880843-50-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/cata/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2004, title = {28th International Computer Software and Applications Conference {(COMPSAC} 2004), Design and Assessment of Trustworthy Software-Based Systems, 27-30 September 2004, Hong Kong, China, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=29570}, isbn = {0-7695-2209-2}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcsw/2004, title = {24th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://ieeexplore.ieee.org/xpl/conhome/9027/proceeding}, isbn = {0-7695-2087-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icdcsw/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2004, title = {Proceedings of the 2004 {IEEE} International Conference on Multimedia and Expo, {ICME} 2004, 27-30 June 2004, Taipei, Taiwan}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICME.2004}, doi = {10.1109/ICME.2004}, isbn = {0-7803-8603-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ispa/2004, editor = {Jiannong Cao and Laurence Tianruo Yang and Minyi Guo and Francis Chi{-}Moon Lau}, title = {Parallel and Distributed Processing and Applications, Second InternationalSymposium, {ISPA} 2004, Hong Kong, China, December 13-15, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3358}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b104574}, doi = {10.1007/B104574}, isbn = {3-540-24128-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ispa/2004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icassp/2003, title = {2003 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '03, Hong Kong, April 6-10, 2003}, publisher = {{IEEE}}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8535/proceeding}, isbn = {0-7803-7663-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2003, title = {Proceedings of the 2003 {IEEE} International Conference on Multimedia and Expo, {ICME} 2003, 6-9 July 2003, Baltimore, MD, {USA}}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=27433}, isbn = {0-7803-7965-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icoin/2003, editor = {Hyun{-}Kook Kahng}, title = {Information Networking, Networking Technologies for Enhanced Internet Services International Conference, {ICOIN} 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {2662}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/b13389}, doi = {10.1007/B13389}, isbn = {3-540-40827-4}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icoin/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icra/2003, title = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, publisher = {{IEEE}}, year = {2003}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icra/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/interspeech/2003, title = {8th European Conference on Speech Communication and Technology, {EUROSPEECH} 2003 - {INTERSPEECH} 2003, Geneva, Switzerland, September 1-4, 2003}, publisher = {{ISCA}}, year = {2003}, url = {https://doi.org/10.21437/Eurospeech.2003}, doi = {10.21437/EUROSPEECH.2003}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2003, title = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, publisher = {{IEEE}}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8570/proceeding}, isbn = {0-7803-7761-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ismse/2003, title = {Fifth International Symposium on Multimedia Software Engineering, {ISMSE} 2003, Taichung, Taiwan, December 10-12, 2003}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8884/proceeding}, isbn = {0-7695-2031-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/ismse/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pakdd/2003, editor = {Kyu{-}Young Whang and Jongwoo Jeon and Kyuseok Shim and Jaideep Srivastava}, title = {Advances in Knowledge Discovery and Data Mining, 7th Pacific-Asia Conference, {PAKDD} 2003, Seoul, Korea, April 30 - May 2, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2637}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-36175-8}, doi = {10.1007/3-540-36175-8}, isbn = {3-540-04760-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/pimrc/2003, title = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, publisher = {{IEEE}}, year = {2003}, url = {https://ieeexplore.ieee.org/xpl/conhome/8905/proceeding}, isbn = {0-7803-7822-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/apccas/2002, title = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS} 2002, Singapore, 16-18 December 2002}, publisher = {{IEEE}}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8182/proceeding}, isbn = {0-7803-7690-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/apccas/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/compsac/2002, title = {26th International Computer Software and Applications Conference {(COMPSAC} 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8094/proceeding}, isbn = {0-7695-1727-7}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/compsac/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2002, title = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7834/proceeding}, isbn = {0-7695-1471-5}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/date/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vcip/2002, editor = {C.{-}C. Jay Kuo}, title = {Visual Communications and Image Processing 2002, San Jose, CA, USA, January 19, 2002}, series = {Proceedings of {SPIE}}, volume = {4671}, publisher = {{SPIE}}, year = {2002}, url = {http://proceedings.spiedigitallibrary.org/volume.aspx?volume=4671}, isbn = {0-8194-4411-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vcip/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcnc/2002, title = {2002 {IEEE} Wireless Communications and Networking Conference Record, {WCNC} 2002, Orlando, Florida, USA, MArch 17-21, 2002}, publisher = {{IEEE}}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/7793/proceeding}, isbn = {0-7803-7376-6}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gcb/2001, editor = {Edgar Wingender}, title = {Computer science and biology: Proceedings of the German Conference on Bioinformatics, {GCB} 2001, October 7-10, 2001, Braunschweig, Germany}, publisher = {German Research Center for Biotechnology}, year = {2001}, isbn = {3-00-008114-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/gcb/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icip/2001, title = {Proceedings of the 2001 International Conference on Image Processing, {ICIP} 2001, Thessaloniki, Greece, October 7-10, 2001}, publisher = {{IEEE}}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/7594/proceeding}, isbn = {0-7803-6725-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icip/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icmcs/2001, title = {Proceedings of the 2001 {IEEE} International Conference on Multimedia and Expo, {ICME} 2001, August 22-25, 2001, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://ieeexplore.ieee.org/xpl/conhome/8766/proceeding}, isbn = {0-7695-1198-8}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/2001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hpcn/2000, editor = {Marian Bubak and Hamideh Afsarmanesh and Roy Williams and Louis O. Hertzberger}, title = {High-Performance Computing and Networking, 8th International Conference, {HPCN} Europe 2000, Amsterdam, The Netherlands, May 8-10, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1823}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45492-6}, doi = {10.1007/3-540-45492-6}, isbn = {3-540-67553-1}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mse/2000, title = {2000 International Symposium on Multimedia Software Engineering, {ISMSE} 2000, Taipei, Taiwan, December 11-13, 2000}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/7214/proceeding}, isbn = {0-7695-0933-9}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/mse/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpr/1998, editor = {Anil K. Jain and Svetha Venkatesh and Brian C. Lovell}, title = {Fourteenth International Conference on Pattern Recognition, {ICPR} 1998, Brisbane, Australia, 16-20 August, 1998}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=15317}, isbn = {0-8186-8512-3}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icpr/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icpp/1991-1, title = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/icpp/1991-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sc/1990, editor = {Joanne L. Martin and Daniel V. Pryor and Gary R. Montry}, title = {Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://ieeexplore.ieee.org/xpl/conhome/297/proceeding}, isbn = {0-89791-412-0}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/sc/1990.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/vcip/1990, editor = {Murat Kunt}, title = {Visual Communications and Image Processing '90: Fifth in a Series, Visual Communications and Image Processing '90, Lausanne, Switzerland, 2-4 October 1990}, series = {{SPIE} Proceedings}, volume = {1360}, publisher = {{SPIE}}, year = {1990}, url = {https://www.spiedigitallibrary.org/conference-proceedings-of-SPIE/1360.toc}, isbn = {9780819404213}, timestamp = {Wed, 14 Aug 2024 21:20:28 +0200}, biburl = {https://dblp.org/rec/conf/vcip/1990.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.