Search dblp for Publications

export results for "toc:db/conf/itc/itc2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/itc/AbadirA19,
  author       = {Magdy Abadir and
                  Sohrab Aftabjahani},
  title        = {An Overview of the International Microprocessor/ SoC Test, Security
                  and Validation (MTV)Workshop},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000128},
  doi          = {10.1109/ITC44170.2019.9000128},
  timestamp    = {Mon, 24 Feb 2020 17:28:46 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AbadirA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AbadirA19a,
  author       = {Magdy Abadir and
                  Sohrab Aftabjahani},
  title        = {An Overview of the International Verification and Security Workshop
                  {(IVSW)}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000165},
  doi          = {10.1109/ITC44170.2019.9000165},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AbadirA19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AgboTH19,
  author       = {Innocent Okwudili Agbo and
                  Mottaqiallah Taouil and
                  Said Hamdioui},
  title        = {Reliability Modeling and Mitigation for Embedded Memories},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000175},
  doi          = {10.1109/ITC44170.2019.9000175},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AgboTH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BoschiLLSGHSZ19,
  author       = {Gabriele Boschi and
                  Donato Luongo and
                  Duccio Lazzarotti and
                  Hanna Shaheen and
                  Hayk T. Grigoryan and
                  Gurgen Harutyunyan and
                  Samvel K. Shoukourian and
                  Yervant Zorian},
  title        = {Memory {FIT} Rate Mitigation Technique for Automotive SoCs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000158},
  doi          = {10.1109/ITC44170.2019.9000158},
  timestamp    = {Mon, 03 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/BoschiLLSGHSZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChaudhuriLC19,
  author       = {Arjun Chaudhuri and
                  Mengyun Liu and
                  Krishnendu Chakrabarty},
  title        = {Fault-Tolerant Neuromorphic Computing Systems},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000146},
  doi          = {10.1109/ITC44170.2019.9000146},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChaudhuriLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChaudhuriYCC19,
  author       = {Arjun Chaudhuri and
                  Bonan Yan and
                  Yiran Chen and
                  Krishnendu Chakrabarty},
  title        = {Hardware Fault Tolerance for Binary {RRAM} Crossbars},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000179},
  doi          = {10.1109/ITC44170.2019.9000179},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ChaudhuriYCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChenC19,
  author       = {Tao Chen and
                  Degang Chen},
  title        = {Built-in self-test and self-calibration for analog and mixed signal
                  circuits},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000120},
  doi          = {10.1109/ITC44170.2019.9000120},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ChenC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChenLCW19,
  author       = {Hao Chen and
                  Mincent Lee and
                  Liang{-}Yen Chen and
                  Min{-}Jer Wang},
  title        = {High Quality Test Methodology for Highly Reliable Devices},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000174},
  doi          = {10.1109/ITC44170.2019.9000174},
  timestamp    = {Wed, 26 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ChenLCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChowdhuryGBMF19,
  author       = {Sreeja Chowdhury and
                  Fatemeh Ganji and
                  Troy Bryant and
                  Nima Maghari and
                  Domenic Forte},
  title        = {Recycled Analog and Mixed Signal Chip Detection at Zero Cost Using
                  {LDO} Degradation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000118},
  doi          = {10.1109/ITC44170.2019.9000118},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChowdhuryGBMF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChuH19,
  author       = {Wei Chu and
                  Shi{-}Yu Huang},
  title        = {Overall Strategy for Online Clock System Checking Supporting Heterogeneous
                  Integration},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000116},
  doi          = {10.1109/ITC44170.2019.9000116},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChuH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DamljanovicJPSS19,
  author       = {Aleksa Damljanovic and
                  Artur Jutman and
                  Michele Portolan and
                  Ernesto S{\'{a}}nchez and
                  Giovanni Squillero and
                  Anton Tsertov},
  title        = {Simulation-based Equivalence Checking between {IEEE} 1687 {ICL} and
                  {RTL}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000181},
  doi          = {10.1109/ITC44170.2019.9000181},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/DamljanovicJPSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DobbelaereCCVXG19,
  author       = {Wim Dobbelaere and
                  Frederik Colle and
                  Anthony Coyette and
                  Ronny Vanhooren and
                  Nektar Xama and
                  Jhon Gomez and
                  Georges G. E. Gielen},
  title        = {Applying Vstress and defect activation coverage to produce zero-defect
                  mixed-signal automotive ICs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000123},
  doi          = {10.1109/ITC44170.2019.9000123},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/DobbelaereCCVXG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DuncanRLFT19,
  author       = {Adam Duncan and
                  Fahim Rahman and
                  Andrew Lukefahr and
                  Farimah Farahmandi and
                  Mark M. Tehranipoor},
  title        = {{FPGA} Bitstream Security: {A} Day in the Life},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000145},
  doi          = {10.1109/ITC44170.2019.9000145},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DuncanRLFT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DuvalsaintJNB19,
  author       = {Danielle Duvalsaint and
                  Xiaoxiao Jin and
                  Benjamin Niewenhuis and
                  R. D. (Shawn) Blanton},
  title        = {Characterization of Locked Combinational Circuits via {ATPG}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000130},
  doi          = {10.1109/ITC44170.2019.9000130},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DuvalsaintJNB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Eggersgluss19,
  author       = {Stephan Eggersgl{\"{u}}{\ss}},
  title        = {Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT
                  Techniques},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000152},
  doi          = {10.1109/ITC44170.2019.9000152},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Eggersgluss19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/EggersglussHJMR19,
  author       = {Stephan Eggersgl{\"{u}}{\ss} and
                  Said Hamdioui and
                  Artur Jutman and
                  Maria K. Michael and
                  Jaan Raik and
                  Matteo Sonza Reorda and
                  Mehdi Baradaran Tahoori and
                  Elena Ioana Vatajelu},
  title        = {{IEEE} European Test Symposium {(ETS)}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000148},
  doi          = {10.1109/ITC44170.2019.9000148},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/EggersglussHJMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/EnyediM19,
  author       = {Szil{\'{a}}rd Enyedi and
                  Liviu Miclea},
  title        = {{IEEE} International Conference on Automation, Quality and Testing,
                  Robotics {(AQTR)}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000144},
  doi          = {10.1109/ITC44170.2019.9000144},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/EnyediM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/FarzanaRTF19,
  author       = {Nusrat Farzana and
                  Fahim Rahman and
                  Mark M. Tehranipoor and
                  Farimah Farahmandi},
  title        = {SoC Security Verification using Property Checking},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000170},
  doi          = {10.1109/ITC44170.2019.9000170},
  timestamp    = {Tue, 30 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/FarzanaRTF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/FiebackWMARMTH19,
  author       = {Moritz Fieback and
                  Lizhou Wu and
                  Guilherme Cardoso Medeiros and
                  Hassen Aziza and
                  Siddharth Rao and
                  Erik Jan Marinissen and
                  Mottaqiallah Taouil and
                  Said Hamdioui},
  title        = {Device-Aware Test: {A} New Test Approach Towards {DPPB} Level},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000134},
  doi          = {10.1109/ITC44170.2019.9000134},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/FiebackWMARMTH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/FloridiaPRSLM19,
  author       = {Andrea Floridia and
                  Davide Piumatti and
                  Annachiara Ruospo and
                  Ernesto S{\'{a}}nchez and
                  Sergio de Luca and
                  Rosario Martorana},
  title        = {A Decentralized Scheduler for On-line Self-test Routines in Multi-core
                  Automotive System-on-Chips},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000129},
  doi          = {10.1109/ITC44170.2019.9000129},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/FloridiaPRSLM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ForteBKPT19,
  author       = {Domenic Forte and
                  Swarup Bhunia and
                  Ramesh Karri and
                  Jim Plusquellic and
                  Mark M. Tehranipoor},
  title        = {{IEEE} International Symposium on Hardware Oriented Security and Trust
                  {(HOST):} Past, Present, and Future},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000111},
  doi          = {10.1109/ITC44170.2019.9000111},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ForteBKPT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/GaoMHSBHCGM19,
  author       = {Zhan Gao and
                  Santosh Malagi and
                  Min{-}Chun Hu and
                  Joe Swenton and
                  Rogier Baert and
                  Jos Huisken and
                  Bilal Chehab and
                  Kees Goossens and
                  Erik Jan Marinissen},
  title        = {Application of Cell-Aware Test on an Advanced 3nm {CMOS} Technology
                  Library},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000164},
  doi          = {10.1109/ITC44170.2019.9000164},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/GaoMHSBHCGM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/GebregiorgisT19,
  author       = {Anteneh Gebregiorgis and
                  Mehdi Baradaran Tahoori},
  title        = {Testing of Neuromorphic Circuits: Structural vs Functional},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000110},
  doi          = {10.1109/ITC44170.2019.9000110},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/GebregiorgisT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HamdiouiFNT19,
  author       = {Said Hamdioui and
                  Moritz Fieback and
                  Surya Nagarajan and
                  Mottaqiallah Taouil},
  title        = {Testing Computation-in-Memory Architectures Based on Emerging Memories},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000117},
  doi          = {10.1109/ITC44170.2019.9000117},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/HamdiouiFNT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/He19,
  author       = {Chen He},
  title        = {Advanced Burn-In - An Optimized Product Stress and Test Flow for Automotive
                  Microcontrollers},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000147},
  doi          = {10.1109/ITC44170.2019.9000147},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/He19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HeL19,
  author       = {Yi He and
                  Yanjing Li},
  title        = {Time-Slicing Soft Error Resilience in Microprocessors for Reliable
                  and Energy-Efficient Execution},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000180},
  doi          = {10.1109/ITC44170.2019.9000180},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HeL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HolstSKWW19,
  author       = {Stefan Holst and
                  Eric Schneider and
                  Michael A. Kochte and
                  Xiaoqing Wen and
                  Hans{-}Joachim Wunderlich},
  title        = {Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000143},
  doi          = {10.1109/ITC44170.2019.9000143},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HolstSKWW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HuangLTCWJCL19,
  author       = {Andrew Yi{-}Ann Huang and
                  Katherine Shu{-}Min Li and
                  Cheng{-}Yen Tsai and
                  Ken Chau{-}Cheung Cheng and
                  Sying{-}Jyan Wang and
                  Xu{-}Hao Jiang and
                  Leon Chou and
                  Chen{-}Shiun Lee},
  title        = {TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000166},
  doi          = {10.1109/ITC44170.2019.9000166},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/HuangLTCWJCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/IbrahimK19,
  author       = {Ahmed M. Y. Ibrahim and
                  Hans G. Kerkhoff},
  title        = {{DARS:} An {EDA} Framework for Reliability and Functional Safety Management
                  of System-on-Chips},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000112},
  doi          = {10.1109/ITC44170.2019.9000112},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/IbrahimK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/IchiyamaKI19,
  author       = {Kiyotaka Ichiyama and
                  Takashi Kusaka and
                  Masahiro Ishida},
  title        = {A Jitter Injection Module for Production Test of 52-Gbps {PAM4} Signal
                  Interfaces},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000138},
  doi          = {10.1109/ITC44170.2019.9000138},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/IchiyamaKI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/IkedaSLMXN19,
  author       = {Kosuke Ikeda and
                  Keith Schaub and
                  Ira Leventhal and
                  Yiorgos Makris and
                  Constantinos Xanthopoulos and
                  Deepika Neethirajan},
  title        = {Subtle Anomaly Detection of Microscopic Probes using Deep learning
                  based Image Completion},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000126},
  doi          = {10.1109/ITC44170.2019.9000126},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/IkedaSLMXN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/InoueLW19,
  author       = {Michiko Inoue and
                  Xiaowei Li and
                  Cheng{-}Wen Wu},
  title        = {Asian Test Symposium - Past, Present and Future -},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000151},
  doi          = {10.1109/ITC44170.2019.9000151},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/InoueLW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/JayasankaranSAS19,
  author       = {Nithyashankari Gummidipoondi Jayasankaran and
                  Adriana C. Sanabria{-}Borbon and
                  Amr Abuellil and
                  Edgar S{\'{a}}nchez{-}Sinencio and
                  Jiang Hu and
                  Jeyavijayan Rajendran},
  title        = {Breaking Analog Locking Techniques via Satisfiability Modulo Theories},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000113},
  doi          = {10.1109/ITC44170.2019.9000113},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/JayasankaranSAS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KimLY19,
  author       = {Jisuk Kim and
                  Jinyub Lee and
                  Sungjoo Yoo},
  title        = {Machine Learning-Based Automatic Generation of eFuse Configuration
                  in {NAND} Flash Chip},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000162},
  doi          = {10.1109/ITC44170.2019.9000162},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KimLY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KoganA19,
  author       = {Tal Kogan and
                  Yehonatan Abotbol},
  title        = {Virtual Memory Structures Facilitating Memory {BIST} Insertion In
                  Complex SoCs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000153},
  doi          = {10.1109/ITC44170.2019.9000153},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KoganA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LarssonMK19,
  author       = {Erik Larsson and
                  Prathamesh Murali and
                  Gani Kumisbek},
  title        = {{IEEE} Std. {P1687.1:} Translator and Protocol},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000135},
  doi          = {10.1109/ITC44170.2019.9000135},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/LarssonMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LeeHLIZ19,
  author       = {Kuen{-}Jong Lee and
                  Shi{-}Yu Huang and
                  Huawei Li and
                  Tomoo Inoue and
                  Yervant Zorian},
  title        = {International Test Conference in Asia (ITC-Asia) - Bridging {ITC}
                  and Test Community in Asia},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000177},
  doi          = {10.1109/ITC44170.2019.9000177},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LeeHLIZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiLH19,
  author       = {Huawei Li and
                  Xiaowei Li and
                  Yinhe Han},
  title        = {China Test Conference {(CTC)} - Extending the Global Test Forum to
                  China},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000121},
  doi          = {10.1109/ITC44170.2019.9000121},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/LiLH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiangCK19,
  author       = {Tung{-}Che Liang and
                  Krishnendu Chakrabarty and
                  Ramesh Karri},
  title        = {Programmable Daisychaining of Microelectrodes for {IP} Protection
                  in {MEDA} Biochips},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000167},
  doi          = {10.1109/ITC44170.2019.9000167},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiangCK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiuHFB19,
  author       = {Zeye Liu and
                  Qicheng Huang and
                  Chenlei Fang and
                  R. D. (Shawn) Blanton},
  title        = {Improving Test Chip Design Efficiency via Machine Learning},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000131},
  doi          = {10.1109/ITC44170.2019.9000131},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiuHFB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiuLCG19,
  author       = {Mengyun Liu and
                  Xin Li and
                  Krishnendu Chakrabarty and
                  Xinli Gu},
  title        = {Knowledge Transfer in Board-Level Functional Fault Identification
                  using Domain Adaptation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000172},
  doi          = {10.1109/ITC44170.2019.9000172},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiuLCG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LotfiHBRSBH19,
  author       = {Atieh Lotfi and
                  Saurabh Hukerikar and
                  Keshav Balasubramanian and
                  Paul Racunas and
                  Nirmal R. Saxena and
                  Richard Bramley and
                  Yanxiang Huang},
  title        = {Resiliency of automotive object detection networks on {GPU} architectures},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000150},
  doi          = {10.1109/ITC44170.2019.9000150},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LotfiHBRSBH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LylinaARSBW19,
  author       = {Natalia Lylina and
                  Ahmed Atteya and
                  Pascal Raiola and
                  Matthias Sauer and
                  Bernd Becker and
                  Hans{-}Joachim Wunderlich},
  title        = {Security Compliance Analysis of Reconfigurable Scan Networks},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000114},
  doi          = {10.1109/ITC44170.2019.9000114},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LylinaARSBW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MaazSH19,
  author       = {Mohammad Urf Maaz and
                  Alexander Sprenger and
                  Sybille Hellebrand},
  title        = {A Hybrid Space Compactor for Adaptive X-Handling},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000159},
  doi          = {10.1109/ITC44170.2019.9000159},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MaazSH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/McLaurinK19,
  author       = {Teresa McLaurin and
                  Rob Knoth},
  title        = {The Challenges of Implementing an {MBIST} Interface: {A} Practical
                  Application},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000157},
  doi          = {10.1109/ITC44170.2019.9000157},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/McLaurinK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MozaffariBNAPSC19,
  author       = {Seyed Nima Mozaffari and
                  Bonita Bhaskaran and
                  Kaushik Narayanun and
                  Ayub Abdollahian and
                  Vinod Pagalone and
                  Shantanu Sarangi and
                  Jonathon E. Colburn},
  title        = {An Efficient Supervised Learning Method to Predict Power Supply Noise
                  During At-speed Test},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000171},
  doi          = {10.1109/ITC44170.2019.9000171},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MozaffariBNAPSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MukherjeeTTSLMM19,
  author       = {Nilanjan Mukherjee and
                  Jerzy Tyszer and
                  Daniel Tille and
                  Mahendar Sapati and
                  Yingdi Liu and
                  Jeffrey Mayer and
                  Sylwester Milewski and
                  Elham K. Moghaddam and
                  Janusz Rajski and
                  Jedrzej Solecki},
  title        = {Test Time and Area Optimized BrST Scheme for Automotive ICs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000133},
  doi          = {10.1109/ITC44170.2019.9000133},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MukherjeeTTSLMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NienWLCCCLKC19,
  author       = {Yu{-}Teng Nien and
                  Kai{-}Chiang Wu and
                  Dong{-}Zhen Lee and
                  Ying{-}Yen Chen and
                  Po{-}Lin Chen and
                  Mason Chern and
                  Jih{-}Nung Lee and
                  Shu{-}Yi Kao and
                  Mango Chia{-}Tso Chao},
  title        = {Methodology of Generating Timing-Slack-Based Cell-Aware Tests},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000119},
  doi          = {10.1109/ITC44170.2019.9000119},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/NienWLCCCLKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/OckunzziGKTPJ19,
  author       = {Kelly Ockunzzi and
                  Richard Grupp and
                  Brion Keller and
                  Mark Taylor and
                  Sreekanth Pai and
                  Greeshma Jayakumar},
  title        = {Applications of Hierarchical Test},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000156},
  doi          = {10.1109/ITC44170.2019.9000156},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/OckunzziGKTPJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/OmuroSKO19,
  author       = {Toshiyuki Omuro and
                  Shigeo Nakamura Surname and
                  Takashi Kimura and
                  Kiyokawa Omuro},
  title        = {A New Test Method for the Large Current Magnetic Sensors},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000142},
  doi          = {10.1109/ITC44170.2019.9000142},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/OmuroSKO19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/PandeyGLNSC19,
  author       = {Sujay Pandey and
                  Sanya Gupta and
                  Madhu Sudhan L. and
                  Suriya Natarajan and
                  Arani Sinha and
                  Abhijit Chatterjee},
  title        = {Characterization of Library Cells for Open-circuit Defect Exposure:
                  {A} Systematic Methodology},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000154},
  doi          = {10.1109/ITC44170.2019.9000154},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/PandeyGLNSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/PapameletisCFSC19,
  author       = {Christos Papameletis and
                  Vivek Chickermane and
                  Brian Foutz and
                  Sarthak Singhal and
                  Krishna Chakravadhanula},
  title        = {Optimized Physical {DFT} Synthesis of Unified Compression and {LBIST}
                  for Automotive Applications},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000115},
  doi          = {10.1109/ITC44170.2019.9000115},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/PapameletisCFSC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Pomeranz19,
  author       = {Irith Pomeranz},
  title        = {Iterative Test Generation for Gate-Exhaustive Faults to Cover the
                  Sites of Undetectable Target Faults},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000124},
  doi          = {10.1109/ITC44170.2019.9000124},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Pomeranz19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Pomeranz19a,
  author       = {Irith Pomeranz},
  title        = {Compaction of a Functional Broadside Test Set through the Compaction
                  of a Functional Test Sequence without Sequential Fault Simulation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000161},
  doi          = {10.1109/ITC44170.2019.9000161},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Pomeranz19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ReddyBM19,
  author       = {Gaurav Rajavendra Reddy and
                  Mohammad{-}Mahdi Bidmeshki and
                  Yiorgos Makris},
  title        = {{VIPER:} {A} Versatile and Intuitive Pattern GenERator for Early Design
                  Space Exploration},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000169},
  doi          = {10.1109/ITC44170.2019.9000169},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ReddyBM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/RojasHC19,
  author       = {Luis D. Rojas and
                  Kevin Hess and
                  Christina Carter{-}Brown},
  title        = {Effectively Using Machine Learning to Expedite System Level Test Failure
                  Debug},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000163},
  doi          = {10.1109/ITC44170.2019.9000163},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/RojasHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SchromHMVKF19,
  author       = {Gerhard Schrom and
                  Michael J. Hill and
                  Sarath Makala and
                  Ravi Sankar Vunnam and
                  Arun Krishnamoorthy and
                  Ryan Ferguson},
  title        = {Efficiency Measurement Method for Fully Integrated Voltage Regulators
                  used in 4\({}^{\mbox{th}}\) and 5\({}^{\mbox{th}}\) Generation Intel{\textregistered}
                  Core{\texttrademark} Microprocessors},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000125},
  doi          = {10.1109/ITC44170.2019.9000125},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/SchromHMVKF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShanWWS19,
  author       = {Chuanhe Jay Shan and
                  Ahmed Wahba and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Deploying {A} Machine Learning Solution As {A} Surrogate},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000109},
  doi          = {10.1109/ITC44170.2019.9000109},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ShanWWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShenLHW19,
  author       = {Cheng{-}Hsien Shen and
                  Aaron C.{-}W. Liang and
                  Charles C.{-}H. Hsu and
                  Charles H.{-}P. Wen},
  title        = {{FAE:} Autoencoder-Based Failure Binning of {RTL} Designs for Verification
                  and Debugging},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000178},
  doi          = {10.1109/ITC44170.2019.9000178},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ShenLHW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShoukourianSS19,
  author       = {Samvel K. Shoukourian and
                  Yuri Shoukourian and
                  Vladimir Sahakyan},
  title        = {Armenia: Communicating to World Community in Electronic Test and Design},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000139},
  doi          = {10.1109/ITC44170.2019.9000139},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ShoukourianSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Singh19,
  author       = {Adit D. Singh},
  title        = {An Adaptive Approach to Minimize System Level Tests Targeting Low
                  Voltage {DVFS} Failures},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000173},
  doi          = {10.1109/ITC44170.2019.9000173},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Singh19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/StamenkovicBCNP19,
  author       = {Zoran Stamenkovic and
                  Alberto Bosio and
                  Gy{\"{o}}rgy Cserey and
                  Ondrej Nov{\'{a}}k and
                  Witold A. Pleskacz and
                  Luk{\'{a}}s Sekanina and
                  Andreas Steininger and
                  Goran Stojanovic and
                  Viera Stopjakov{\'{a}}},
  title        = {International Symposium on Design and Diagnostics of Electronic Circuits
                  and Systems},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000137},
  doi          = {10.1109/ITC44170.2019.9000137},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/StamenkovicBCNP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SuGZ19,
  author       = {Fei Su and
                  Prashant Goteti and
                  Min Zhang},
  title        = {On Freedom from Interference in Mixed-Criticality Systems: {A} Causal
                  Learning Approach},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000160},
  doi          = {10.1109/ITC44170.2019.9000160},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SuGZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Sunter19,
  author       = {Stephen Sunter},
  title        = {Efficient Analog Defect Simulation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000141},
  doi          = {10.1109/ITC44170.2019.9000141},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Sunter19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/VashisthaRPA19,
  author       = {Nidish Vashistha and
                  M. Tanjidur Rahman and
                  Olivia P. Paradis and
                  Navid Asadizanjani},
  title        = {Is Backside the New Backdoor in Modern SoCs?: Invited Paper},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000127},
  doi          = {10.1109/ITC44170.2019.9000127},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/VashisthaRPA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangG19,
  author       = {Fangzhou Wang and
                  Sandeep Gupta},
  title        = {Multi-cell characterization: Developing robust cells and abstraction
                  for Rapid Single Flux Quantum {(RSFQ)} Logic},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000132},
  doi          = {10.1109/ITC44170.2019.9000132},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/WangG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/XuA19,
  author       = {Zheng Xu and
                  Jacob Abraham},
  title        = {Safety Design of a Convolutional Neural Network Accelerator with Error
                  Localization and Correction},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000149},
  doi          = {10.1109/ITC44170.2019.9000149},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/XuA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/YangK19,
  author       = {Jingchi Yang and
                  David C. Keezer},
  title        = {A Framework for Design of Self-Repairing Digital Systems},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000155},
  doi          = {10.1109/ITC44170.2019.9000155},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/YangK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZhongC19,
  author       = {Zhanwei Zhong and
                  Krishnendu Chakrabarty},
  title        = {Fault Recovery in Micro-Electrode-Dot-Array Digital Microfluidic Biochips
                  Using an {IJTAG} NetworkBehaviors},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000176},
  doi          = {10.1109/ITC44170.2019.9000176},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZhongC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZhongZZHC19,
  author       = {Zhanwei Zhong and
                  Haodong Zhu and
                  Peiran Zhang and
                  Tony Jun Huang and
                  Krishnendu Chakrabarty},
  title        = {Structural Test and Functional Test for Digital Acoustofluidic Biochips},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000140},
  doi          = {10.1109/ITC44170.2019.9000140},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZhongZZHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZorianHCL19,
  author       = {Yervant Zorian and
                  Vladimir Hahanov and
                  Svetlana Chumachenko and
                  Eugenia Litvinova},
  title        = {17th {IEEE} East-West Design and Test Symposium},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000168},
  doi          = {10.1109/ITC44170.2019.9000168},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZorianHCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZorianSV19,
  author       = {Apik Zorian and
                  Basim Shanyour and
                  Milir Vaseekar},
  title        = {Machine Learning-Based {DFT} Recommendation System for {ATPG} {QOR}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000136},
  doi          = {10.1109/ITC44170.2019.9000136},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZorianSV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/itc/2019,
  title        = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8977396/proceeding},
  isbn         = {978-1-7281-4823-6},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics