default search action
Search dblp for Publications
export results for "Natarajan R"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/entcom/AoudniBNSRL25, author = {Yassine Aoudni and M. Balasubramani and K. Natarajan and R. S. Sabeenian and Vuda Sreenivasa Rao and P. N. S. Sesha Lakshmi}, title = {Advancing personalized human-robot interaction in the smart world through emotional {AI} in entertainment robots}, journal = {Entertain. Comput.}, volume = {52}, pages = {100770}, year = {2025}, url = {https://doi.org/10.1016/j.entcom.2024.100770}, doi = {10.1016/J.ENTCOM.2024.100770}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entcom/AoudniBNSRL25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShettyMPKMANGG24, author = {Nisha P. Shetty and Balachandra Muniyal and Aman Priyanshu and Dhruthi Kumar and Leander Melroy Maben and Yash Agrawal and Ruchita Natarajan and Shravya Gunda and Nitish Gupta}, title = {Protecting Your Online Persona: {A} Preferential Selective Encryption Approach for Enhanced Privacy in Tweets, Images, Memes, and Metadata}, journal = {{IEEE} Access}, volume = {12}, pages = {86403--86424}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3415663}, doi = {10.1109/ACCESS.2024.3415663}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShettyMPKMANGG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/RevathyMSV24, author = {J. Shanthalakshmi Revathy and N. Uma Maheswari and S. Sasikala and R. Venkatesh}, title = {Automatic diagnosis of mental illness using optimized dynamically stabilized recurrent neural network}, journal = {Biomed. Signal Process. Control.}, volume = {95}, pages = {106321}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2024.106321}, doi = {10.1016/J.BSPC.2024.106321}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/RevathyMSV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MakarovCKPKRNNN24, author = {Vladimir Makarov and Christophe Chabbert and Elina Koletou and Fotis E. Psomopoulos and Natalja Kurbatova and Samuel A. Ramirez and Chas Nelson and Prashant Natarajan and Bikalpa Neupane}, title = {Good machine learning practices: Learnings from the modern pharmaceutical discovery enterprise}, journal = {Comput. Biol. Medicine}, volume = {177}, pages = {108632}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108632}, doi = {10.1016/J.COMPBIOMED.2024.108632}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MakarovCKPKRNNN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/DeviNGFAK24, author = {S. Nirmala Devi and Rajesh Natarajan and Harinahalli Lokesh Gururaj and Francesco Flammini and Badria Sulaiman Alfurhood and Sujatha Krishna}, title = {Ridge Regressive Data Preprocessed Quantum Deep Belief Neural Network for Effective Trajectory Planning in Autonomous Vehicles}, journal = {Complex.}, volume = {2024}, year = {2024}, url = {https://doi.org/10.1155/2024/5948944}, doi = {10.1155/2024/5948944}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/DeviNGFAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csr/BoopathyLDVRMKGHP24, author = {Prabadevi Boopathy and Madhusanka Liyanage and Natarajan Deepa and Mounik Velavali and Shivani Reddy and Praveen Kumar Reddy Maddikunta and Neelu Khare and Thippa Reddy Gadekallu and Won{-}Joo Hwang and Quoc{-}Viet Pham}, title = {Deep learning for intelligent demand response and smart grids: {A} comprehensive survey}, journal = {Comput. Sci. Rev.}, volume = {51}, pages = {100617}, year = {2024}, url = {https://doi.org/10.1016/j.cosrev.2024.100617}, doi = {10.1016/J.COSREV.2024.100617}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csr/BoopathyLDVRMKGHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/KimNPSAM24, author = {Bubryur Kim and Yuvaraj Natarajan and K. R. Sri Preethaa and Sujeen Song and Jinwoo An and Sanjeev Mohan}, title = {Real-time assessment of surface cracks in concrete structures using integrated deep neural networks with autonomous unmanned aerial vehicle}, journal = {Eng. Appl. Artif. Intell.}, volume = {129}, pages = {107537}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107537}, doi = {10.1016/J.ENGAPPAI.2023.107537}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/KimNPSAM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijait/RavalPND24, author = {Sanket Raval and Nilesh Patel and Thangadurai Natarajan and Sanchari Deb}, title = {Resolving Charging Station Placement Issues for Electric Vehicles: Hybrid Optimization-Assisted Multi-Objective Framework}, journal = {Int. J. Artif. Intell. Tools}, volume = {33}, number = {4}, pages = {2350073:1--2350073:30}, year = {2024}, url = {https://doi.org/10.1142/S0218213023500732}, doi = {10.1142/S0218213023500732}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijait/RavalPND24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/NatarajanVMAKMA24, author = {Krishnamoorthy Natarajan and V. Vinoth Kumar and T. R. Mahesh and Mohamed Abbas and Nirmaladevi Kathamuthu and E. Mohan and Jonnakuti Rajkumar Annad}, title = {Efficient Heart Disease Classification Through Stacked Ensemble with Optimized Firefly Feature Selection}, journal = {Int. J. Comput. Intell. Syst.}, volume = {17}, number = {1}, pages = {174}, year = {2024}, url = {https://doi.org/10.1007/s44196-024-00538-0}, doi = {10.1007/S44196-024-00538-0}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/NatarajanVMAKMA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfomandi/NatarajanRMGFT24, author = {Rajesh Natarajan and Christodoss Prasanna Ranjith and M. Syed Khaja Mohideen and H. L. Gururaj and Francesco Flammini and N. Thangarasu}, title = {Utilizing a machine learning algorithm to choose a significant traffic identification system}, journal = {Int. J. Inf. Manag. Data Insights}, volume = {4}, number = {1}, pages = {100218}, year = {2024}, url = {https://doi.org/10.1016/j.jjimei.2024.100218}, doi = {10.1016/J.JJIMEI.2024.100218}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfomandi/NatarajanRMGFT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/GayathriMVA24, author = {K. Gayathri and N. Uma Maheswari and R. Venkatesh and Ahilan Appathurai}, title = {Automatic left ventricle segmentation via edge-shape feature-based fully convolutional neural network}, journal = {Int. J. Imaging Syst. Technol.}, volume = {34}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/ima.22947}, doi = {10.1002/IMA.22947}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imst/GayathriMVA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itc/RangarajuCNR24, author = {Banupriya Rangaraju and Thilagavathi Chinnadurai and Sarmiladevi Natarajan and Vishnu Raja}, title = {Dual Attention Aware Octave Convolution Network for Early-Stage Alzheimer's Disease Detection}, journal = {Inf. Technol. Control.}, volume = {53}, number = {1}, pages = {302--316}, year = {2024}, url = {https://doi.org/10.5755/j01.itc.53.1.34536}, doi = {10.5755/J01.ITC.53.1.34536}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itc/RangarajuCNR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itpro/DeepanramkumarSRSDRYJ24, author = {P. Deepanramkumar and A. Helen Sharmila and Niranchana Radhakrishnan and Devulapalli Sudheer and Jeethu V. Devasia and Ch. Pradeep Reddy and Gokul Yenduri and N. Jaisankar}, title = {Enhancing Connectivity in Rural Areas: Secure Spectrum Access in 6G Networks Using Advanced Encryption and Spectrum Sensing Techniques}, journal = {{IT} Prof.}, volume = {26}, number = {4}, pages = {22--28}, year = {2024}, url = {https://doi.org/10.1109/MITP.2024.3433402}, doi = {10.1109/MITP.2024.3433402}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itpro/DeepanramkumarSRSDRYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/GuideSGCSNCHC24, author = {Andrew Guide and Lina Sulieman and Shawn Garbett and Robert M. Cronin and Matthew E. Spotnitz and Karthik Natarajan and Robert J. Carroll and Paul A. Harris and Qingxia Chen}, title = {Identifying erroneous height and weight values from adult electronic health records in the All of Us research program}, journal = {J. Biomed. Informatics}, volume = {155}, pages = {104660}, year = {2024}, url = {https://doi.org/10.1016/j.jbi.2024.104660}, doi = {10.1016/J.JBI.2024.104660}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/GuideSGCSNCHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/MondalPR24, author = {Debajyoti Mondal and N. Parthiban and Indra Rajasingh}, title = {On the oriented diameter of planar triangulations}, journal = {J. Comb. Optim.}, volume = {47}, number = {5}, pages = {79}, year = {2024}, url = {https://doi.org/10.1007/s10878-024-01177-z}, doi = {10.1007/S10878-024-01177-Z}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/MondalPR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/EssawyRAN24, author = {Mostafa Essawy and Kareem Rashed and Amin Aghighi and Arun Natarajan}, title = {A Low-Noise Dual-Path Self-Interference Cancellation Architecture for Watt-Level {TX} Power Handling in Simultaneous Transmit and Receive}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1337--1350}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3355067}, doi = {10.1109/JSSC.2024.3355067}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/EssawyRAN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/NatarajanV24, author = {Thamaraiselvan Natarajan and Deepak Ramanan V}, title = {Does integrated store service quality stimulate omnichannel shoppers' augmenting, co-developing, influencing and mobilizing behaviors? Moderating role of perceived relationship investment}, journal = {Kybernetes}, volume = {53}, number = {7}, pages = {2385--2413}, year = {2024}, url = {https://doi.org/10.1108/K-12-2022-1717}, doi = {10.1108/K-12-2022-1717}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kybernetes/NatarajanV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/RoyJNB24, author = {Aditi Roy and Kokila Jagadeesh and Ramasubramanian Natarajan and B. Shameedha Begum}, title = {OTK-based {PUF} {CRP} obfuscation for IoT device authentication}, journal = {Microelectron. J.}, volume = {144}, pages = {106070}, year = {2024}, url = {https://doi.org/10.1016/j.mejo.2023.106070}, doi = {10.1016/J.MEJO.2023.106070}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/RoyJNB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/YanNJKT24, author = {Siwen Yan and Sriraam Natarajan and Saket Joshi and Roni Khardon and Prasad Tadepalli}, title = {Explainable models via compression of tree ensembles}, journal = {Mach. Learn.}, volume = {113}, number = {3}, pages = {1303--1328}, year = {2024}, url = {https://doi.org/10.1007/s10994-023-06463-1}, doi = {10.1007/S10994-023-06463-1}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/YanNJKT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/TiwariVNB24, author = {Utkarsh Tiwari and Satyanarayana Vollala and Ramasubramanian Natarajan and B. Shameedha Begum}, title = {Improving the performance of authentication protocols using efficient modular multi exponential technique}, journal = {Multim. Tools Appl.}, volume = {83}, number = {4}, pages = {11061--11076}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15726-x}, doi = {10.1007/S11042-023-15726-X}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/TiwariVNB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/NatarajanMSSSGE24, author = {Krishnamoorthy Natarajan and Suresh Muthusamy and Mizaj Shabil Sha and Kishor Kumar Sadasivuni and Sreejith Sekaran and Christober Asir Rajan Charles Gnanakkan and Ahmed A. Elngar}, title = {A novel method for the detection and classification of multiple diseases using transfer learning-based deep learning techniques with improved performance}, journal = {Neural Comput. Appl.}, volume = {36}, number = {30}, pages = {18979--18997}, year = {2024}, url = {https://doi.org/10.1007/s00521-024-09900-x}, doi = {10.1007/S00521-024-09900-X}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/NatarajanMSSSGE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmse/WadhwaPSSNKPR24, author = {Nalin Wadhwa and Jui Pradhan and Atharv Sonwane and Surya Prakash Sahu and Nagarajan Natarajan and Aditya Kanade and Suresh Parthasarathy and Sriram K. Rajamani}, title = {{CORE:} Resolving Code Quality Issues using LLMs}, journal = {Proc. {ACM} Softw. Eng.}, volume = {1}, number = {{FSE}}, pages = {789--811}, year = {2024}, url = {https://doi.org/10.1145/3643762}, doi = {10.1145/3643762}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmse/WadhwaPSSNKPR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/saem/ArunMKKK24, author = {Ramaveerapathiran Arun and Rathinam Muniraj and Natarajan Karuppiah and Balachandran Praveen Kumar and Murugaperumal Krishnamoorthy}, title = {Automated approach to design predictive {PI} control scheme for gain margin specification}, journal = {Int. J. Syst. Assur. Eng. Manag.}, volume = {15}, number = {6}, pages = {2230--2237}, year = {2024}, url = {https://doi.org/10.1007/s13198-023-02238-y}, doi = {10.1007/S13198-023-02238-Y}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/saem/ArunMKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangSPNRE24, author = {Hongquan Wang and Keshav D. Singh and Hari Poudel and Manoj Natarajan and Prabahar Ravichandran and Brandon Eisenreich}, title = {Forage Height and Above-Ground Biomass Estimation by Comparing UAV-Based Multispectral and {RGB} Imagery}, journal = {Sensors}, volume = {24}, number = {17}, pages = {5794}, year = {2024}, url = {https://doi.org/10.3390/s24175794}, doi = {10.3390/S24175794}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangSPNRE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/snam/SethurajanN23, author = {Monikka Reshmi Sethurajan and K. Natarajan}, title = {Performance analysis of semantic veracity enhance {(SVE)} classifier for fake news detection and demystifying the online user behaviour in social media using sentiment analysis}, journal = {Soc. Netw. Anal. Min.}, volume = {14}, number = {1}, pages = {36}, year = {2024}, url = {https://doi.org/10.1007/s13278-024-01199-9}, doi = {10.1007/S13278-024-01199-9}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/snam/SethurajanN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/SoundiranNR24, author = {Revathi Soundiran and Sivakumaran Natarajan and Thota K. Radhakrishnan}, title = {Enhancement in Smart Operation of Greenhouse Environment Using Intelligent Biomimetic Control Framework}, journal = {{SN} Comput. Sci.}, volume = {5}, number = {3}, pages = {287}, year = {2024}, url = {https://doi.org/10.1007/s42979-024-02611-z}, doi = {10.1007/S42979-024-02611-Z}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/SoundiranNR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/FanSA24, author = {Ruchao Fan and Natarajan Balaji Shankar and Abeer Alwan}, title = {UniEnc-CASSNAT: An Encoder-Only Non-Autoregressive {ASR} for Speech {SSL} Models}, journal = {{IEEE} Signal Process. Lett.}, volume = {31}, pages = {711--715}, year = {2024}, url = {https://doi.org/10.1109/LSP.2024.3365036}, doi = {10.1109/LSP.2024.3365036}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/FanSA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KunduBRNB24, author = {Shamik Kundu and Suvadeep Banerjee and Arnab Raha and Suriyaprakash Natarajan and Kanad Basu}, title = {DiagNNose: Toward Error Localization in Deep Learning Hardware-Based on {VTA-TVM} Stack}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {1}, pages = {217--229}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3303851}, doi = {10.1109/TCAD.2023.3303851}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KunduBRNB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/PreethaaWNP24, author = {K. R. Sri Preethaa and Gitanjali Wadhwa and Yuvaraj Natarajan and Anand Paul}, title = {Feature Selection Graph Neural Network for Optimized Node Categorization}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2872--2883}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3345390}, doi = {10.1109/TCE.2023.3345390}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/PreethaaWNP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ShanmugamSRR24, author = {Ramanathan Shanmugam and Dinesh Kumar Sakthivel and Ananda Natarajan Ramaiah and Sundaramurthy Ramalingam}, title = {Nonlinear Control Strategy for DC-Link Voltage Control in a {DFIG} of {WECS} During 3{\(\varphi\)} Grid Faults}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {10}, pages = {12468--12475}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3344815}, doi = {10.1109/TIE.2023.3344815}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ShanmugamSRR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KannadasanSVBR24, author = {Kalidasan Kannadasan and Jainendra Shukla and Sridevi Veerasingam and B. Shameedha Begum and Natarajan Ramasubramanian}, title = {An EEG-Based Computational Model for Decoding Emotional Intelligence, Personality, and Emotions}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3347790}, doi = {10.1109/TIM.2023.3347790}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KannadasanSVBR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/SureshSRJ24, author = {J. Suresh and J. Martin Sahayaraj and B. Rajakumar and N. Jayapandian}, title = {Hybrid Bayesian and modified grey {PROMETHEE-AL} model-based trust estimation technique for thwarting malicious and selfish nodes in MANETs}, journal = {Wirel. Networks}, volume = {30}, number = {3}, pages = {1697--1718}, year = {2024}, url = {https://doi.org/10.1007/s11276-023-03605-0}, doi = {10.1007/S11276-023-03605-0}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/SureshSRJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aime/MathurGRWTHBWGRSKN24, author = {Saurabh Mathur and Veerendra P. Gadekar and Rashika Ramola and Peixin Wang and Ramachandran Thiruvengadam and David M. Haas and Shinjini Bhatnagar and Nitya Wadhwa and Garbhini Study Group and Predrag Radivojac and Himanshu Sinha and Kristian Kersting and Sriraam Natarajan}, editor = {Joseph Finkelstein and Robert Moskovitch and Enea Parimbelli}, title = {Modeling Multiple Adverse Pregnancy Outcomes: Learning from Diverse Data Sources}, booktitle = {Artificial Intelligence in Medicine - 22nd International Conference, {AIME} 2024, Salt Lake City, UT, USA, July 9-12, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14844}, pages = {293--302}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-66538-7\_29}, doi = {10.1007/978-3-031-66538-7\_29}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aime/MathurGRWTHBWGRSKN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/Chowdhury0N24, author = {Sayak Ray Chowdhury and Xingyu Zhou and Nagarajan Natarajan}, editor = {Sanjoy Dasgupta and Stephan Mandt and Yingzhen Li}, title = {Differentially Private Reward Estimation with Preference Feedback}, booktitle = {International Conference on Artificial Intelligence and Statistics, 2-4 May 2024, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {238}, pages = {4843--4851}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v238/ray-chowdhury24a.html}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/Chowdhury0N24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/JohannsenNDISTVR24, author = {Chris Johannsen and Karthik Nukala and Rohit Dureja and Ahmed Irfan and Natarajan Shankar and Cesare Tinelli and Moshe Y. Vardi and Kristin Yvonne Rozier}, editor = {Arie Gurfinkel and Vijay Ganesh}, title = {The MoXI Model Exchange Tool Suite}, booktitle = {Computer Aided Verification - 36th International Conference, {CAV} 2024, Montreal, QC, Canada, July 24-27, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14681}, pages = {203--218}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-65627-9\_10}, doi = {10.1007/978-3-031-65627-9\_10}, timestamp = {Fri, 02 Aug 2024 11:58:28 +0200}, biburl = {https://dblp.org/rec/conf/cav/JohannsenNDISTVR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiWSSLSFWKNMCWL24, author = {Brenna Li and Amy Wang and Patricia Strachan and Julie Anne S{\'{e}}guin and Sami Lachgar and Karyn C. Schroeder and Mathias S. Fleck and Renee Wong and Alan Karthikesalingam and Vivek Natarajan and Yossi Matias and Gregory S. Corrado and Dale R. Webster and Yun Liu and Naama Hammel and Rory Sayres and Christopher Semturs and Mike Schaekermann}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Conversational {AI} in health: Design considerations from a Wizard-of-Oz dermatology case study with users, clinicians and a medical {LLM}}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {88:1--88:10}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651891}, doi = {10.1145/3613905.3651891}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LiWSSLSFWKNMCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/0001KGJN24, author = {Yi Ren Fung and Anoop Kumar and Aram Galstyan and Heng Ji and Prem Natarajan}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Agenda-Driven Question Generation: {A} Case Study in the Courtroom Domain}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {572--583}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.49}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/0001KGJN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShankarFA24, author = {Natarajan Balaji Shankar and Ruchao Fan and Abeer Alwan}, title = {{SOA:} Reducing Domain Mismatch in {SSL} Pipeline by Speech Only Adaptation for Low Resource {ASR}}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2024 - Workshops, Seoul, Republic of Korea, April 14-19, 2024}, pages = {560--564}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSPW62465.2024.10625884}, doi = {10.1109/ICASSPW62465.2024.10625884}, timestamp = {Wed, 04 Sep 2024 08:54:35 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShankarFA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChowdhuryKN24, author = {Sayak Ray Chowdhury and Anush Kini and Nagarajan Natarajan}, title = {Provably Robust {DPO:} Aligning Language Models with Noisy Feedback}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=yhpDKSw7yA}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChowdhuryKN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/NatarajanYXODIS24, author = {Ramkumar Natarajan and Hanlan Yang and Qintong Xie and Yash Oza and Manash Pratim Das and Fahad Islam and Muhammad Suhail Saleem and Howie Choset and Maxim Likhachev}, title = {Preprocessing-based Kinodynamic Motion Planning Framework for Intercepting Projectiles using a Robot Manipulator}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {10910--10916}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611441}, doi = {10.1109/ICRA57147.2024.10611441}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/NatarajanYXODIS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuonannoPZNRMJS24, author = {Luca Buonanno and Giacomo Pedretti and Lei Zhao and Aishwarya Natarajan and Todd Richmond and John Moon and Rand Jean and Xia Sheng and Ron M. Roth and Jim Ignowski}, title = {Memristive Quaternary Content-Addressable Memories for Implementing Boolean Functions}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558504}, doi = {10.1109/ISCAS58744.2024.10558504}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuonannoPZNRMJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RashedUCNN24, author = {Kareem Rashed and Aswin Chowdary Undavalli and Shantanu Chakrabartty and Aravind Nagulu and Arun Natarajan}, title = {12.3 {A} Scalable and Instantaneously Wideband 5GS/s {RF} Correlator Based on Charge Thresholding Achieving 8-bit {ENOB} and 152 {TOPS/W} Compute Efficiency}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {222--224}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454315}, doi = {10.1109/ISSCC49657.2024.10454315}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/RashedUCNN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/SomashekarTKCHB24, author = {Gagan Somashekar and Karan Tandon and Anush Kini and Chieh{-}Chun Chang and Petr Husak and Ranjita Bhagwan and Mayukh Das and Anshul Gandhi and Nagarajan Natarajan}, editor = {Laurent Vanbever and Irene Zhang}, title = {OPPerTune: Post-Deployment Configuration Tuning of Services Made Easy}, booktitle = {21st {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2024, Santa Clara, CA, April 15-17, 2024}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/nsdi24/presentation/somashekar}, timestamp = {Fri, 19 Apr 2024 11:29:16 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/SomashekarTKCHB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/DeviUSJDNPPD24, author = {Arti Devi and Shashank Uttrani and Aryansh Singla and Sarthak Jha and Nataraj Dasgupta and Sayee Natarajan and Rajeshwari S. Punekar and Larry A. Pickett and Varun Dutt}, title = {Automating Clinical Trial Eligibility Screening: Quantitative Analysis of {GPT} Models versus Human Expertise}, booktitle = {Proceedings of the 17th International Conference on PErvasive Technologies Related to Assistive Environments, {PETRA} 2024, Crete, Greece, June 26-28, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3652037.3663922}, doi = {10.1145/3652037.3663922}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/DeviUSJDNPPD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sacmat/RicksTTN24, author = {Brian Ricks and Patrick Tague and Bhavani Thuraisingham and Sriraam Natarajan}, editor = {Jianwei Niu and Jaideep Vaidya}, title = {Utilizing Threat Partitioning for More Practical Network Anomaly Detection}, booktitle = {Proceedings of the 29th {ACM} Symposium on Access Control Models and Technologies, {SACMAT} 2024, San Antonio, TX, USA, May 15-17, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3649158.3657046}, doi = {10.1145/3649158.3657046}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sacmat/RicksTTN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ChellamNN24, author = {Manjith Baby Sarojam Chellam and Ramasubramanian Natarajan and Nagi Naganathan}, title = {Logic locking emulator on {FPGA:} {A} conceptual view}, booktitle = {37th International Conference on {VLSI} Design and 23rd International Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January 6-10, 2024}, pages = {553--559}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSID60093.2024.00098}, doi = {10.1109/VLSID60093.2024.00098}, timestamp = {Mon, 08 Apr 2024 20:48:39 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/ChellamNN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KashyapRNO24, author = {Suhas Krishna Kashyap and Chinmaye Raghavendra and Suriyaprakash Natarajan and Sule Ozev}, title = {Structural Built In Self Test of Analog Circuits using {ON/OFF} Keying and Delay Monitors}, booktitle = {42nd {IEEE} {VLSI} Test Symposium, {VTS} 2024, Tempe, AZ, USA, April 22-24, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VTS60656.2024.10538672}, doi = {10.1109/VTS60656.2024.10538672}, timestamp = {Mon, 03 Jun 2024 16:53:11 +0200}, biburl = {https://dblp.org/rec/conf/vts/KashyapRNO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/comad/2024, editor = {Sriraam Natarajan and Indrajit Bhattacharya and Richa Singh and Arun Kumar and Sayan Ranu and Kalika Bali and Abinaya K}, title = {Proceedings of the 7th Joint International Conference on Data Science {\&} Management of Data (11th {ACM} {IKDD} {CODS} and 29th COMAD), Bangalore, India, January 4-7, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3632410}, doi = {10.1145/3632410}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05654, author = {Tao Tu and Anil Palepu and Mike Schaekermann and Khaled Saab and Jan Freyberg and Ryutaro Tanno and Amy Wang and Brenna Li and Mohamed Amin and Nenad Tomasev and Shekoofeh Azizi and Karan Singhal and Yong Cheng and Le Hou and Albert Webson and Kavita Kulkarni and S. Sara Mahdavi and Christopher Semturs and Juraj Gottweis and Joelle K. Barral and Katherine Chou and Gregory S. Corrado and Yossi Matias and Alan Karthikesalingam and Vivek Natarajan}, title = {Towards Conversational Diagnostic {AI}}, journal = {CoRR}, volume = {abs/2401.05654}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05654}, doi = {10.48550/ARXIV.2401.05654}, eprinttype = {arXiv}, eprint = {2401.05654}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-08022, author = {Ramkumar Natarajan and Hanlan Yang and Qintong Xie and Yash Oza and Manash Pratim Das and Fahad Islam and Muhammad Suhail Saleem and Howie Choset and Maxim Likhachev}, title = {Preprocessing-based Kinodynamic Motion Planning Framework for Intercepting Projectiles using a Robot Manipulator}, journal = {CoRR}, volume = {abs/2401.08022}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.08022}, doi = {10.48550/ARXIV.2401.08022}, eprinttype = {arXiv}, eprint = {2401.08022}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-08022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-08948, author = {Ramkumar Natarajan and Shohin Mukherjee and Howie Choset and Maxim Likhachev}, title = {{PINSAT:} Parallelized Interleaving of Graph Search and Trajectory Optimization for Kinodynamic Motion Planning}, journal = {CoRR}, volume = {abs/2401.08948}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.08948}, doi = {10.48550/ARXIV.2401.08948}, eprinttype = {arXiv}, eprint = {2401.08948}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-08948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08898, author = {Ruchao Fan and Natarajan Balaji Shankar and Abeer Alwan}, title = {UniEnc-CASSNAT: An Encoder-only Non-autoregressive {ASR} for Speech {SSL} Models}, journal = {CoRR}, volume = {abs/2402.08898}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08898}, doi = {10.48550/ARXIV.2402.08898}, eprinttype = {arXiv}, eprint = {2402.08898}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00409, author = {Sayak Ray Chowdhury and Anush Kini and Nagarajan Natarajan}, title = {Provably Robust {DPO:} Aligning Language Models with Noisy Feedback}, journal = {CoRR}, volume = {abs/2403.00409}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00409}, doi = {10.48550/ARXIV.2403.00409}, eprinttype = {arXiv}, eprint = {2403.00409}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00409.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01632, author = {Ayush Arunachalam and Ian Kintz and Suvadeep Banerjee and Arnab Raha and Xiankun Jin and Fei Su and Viswanathan Pillai Prasanth and Rubin A. Parekhji and Suriyaprakash Natarajan and Kanad Basu}, title = {Enhancing Functional Safety in Automotive {AMS} Circuits through Unsupervised Machine Learning}, journal = {CoRR}, volume = {abs/2404.01632}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01632}, doi = {10.48550/ARXIV.2404.01632}, eprinttype = {arXiv}, eprint = {2404.01632}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01632.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-06430, author = {Filip Granqvist and Congzheng Song and {\'{A}}ine Cahill and Rogier C. van Dalen and Martin Pelikan and Yi Sheng Chan and Xiaojun Feng and Natarajan Krishnaswami and Vojta Jina and Mona Chitnis}, title = {pfl-research: simulation framework for accelerating research in Private Federated Learning}, journal = {CoRR}, volume = {abs/2404.06430}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.06430}, doi = {10.48550/ARXIV.2404.06430}, eprinttype = {arXiv}, eprint = {2404.06430}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-06430.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18416, author = {Khaled Saab and Tao Tu and Wei{-}Hung Weng and Ryutaro Tanno and David Stutz and Ellery Wulczyn and Fan Zhang and Tim Strother and Chunjong Park and Elahe Vedadi and Juanma Zambrano Chaves and Szu{-}Yeu Hu and Mike Schaekermann and Aishwarya Kamath and Yong Cheng and David G. T. Barrett and Cathy Cheung and Basil Mustafa and Anil Palepu and Daniel McDuff and Le Hou and Tomer Golany and Luyang Liu and Jean{-}Baptiste Alayrac and Neil Houlsby and Nenad Tomasev and Jan Freyberg and Charles Lau and Jonas Kemp and Jeremy Lai and Shekoofeh Azizi and Kimberly Kanada and SiWai Man and Kavita Kulkarni and Ruoxi Sun and Siamak Shakeri and Luheng He and Benjamin Caine and Albert Webson and Natasha Latysheva and Melvin Johnson and Philip Andrew Mansfield and Jian Lu and Ehud Rivlin and Jesper Anderson and Bradley Green and Renee Wong and Jonathan Krause and Jonathon Shlens and Ewa Dominowska and S. M. Ali Eslami and Katherine Chou and Claire Cui and Oriol Vinyals and Koray Kavukcuoglu and James Manyika and Jeff Dean and Demis Hassabis and Yossi Matias and Dale R. Webster and Joelle K. Barral and Greg Corrado and Christopher Semturs and S. Sara Mahdavi and Juraj Gottweis and Alan Karthikesalingam and Vivek Natarajan}, title = {Capabilities of Gemini Models in Medicine}, journal = {CoRR}, volume = {abs/2404.18416}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18416}, doi = {10.48550/ARXIV.2404.18416}, eprinttype = {arXiv}, eprint = {2404.18416}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18416.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-03183, author = {Amritendu Dhar and Vijay Natarajan and Abhishek Rathod}, title = {Geometric Localization of Homology Cycles}, journal = {CoRR}, volume = {abs/2406.03183}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.03183}, doi = {10.48550/ARXIV.2406.03183}, eprinttype = {arXiv}, eprint = {2406.03183}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-03183.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10507, author = {Ruchao Fan and Natarajan Balaji Shankar and Abeer Alwan}, title = {Benchmarking Children's {ASR} with Supervised and Self-supervised Speech Foundation Models}, journal = {CoRR}, volume = {abs/2406.10507}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10507}, doi = {10.48550/ARXIV.2406.10507}, eprinttype = {arXiv}, eprint = {2406.10507}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10512, author = {Natarajan Balaji Shankar and Ruchao Fan and Abeer Alwan}, title = {{SOA:} Reducing Domain Mismatch in {SSL} Pipeline by Speech Only Adaptation for Low Resource {ASR}}, journal = {CoRR}, volume = {abs/2406.10512}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10512}, doi = {10.48550/ARXIV.2406.10512}, eprinttype = {arXiv}, eprint = {2406.10512}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11638, author = {Daman Arora and Atharv Sonwane and Nalin Wadhwa and Abhav Mehrotra and Saiteja Utpala and Ramakrishna Bairi and Aditya Kanade and Nagarajan Natarajan}, title = {{MASAI:} Modular Architecture for Software-engineering {AI} Agents}, journal = {CoRR}, volume = {abs/2406.11638}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11638}, doi = {10.48550/ARXIV.2406.11638}, eprinttype = {arXiv}, eprint = {2406.11638}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11638.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17652, author = {Somenath Das and Raghavendra Sridharamurthy and Vijay Natarajan}, title = {Time-varying Extremum Graphs}, journal = {CoRR}, volume = {abs/2406.17652}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17652}, doi = {10.48550/ARXIV.2406.17652}, eprinttype = {arXiv}, eprint = {2406.17652}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-00801, author = {Md Rezwanur Rahman and Tarun Annapareddy and Shirin Ebadi and Varsha Natarajan and Adarsh Srinivasan and Eric Keller and Shivakant Mishra}, title = {Container Data Item: An Abstract Datatype for Efficient Container-based Edge Computing}, journal = {CoRR}, volume = {abs/2409.00801}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.00801}, doi = {10.48550/ARXIV.2409.00801}, eprinttype = {arXiv}, eprint = {2409.00801}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-00801.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GunasekarKKNJ23, author = {Aarthi Gunasekar and L. Bhargava Kumar and Prabu Krishnan and Rajesh Natarajan and Dushantha Nalin K. Jayakody}, title = {All-Optical UAV-Based Triple-Hop {FSO-FSO-VLC} Cooperative System for High-Speed Broadband Internet Access in High-Speed Trains}, journal = {{IEEE} Access}, volume = {11}, pages = {124228--124239}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3330236}, doi = {10.1109/ACCESS.2023.3330236}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GunasekarKKNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NatarajanEBSCS23, author = {B. Natarajan and R. Elakkiya and R. Bhuvaneswari and Kashif Saleem and Dharminder Chaudhary and Syed Husain Samsudeen}, title = {Creating Alert Messages Based on Wild Animal Activity Detection Using Hybrid Deep Neural Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {67308--67321}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3289586}, doi = {10.1109/ACCESS.2023.3289586}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NatarajanEBSCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SundarrajRBGFN23, author = {Subaselvi Sundarraj and R. Vijaya Kumar Reddy and Mahesh Babu Basam and Harinahalli Lokesh Gururaj and Francesco Flammini and Rajesh Natarajan}, title = {Route Planning for an Autonomous Robotic Vehicle Employing a Weight-Controlled Particle Swarm-Optimized Dijkstra Algorithm}, journal = {{IEEE} Access}, volume = {11}, pages = {92433--92442}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3302698}, doi = {10.1109/ACCESS.2023.3302698}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SundarrajRBGFN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuvarajDSPUS23, author = {T. Yuvaraj and K. R. Devabalaji and T. D. Suresh and Natarajan Prabaharan and Soichiro Ueda and Tomonobu Senjyu}, title = {Enhancing Indian Practical Distribution System Resilience Through Microgrid Formation and Integration of Distributed Energy Resources Considering Battery Electric Vehicle}, journal = {{IEEE} Access}, volume = {11}, pages = {133521--133539}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3336858}, doi = {10.1109/ACCESS.2023.3336858}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YuvarajDSPUS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/array/NatarajanPBGFV23, author = {Rajesh Natarajan and Santosh Reddy P and S. Subash Chandra Bose and H. L. Gururaj and Francesco Flammini and Shanmugapriya Velmurugan}, title = {Fault detection and state estimation in robotic automatic control using machine learning}, journal = {Array}, volume = {19}, pages = {100298}, year = {2023}, url = {https://doi.org/10.1016/j.array.2023.100298}, doi = {10.1016/J.ARRAY.2023.100298}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/array/NatarajanPBGFV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YeungZMGTOSVLLK23, author = {Wayland Yeung and Zhongliang Zhou and Liju Mathew and Nathan Gravel and Rahil Taujale and Brady O'boyle and Mariah Salcedo and Aarya Venkat and William Lanzilotta and Sheng Li and Natarajan Kannan}, title = {Tree visualizations of protein sequence embedding space enable improved functional clustering of diverse protein superfamilies}, journal = {Briefings Bioinform.}, volume = {24}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bib/bbac619}, doi = {10.1093/BIB/BBAC619}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/YeungZMGTOSVLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KwanFMFHHMNPRRSYZSN23, author = {Brian Kwan and Tobias Fuhrer and Daniel Montemayor and Jeffery C. Fink and Jiang He and Chi{-}yuan Hsu and Karen Messer and Robert G. Nelson and Minya Pu and Ana C. Ricardo and Hernan Rincon{-}Choles and Vallabh O. Shah and Hongping Ye and Jing Zhang and Kumar Sharma and Loki Natarajan}, title = {A generalized covariate-adjusted top-scoring pair algorithm with applications to diabetic kidney disease stage classification in the Chronic Renal Insufficiency Cohort {(CRIC)} Study}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {57}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05171-w}, doi = {10.1186/S12859-023-05171-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/KwanFMFHHMNPRRSYZSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/SrikantamurthyRDNP23, author = {Mahati Munikoti Srikantamurthy and V. P. Subramanyam Rallabandi and Dawood Babu Dudekula and Sathishkumar Natarajan and Junhyung Park}, title = {Classification of benign and malignant subtypes of breast cancer histopathology imaging using hybrid {CNN-LSTM} based transfer learning}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {19}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-00964-0}, doi = {10.1186/S12880-023-00964-0}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/SrikantamurthyRDNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ShaheenRAKJK23, author = {H. Shaheen and K. Ravikumar and N. Lakshmipathi Anantha and A. Uma Shankar Kumar and N. Jayapandian and S. Kirubakaran}, title = {An efficient classification of cirrhosis liver disease using hybrid convolutional neural network-capsule network}, journal = {Biomed. Signal Process. Control.}, volume = {80}, number = {Part}, pages = {104152}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2022.104152}, doi = {10.1016/J.BSPC.2022.104152}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/ShaheenRAKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ThangavelNP23, author = {Preethi Thangavel and Yuvaraj Natarajan and K. R. Sri Preethaa}, title = {{EAD-DNN:} Early Alzheimer's disease prediction using deep neural networks}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {B}}, pages = {105215}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105215}, doi = {10.1016/J.BSPC.2023.105215}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/ThangavelNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/IsmailCRKPD23, author = {Shahanaj Ismail and Tajalli Ilm Chandel and Jaganathan Ramakrishnan and Rizwan Hasan Khan and Kumaradhas Poomani and Natarajan Devarajan}, title = {Phytochemical profiling, human insulin stability and alpha glucosidase inhibition of \emph{Gymnema latifolium} leaves aqueous extract: Exploring through experimental and \emph{in silico} approach}, journal = {Comput. Biol. Chem.}, volume = {107}, pages = {107964}, year = {2023}, url = {https://doi.org/10.1016/j.compbiolchem.2023.107964}, doi = {10.1016/J.COMPBIOLCHEM.2023.107964}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candc/IsmailCRKPD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/NatarajanCDSRS23, author = {Ananya Natarajan and Nikhil Chivukula and Gokul Balaji Dhanakoti and Ajaya Kumar Sahoo and Janani Ravichandran and Areejit Samal}, title = {{EPEK:} Creation and analysis of an Ectopic Pregnancy Expression Knowledgebase}, journal = {Comput. Biol. Chem.}, volume = {104}, pages = {107866}, year = {2023}, url = {https://doi.org/10.1016/j.compbiolchem.2023.107866}, doi = {10.1016/J.COMPBIOLCHEM.2023.107866}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/NatarajanCDSRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/SitharthanVVMDPRE23, author = {Ramachandran Sitharthan and S. Vimal and Amit Verma and Madurakavi Karthikeyan and Shanmuga Sundar Dhanabalan and Natarajan Prabaharan and M. Rajesh and T. Eswaran}, title = {Smart microgrid with the internet of things for adequate energy management and analysis}, journal = {Comput. Electr. Eng.}, volume = {106}, pages = {108556}, year = {2023}, url = {https://doi.org/10.1016/j.compeleceng.2022.108556}, doi = {10.1016/J.COMPELECENG.2022.108556}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/SitharthanVVMDPRE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KunduRBNB23, author = {Shamik Kundu and Arnab Raha and Suvadeep Banerjee and Suriyaprakash Natarajan and Kanad Basu}, title = {Analysis and Mitigation of {DRAM} Faults in Sparse-DNN Accelerators}, journal = {{IEEE} Des. Test}, volume = {40}, number = {2}, pages = {90--99}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3183545}, doi = {10.1109/MDAT.2022.3183545}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KunduRBNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PandeyTSNNS23, author = {Amit Pandey and Brendan Tully and Abhijeet Samudra and Ajay Nagarandal and Karthikeyan Natarajan and Rahul Singhal}, title = {Novel Technique for Manufacturing, System-Level, and In-System Testing of Large SoC Using Functional Protocol-Based High-Speed {I/O}}, journal = {{IEEE} Des. Test}, volume = {40}, number = {4}, pages = {17--24}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3269389}, doi = {10.1109/MDAT.2023.3269389}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/PandeyTSNNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/BoseAGFNJ23, author = {S. Subash Chandra Bose and Badria Sulaiman Alfurhood and Harinahalli Lokesh Gururaj and Francesco Flammini and Rajesh Natarajan and Sheela Shankarappa Jaya}, title = {Decision Fault Tree Learning and Differential Lyapunov Optimal Control for Path Tracking}, journal = {Entropy}, volume = {25}, number = {3}, pages = {443}, year = {2023}, url = {https://doi.org/10.3390/e25030443}, doi = {10.3390/E25030443}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/BoseAGFNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evi/JoseR23, author = {Jobin Jose and N. Ramasubramanian}, title = {Applying machine learning to enhance the cache performance using reuse distance}, journal = {Evol. Intell.}, volume = {16}, number = {4}, pages = {1195--1216}, year = {2023}, url = {https://doi.org/10.1007/s12065-022-00730-1}, doi = {10.1007/S12065-022-00730-1}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evi/JoseR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frai/DasRKRN23, author = {Srijita Das and Nandini Ramanan and Gautam Kunapuli and Predrag Radivojac and Sriraam Natarajan}, title = {Active feature elicitation: An unified framework}, journal = {Frontiers Artif. Intell.}, volume = {6}, year = {2023}, url = {https://doi.org/10.3389/frai.2023.1029943}, doi = {10.3389/FRAI.2023.1029943}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/frai/DasRKRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frai/YanOPKN23, author = {Siwen Yan and Phillip Odom and Rahul Pasunuri and Kristian Kersting and Sriraam Natarajan}, title = {Learning with privileged and sensitive information: a gradient-boosting approach}, journal = {Frontiers Artif. Intell.}, volume = {6}, year = {2023}, url = {https://doi.org/10.3389/frai.2023.1260583}, doi = {10.3389/FRAI.2023.1260583}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/frai/YanOPKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/NatarajanB23, author = {Janani Natarajan and Rebekka B.}, title = {An energy efficient dynamic small cell on/off switching with enhanced k-means clustering algorithm for 5G HetNets}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {29}, number = {2}, pages = {209--237}, year = {2023}, url = {https://doi.org/10.1504/IJCNDS.2023.10047234}, doi = {10.1504/IJCNDS.2023.10047234}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/NatarajanB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/SankaranarayananSRS23, author = {S. Sankaranarayanan and G. Swaminathan and Thota K. Radhakrishnan and Natarajan Sivakumaran}, title = {Missing data estimation and IoT-based flyby monitoring of a water distribution system: Conceptual and experimental validation}, journal = {Int. J. Commun. Syst.}, volume = {36}, number = {12}, year = {2023}, url = {https://doi.org/10.1002/dac.4135}, doi = {10.1002/DAC.4135}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/SankaranarayananSRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/KumaraguruKGFAN23, author = {P. V. Kumaraguru and Vidyavathi Kamalakkannan and Harinahalli Lokesh Gururaj and Francesco Flammini and Badria Sulaiman Alfurhood and Rajesh Natarajan}, title = {Hessian Distributed Ant Optimized Perron-Frobenius Eigen Centrality for Social Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {12}, number = {8}, pages = {316}, year = {2023}, url = {https://doi.org/10.3390/ijgi12080316}, doi = {10.3390/IJGI12080316}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/KumaraguruKGFAN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/NatarajanPGSKCM23, author = {Manikandan Natarajan and Thejasree Pasupuleti and Jayant P. Giri and Neeraj Sunheriya and Lakshmi Narasimhamu Katta and Rajkumar B. Chadge and Chetan Mahatme and Pallavi Giri and Saurav Mallik and Kanad Ray}, title = {Machinability of Titanium Grade 5 Alloy for Wire Electrical Discharge Machining Using a Hybrid Learning Algorithm}, journal = {Inf.}, volume = {14}, number = {8}, pages = {439}, year = {2023}, url = {https://doi.org/10.3390/info14080439}, doi = {10.3390/INFO14080439}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/NatarajanPGSKCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/NatarajanEP23, author = {B. Natarajan and R. Elakkiya and Moturi Leela Prasad}, title = {Sentence2SignGesture: a hybrid neural machine translation network for sign language video generation}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {8}, pages = {9807--9821}, year = {2023}, url = {https://doi.org/10.1007/s12652-021-03640-9}, doi = {10.1007/S12652-021-03640-9}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/NatarajanEP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/RajmohanR23, author = {Shathanaa Rajmohan and N. Ramasubramanian}, title = {Improved Symbiotic organisms search for path planning of unmanned combat aerial vehicles}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {4}, pages = {4289--4311}, year = {2023}, url = {https://doi.org/10.1007/s12652-023-04540-w}, doi = {10.1007/S12652-023-04540-W}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/RajmohanR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KelothBGHKLLMNPPRRRSWWWWZBRBRHEX23, author = {Vipina Kuttichi Keloth and Juan M. Banda and Michael J. Gurley and Paul M. Heider and Georgina Kennedy and Hongfang Liu and Feifan Liu and Timothy A. Miller and Karthik Natarajan and Olga V. Patterson and Yifan Peng and Kalpana Raja and Ruth M. Reeves and Masoud Rouhizadeh and Jianlin Shi and Xiaoyan Wang and Yanshan Wang and Wei{-}Qi Wei and Andrew E. Williams and Rui Zhang and Rimma Belenkaya and Christian G. Reich and Clair Blacketer and Patrick B. Ryan and George Hripcsak and No{\'{e}}mie Elhadad and Hua Xu}, title = {Representing and utilizing clinical textual data for real world studies: An {OHDSI} approach}, journal = {J. Biomed. Informatics}, volume = {142}, pages = {104343}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104343}, doi = {10.1016/J.JBI.2023.104343}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/KelothBGHKLLMNPPRRRSWWWWZBRBRHEX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SNS23, author = {Krishna Veni K. S. and Senthil Kumar Natarajan and R. Srinivas}, title = {Hybrid fuzzy and gated recurrent network based artificial intelligence approach for fault diagnosis and prognosis of transformers using dissolved gas analysis}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {4}, pages = {6441--6452}, year = {2023}, url = {https://doi.org/10.3233/jifs-223592}, doi = {10.3233/JIFS-223592}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/SNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/KannadasanVBR23, author = {Kalidasan Kannadasan and Sridevi Veerasingam and B. Shameedha Begum and Natarajan Ramasubramanian}, title = {An EEG-based subject-independent emotion recognition model using a differential-evolution-based feature selection algorithm}, journal = {Knowl. Inf. Syst.}, volume = {65}, number = {1}, pages = {341--377}, year = {2023}, url = {https://doi.org/10.1007/s10115-022-01762-w}, doi = {10.1007/S10115-022-01762-W}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/KannadasanVBR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Gadekallu0BDBM23, author = {Thippa Reddy Gadekallu and Neeraj Kumar and Thar Baker and Natarajan Deepa and Prabadevi Boopathy and Praveen Kumar Reddy Maddikunta}, title = {Moth-Flame Optimization based ensemble classification for intrusion detection in intelligent transport system for smart cities}, journal = {Microprocess. Microsystems}, volume = {103}, pages = {104935}, year = {2023}, url = {https://doi.org/10.1016/j.micpro.2023.104935}, doi = {10.1016/J.MICPRO.2023.104935}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/Gadekallu0BDBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DhimanKNSKNPR23, author = {Gaurav Dhiman and A. Vignesh Kumar and R. Nirmalan and S. Sujitha and Srihari Kannan and Yuvaraj Natarajan and Arulprakash Pinagapani and Rajan Arshath Raja}, title = {Multi-modal active learning with deep reinforcement learning for target feature extraction in multi-media image processing applications}, journal = {Multim. Tools Appl.}, volume = {82}, number = {4}, pages = {5343--5367}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-12178-7}, doi = {10.1007/S11042-022-12178-7}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DhimanKNSKNPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/VenkatesanRN23, author = {B. Venkatesan and U. S. Ragupathy and Indhu Natarajan}, title = {A review on multimodal medical image fusion towards future research}, journal = {Multim. Tools Appl.}, volume = {82}, number = {5}, pages = {7361--7382}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13691-5}, doi = {10.1007/S11042-022-13691-5}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/VenkatesanRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/KarargyrisUSAGWPKZBMCGNRKXBCBEATS23, author = {Alexandros Karargyris and Renato Umeton and Micah J. Sheller and Alejandro Aristizabal and Johnu George and Anna Wuest and Sarthak Pati and Hasan Kassem and Maximilian Zenk and Ujjwal Baid and Prakash Narayana Moorthy and Alexander Chowdhury and Junyi Guo and Sahil S. Nalawade and Jacob Rosenthal and David Kanter and Maria Xenochristou and Daniel J. Beutel and Verena Chung and Timothy Bergquist and James A. Eddy and Abubakar Abid and Lewis Tunstall and Omar Sanseviero and Dimitrios Dimitriadis and Yiming Qian and Xinxing Xu and Yong Liu and Rick Siow Mong Goh and Srini Bala and Victor Bittorf and Sreekar Reddy Puchala and Biagio Ricciuti and Soujanya Samineni and Eshna Sengupta and Akshay Chaudhari and Cody Coleman and Bala Desinghu and Gregory F. Diamos and Debo Dutta and Diane Feddema and Grigori Fursin and Xinyuan Huang and Satyananda Kashyap and Nicholas D. Lane and Indranil Mallick and Pietro Mascagni and Virendra Mehta and Cassiano Ferro Moraes and Vivek Natarajan and Nikola Nikolov and Nicolas Padoy and Gennady Pekhimenko and Vijay Janapa Reddi and G. Anthony Reina and Pablo Ribalta and Abhishek Singh and Jayaraman J. Thiagarajan and Jacob Albrecht and Thomas Wolf and Geralyn Miller and Huazhu Fu and Prashant Shah and Daguang Xu and Poonam Yadav and David Talby and Mark M. Awad and Jeremy P. Howard and Michael Rosenthal and Luigi Marchionni and Massimo Loda and Jason M. Johnson and Spyridon Bakas and Peter Mattson}, title = {Federated benchmarking of medical artificial intelligence with MedPerf}, journal = {Nat. Mac. Intell.}, volume = {5}, number = {7}, pages = {799--810}, year = {2023}, url = {https://doi.org/10.1038/s42256-023-00652-2}, doi = {10.1038/S42256-023-00652-2}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/KarargyrisUSAGWPKZBMCGNRKXBCBEATS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KokelNRT23, author = {Harsha Kokel and Sriraam Natarajan and Balaraman Ravindran and Prasad Tadepalli}, title = {RePReL: a unified framework for integrating relational planning and reinforcement learning for effective abstraction in discrete and continuous domains}, journal = {Neural Comput. Appl.}, volume = {35}, number = {23}, pages = {16877--16892}, year = {2023}, url = {https://doi.org/10.1007/s00521-022-08119-y}, doi = {10.1007/S00521-022-08119-Y}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/KokelNRT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/GururajNAFKG23, author = {H. L. Gururaj and Rajesh Natarajan and Nouf Abdullah Almujally and Francesco Flammini and Sujatha Krishna and Shashi Kant Gupta}, title = {Collaborative Energy-Efficient Routing Protocol for Sustainable Communication in 5G/6G Wireless Sensor Networks}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {4}, pages = {2050--2061}, year = {2023}, url = {https://doi.org/10.1109/OJCOMS.2023.3312155}, doi = {10.1109/OJCOMS.2023.3312155}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/GururajNAFKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/NatarajanRT23, author = {Karthik Natarajan and Arjun Kodagehalli Ramachandra and Colin Tan}, title = {Probability bounds for \emph{n} random events under (\emph{n}{\unicode{8239}}-{\unicode{8239}}1)-wise independence}, journal = {Oper. Res. Lett.}, volume = {51}, number = {1}, pages = {116--122}, year = {2023}, url = {https://doi.org/10.1016/j.orl.2023.01.004}, doi = {10.1016/J.ORL.2023.01.004}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/NatarajanRT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BajajKKGFN23, author = {Jaspreet Singh Bajaj and Naveen Kumar and Rajesh Kumar Kaushal and H. L. Gururaj and Francesco Flammini and Rajesh Natarajan}, title = {System and Method for Driver Drowsiness Detection Using Behavioral and Sensor-Based Physiological Measures}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1292}, year = {2023}, url = {https://doi.org/10.3390/s23031292}, doi = {10.3390/S23031292}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BajajKKGFN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NatarajanTGR23, author = {Sriraamshanjiev Natarajan and Mohanraj Thangamuthu and Sakthivel Gnanasekaran and Jegadeeshwaran Rakkiyannan}, title = {Digital Twin-Driven Tool Condition Monitoring for the Milling Process}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5431}, year = {2023}, url = {https://doi.org/10.3390/s23125431}, doi = {10.3390/S23125431}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NatarajanTGR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PreethaaMRMNA23, author = {K. R. Sri Preethaa and Shyamala Devi Munisamy and Aruna Rajendran and Akila Muthuramalingam and Yuvaraj Natarajan and Ahmed Abdi Yusuf Ali}, title = {Novel ANOVA-Statistic-Reduced Deep Fully Connected Neural Network for the Damage Grade Prediction of Post-Earthquake Buildings}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6439}, year = {2023}, url = {https://doi.org/10.3390/s23146439}, doi = {10.3390/S23146439}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PreethaaMRMNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SulimanMACPNWT23, author = {Ahmad Suliman and Md Rakibul Mowla and Alaleh Alivar and Charles Carlson and Punit Prakash and Balasubramaniam Natarajan and Steve Warren and David E. Thompson}, title = {Effects of Ballistocardiogram Peak Detection Jitters on the Quality of Heart Rate Variability Features: {A} Simulation-Based Case Study in the Context of Sleep Staging}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2693}, year = {2023}, url = {https://doi.org/10.3390/s23052693}, doi = {10.3390/S23052693}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SulimanMACPNWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/RamachandraN23, author = {Arjun Kodagehalli Ramachandra and Karthik Natarajan}, title = {Tight Probability Bounds with Pairwise Independence}, journal = {{SIAM} J. Discret. Math.}, volume = {37}, number = {2}, pages = {516--555}, year = {2023}, url = {https://doi.org/10.1137/21m1408294}, doi = {10.1137/21M1408294}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/RamachandraN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/KumarNSM23, author = {Rahul Kumar and Shankar Natarajan and Mohamed Akram Ulla Shariff and Parameswaranath Vaduckupurath Mani}, title = {Dynamic User Interface Composition}, journal = {{SN} Comput. Sci.}, volume = {4}, number = {3}, pages = {259}, year = {2023}, url = {https://doi.org/10.1007/s42979-023-01672-w}, doi = {10.1007/S42979-023-01672-W}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/KumarNSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KunduBRSNB23, author = {Shamik Kundu and Suvadeep Banerjee and Arnab Raha and Fei Su and Suriyaprakash Natarajan and Kanad Basu}, title = {Trouble-Shooting at {GAN} Point: Improving Functional Safety in Deep Learning Accelerators}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {8}, pages = {2194--2208}, year = {2023}, url = {https://doi.org/10.1109/TC.2023.3241218}, doi = {10.1109/TC.2023.3241218}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KunduBRSNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ArunachalamKRBNB23, author = {Ayush Arunachalam and Shamik Kundu and Arnab Raha and Suvadeep Banerjee and Suriyaprakash Natarajan and Kanad Basu}, title = {A Novel Low-Power Compression Scheme for Systolic Array-Based Deep Learning Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {4}, pages = {1085--1098}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3198036}, doi = {10.1109/TCAD.2022.3198036}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ArunachalamKRBNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/RajeshPS23, author = {Ramachandran Rajesh and Natarajan Prabaharan and T. K. Santhosh}, title = {Design and Analysis of a Non-Isolated {DC-DC} Converter With a High-Voltage Conversion Ratio}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {6}, pages = {2036--2041}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3226187}, doi = {10.1109/TCSII.2022.3226187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/RajeshPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/MadbhaviNS23, author = {Rahul Madbhavi and Balasubramaniam Natarajan and Babji Srinivasan}, title = {Graph Neural Network-Based Distribution System State Estimators}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {12}, pages = {11630--11639}, year = {2023}, url = {https://doi.org/10.1109/TII.2023.3248082}, doi = {10.1109/TII.2023.3248082}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/MadbhaviNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/HussainSSRS23, author = {K. Mohamed Hussain and Natarajan Sivakumaran and S. Sankaranarayanan and T. K. Radhakrishnan and G. Swaminathan}, title = {An enhanced dynamic soft sensor-based online estimation of missing data for water distribution system with inherent disturbances}, journal = {Trans. Inst. Meas. Control}, volume = {45}, number = {8}, pages = {1579--1603}, year = {2023}, url = {https://doi.org/10.1177/01423312221147553}, doi = {10.1177/01423312221147553}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tinstmc/HussainSSRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/RoyJRB23, author = {Aditi Roy and Kokila Jagadeesh and Natarajan Ramasubramanian and B. Shameedha Begum}, title = {Device-specific security challenges and solution in IoT edge computing: a review}, journal = {J. Supercomput.}, volume = {79}, number = {18}, pages = {20790--20825}, year = {2023}, url = {https://doi.org/10.1007/s11227-023-05450-6}, doi = {10.1007/S11227-023-05450-6}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/RoyJRB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/RajasekaranNP23, author = {James Ranjith Kumar Rajasekaran and Balasubramaniam Natarajan and Anil Pahwa}, title = {Modified Matrix Completion-Based Detection of Stealthy Data Manipulation Attacks in Low Observable Distribution Systems}, journal = {{IEEE} Trans. Smart Grid}, volume = {14}, number = {6}, pages = {4851--4862}, year = {2023}, url = {https://doi.org/10.1109/TSG.2023.3266834}, doi = {10.1109/TSG.2023.3266834}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/RajasekaranNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/SridharamurthyN23, author = {Raghavendra Sridharamurthy and Vijay Natarajan}, title = {Comparative Analysis of Merge Trees Using Local Tree Edit Distance}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {29}, number = {2}, pages = {1518--1530}, year = {2023}, url = {https://doi.org/10.1109/TVCG.2021.3122176}, doi = {10.1109/TVCG.2021.3122176}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/SridharamurthyN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vi/RasheedMMNH23, author = {Farhan Rasheed and Talha Bin Masood and Tejas G. Murthy and Vijay Natarajan and Ingrid Hotz}, title = {Multi-scale visual analysis of cycle characteristics in spatially-embedded graphs}, journal = {Vis. Informatics}, volume = {7}, number = {3}, pages = {49--58}, year = {2023}, url = {https://doi.org/10.1016/j.visinf.2023.06.005}, doi = {10.1016/J.VISINF.2023.06.005}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vi/RasheedMMNH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/IndhumathiAKYP23, author = {R. Indhumathi and K. Amuthabala and G. Kiruthiga and Natarajan Yuvaraj and Anand Pandey}, title = {Design of Task Scheduling and Fault Tolerance Mechanism Based on {GWO} Algorithm for Attaining Better QoS in Cloud System}, journal = {Wirel. Pers. Commun.}, volume = {128}, number = {4}, pages = {2811--2829}, year = {2023}, url = {https://doi.org/10.1007/s11277-022-10072-x}, doi = {10.1007/S11277-022-10072-X}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/IndhumathiAKYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/Natarajan023, author = {Janani Natarajan and Rebekka B.}, title = {Machine Learning Based Small Cell {ON/OFF} for Energy Efficiency in 5G Heterogeneous Networks}, journal = {Wirel. Pers. Commun.}, volume = {130}, number = {4}, pages = {2367--2383}, year = {2023}, url = {https://doi.org/10.1007/s11277-023-10383-7}, doi = {10.1007/S11277-023-10383-7}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/Natarajan023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SathishkumarNN23, author = {N. Sathishkumar and V. Nandalal and Rajesh Natarajan}, title = {Design of a Compact Double-Square-Ring-Shaped Dual-Band Antenna for WiMAX/WLAN Applications}, journal = {Wirel. Pers. Commun.}, volume = {128}, number = {3}, pages = {2073--2084}, year = {2023}, url = {https://doi.org/10.1007/s11277-022-10032-5}, doi = {10.1007/S11277-022-10032-5}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/SathishkumarNN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChengWJZNN23, author = {Jiaxin Cheng and Yue Wu and Ayush Jaiswal and Xu Zhang and Pradeep Natarajan and Prem Natarajan}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {User-Controllable Arbitrary Style Transfer via Entropy Regularization}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {433--441}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i1.25117}, doi = {10.1609/AAAI.V37I1.25117}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChengWJZNN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/FitzGeraldHPMRS23, author = {Jack FitzGerald and Christopher Hench and Charith Peris and Scott Mackie and Kay Rottmann and Ana Sanchez and Aaron Nash and Liam Urbach and Vishesh Kakarala and Richa Singh and Swetha Ranganath and Laurie Crist and Misha Britan and Wouter Leeuwis and G{\"{o}}khan T{\"{u}}r and Prem Natarajan}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{MASSIVE:} {A} 1M-Example Multilingual Natural Language Understanding Dataset with 51 Typologically-Diverse Languages}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {4277--4302}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.235}, doi = {10.18653/V1/2023.ACL-LONG.235}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/FitzGeraldHPMRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/RavichandranSGNJW23, author = {Prabahar Ravichandran and Keshav D. Singh and Charles M. Geddes and Manoj Natarajan and Austin Jaster and Hongquan Wang}, title = {Proximal hyperspectral imaging to classify herbicide-resistant and susceptible kochia (Bassia scoparia)}, booktitle = {11th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2023, Wuhan, China, July 25-28, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Agro-Geoinformatics59224.2023.10233575}, doi = {10.1109/AGRO-GEOINFORMATICS59224.2023.10233575}, timestamp = {Wed, 13 Sep 2023 08:43:29 +0200}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/RavichandranSGNJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/RavichandranSGTJSTN23, author = {Prabahar Ravichandran and Keshav D. Singh and Charles M. Geddes and Breanne Tidemann and Eric Johnson and Steve Shirtliffe and Thomas K. Turkington and Manoj Natarajan}, title = {Utilization of hyperspectral imaging to characterize herbicide phytotoxicity in oat and mustard}, booktitle = {11th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2023, Wuhan, China, July 25-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Agro-Geoinformatics59224.2023.10233445}, doi = {10.1109/AGRO-GEOINFORMATICS59224.2023.10233445}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/RavichandranSGTJSTN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiiot/SaldanhaKSN23, author = {Daniel Lester Saldanha and Raghav T. Kesari and K. Rahul Srinivas and S. Natarajan}, title = {Scene Description Using Keyframe Extraction and Image Captioning}, booktitle = {2023 {IEEE} World {AI} IoT Congress (AIIoT), Seattle, WA, USA, June 7-10, 2023}, pages = {662--668}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIIoT58121.2023.10174593}, doi = {10.1109/AIIOT58121.2023.10174593}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiiot/SaldanhaKSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/GaziSCNLNBHIR23, author = {Asim Hossain Gazi and Jesus Antonio Sanchez{-}Perez and Michael Chan and Mohammad Nikbakht and David Jimmy Lin and Shlok Natarajan and J. Douglas Bremner and Jin{-}Oh Hahn and Omer T. Inan and Christopher J. Rozell}, title = {Physiological Markers Reveal Confounding Effects of Apprehension and Habituation During Stress Protocol}, booktitle = {19th {IEEE} International Conference on Body Sensor Networks, {BSN} 2023, Boston, MA, USA, October 9-11, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BSN58485.2023.10331055}, doi = {10.1109/BSN58485.2023.10331055}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bsn/GaziSCNLNBHIR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/FooRARNA23, author = {C. Y. Foo and P. Rajendran and N. Aswini and V. Raja and Elango Natarajan and Chun Kit Ang}, title = {A Fast-Compressive Tracking Integrated with Differential Evolution to Optimize Object Tracking Performance}, booktitle = {19th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2023, Auckland, New Zealand, August 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CASE56687.2023.10260655}, doi = {10.1109/CASE56687.2023.10260655}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/FooRARNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/SekarNALR23, author = {T. Sekar and Elango Natarajan and Chun Kit Ang and Wei Hong Lim and Parvathy Rajendran}, title = {Modeling of Rotary Tool Adapted Electrochemical Machining of {AISI} 202}, booktitle = {19th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2023, Auckland, New Zealand, August 26-30, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CASE56687.2023.10260464}, doi = {10.1109/CASE56687.2023.10260464}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/SekarNALR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/TanRRN23, author = {Chin How Tan and Parvathy Rajendran and Vijayanandh Raja and Elango Natarajan}, title = {Hybrid Fuzzy C-Means Using Particle Swarm Optimization {(PSO)} and Differential Evolution {(DE)} for Image Segmentation}, booktitle = {19th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2023, Auckland, New Zealand, August 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CASE56687.2023.10260372}, doi = {10.1109/CASE56687.2023.10260372}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/TanRRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/RamananOKN23, author = {Nandini Ramanan and Phillip Odom and Kristian Kersting and Sriraam Natarajan}, title = {Active Feature Acquisition via Human Interaction in Relational domains}, booktitle = {Proceedings of the 6th Joint International Conference on Data Science {\&} Management of Data (10th {ACM} {IKDD} {CODS} and 28th COMAD), Mumbai, India, January 4-7, 2023}, pages = {70--78}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3570991.3571001}, doi = {10.1145/3570991.3571001}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/RamananOKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/doors/KrishnarajMASAM23, author = {Natarajan Krishnaraj and Chirag Madaan and Sanjana Awasthi and Raggav Subramani and Harsh Avinash and Sankalp Mukim}, editor = {Tetiana A. Vakaliuk and Serhiy O. Semerikov}, title = {Common vulnerabilities in real world web applications}, booktitle = {Proceedings of the 3rd Edge Computing Workshop, Zhytomyr, Ukraine, April 7, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3374}, pages = {9--22}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3374/paper01.pdf}, timestamp = {Thu, 14 Sep 2023 17:06:49 +0200}, biburl = {https://dblp.org/rec/conf/doors/KrishnarajMASAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/FungWWKBJN23, author = {Yi Fung and Han Wang and Tong Wang and Ali Kebarighotbi and Mohit Bansal and Heng Ji and Prem Natarajan}, editor = {Andreas Vlachos and Isabelle Augenstein}, title = {DeepMaven: Deep Question Answering on Long-Distance Movie/TV Show Videos with Multimedia Knowledge Extraction and Synthesis}, booktitle = {Proceedings of the 17th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2023, Dubrovnik, Croatia, May 2-6, 2023}, pages = {3033--3043}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.eacl-main.221}, doi = {10.18653/V1/2023.EACL-MAIN.221}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/FungWWKBJN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/GaziSNCNLBHIR23, author = {Asim Hossain Gazi and Jesus Antonio Sanchez{-}Perez and Shlok Natarajan and Michael Chan and Mohammad Nikbakht and David Jimmy Lin and J. Douglas Bremner and Jin{-}Oh Hahn and Omer T. Inan and Christopher J. Rozell}, title = {Leveraging Physiological Markers to Quantify the Transient Effects of Traumatic Stress and Non-Invasive Neuromodulation}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340053}, doi = {10.1109/EMBC40787.2023.10340053}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/GaziSNCNLBHIR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosp/NatarajanLDD23, author = {Deepika Natarajan and Andrew D. Loveless and Wei Dai and Ronald G. Dreslinski}, title = {Chex-Mix: Combining Homomorphic Encryption with Trusted Execution Environments for Oblivious Inference in the Cloud}, booktitle = {8th {IEEE} European Symposium on Security and Privacy, EuroS{\&}P 2023, Delft, Netherlands, July 3-7, 2023}, pages = {73--91}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuroSP57164.2023.00014}, doi = {10.1109/EUROSP57164.2023.00014}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosp/NatarajanLDD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/flairs/RajeshS0SS23, author = {Mohith Rajesh and Sanjiv Sridhar and Chinmay Kulkarni and Aaditya Shah and Natarajan S.}, editor = {Michael Franklin and Soon Ae Chun}, title = {Weight-based multi-stream model for Multi-Modal Video Question Answering}, booktitle = {Proceedings of the Thirty-Sixth International Florida Artificial Intelligence Research Society Conference, {FLAIRS} 2023, Clearwater Beach, FL, USA, May 14-17, 2023}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.32473/flairs.36.133306}, doi = {10.32473/FLAIRS.36.133306}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/flairs/RajeshS0SS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/RozierSTV23, author = {Kristin Y. Rozier and Natarajan Shankar and Cesare Tinelli and Moshe Y. Vardi}, editor = {Alexander Nadel and Kristin Yvonne Rozier}, title = {Developing an Open-Source, State-of-the-Art Symbolic Model-Checking Framework for the Model-Checking Research Community}, booktitle = {Formal Methods in Computer-Aided Design, {FMCAD} 2023, Ames, IA, USA, October 24-27, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.34727/2023/isbn.978-3-85448-060-0\_4}, doi = {10.34727/2023/ISBN.978-3-85448-060-0\_4}, timestamp = {Wed, 13 Dec 2023 14:38:51 +0100}, biburl = {https://dblp.org/rec/conf/fmcad/RozierSTV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/PadmavilochananASSAB23, author = {Aswathi Padmavilochanan and Natarajan Amritha and Lekha Shekhar and Srividya Sheshadri and Parameswari Anitha and Rao R. Bhavani}, title = {{ICT} significance for Community Engagement during {COVID} in Rural India: An application suite}, booktitle = {{IEEE} Global Humanitarian Technology Conference, {GHTC} 2023, Radnor, PA, USA, October 12-15, 2023}, pages = {203--210}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GHTC56179.2023.10354703}, doi = {10.1109/GHTC56179.2023.10354703}, timestamp = {Thu, 25 Jan 2024 09:45:59 +0100}, biburl = {https://dblp.org/rec/conf/ghtc/PadmavilochananASSAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotnets/TanejaBBGPBN0C23, author = {Aryan Taneja and Rahul Bothra and Debopam Bhattacherjee and Rohan Gandhi and Venkata N. Padmanabhan and Ranjita Bhagwan and Nagarajan Natarajan and Saikat Guha and Ross Cutler}, title = {Don't Forget the User: It's Time to Rethink Network Measurements}, booktitle = {Proceedings of the 22nd {ACM} Workshop on Hot Topics in Networks, HotNets 2023, Cambridge, MA, USA, November 28-29, 2023}, pages = {109--116}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626111.3630095}, doi = {10.1145/3626111.3630095}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotnets/TanejaBBGPBN0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/ChaitanyaSGNRA23, author = {Tekumudi Vivek Sai Surya Chaitanya and Kolla Gnapika Sindhu and Bachu Ganesh and B. Natarajan and Elakkiya R and R. Annamalai}, title = {Extractive Document Summarization with Advanced Deep Reinforcement Learning}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {856--861}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397924}, doi = {10.1109/IC3I59117.2023.10397924}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/ChaitanyaSGNRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/PalWJZWCNC23, author = {Anwesan Pal and Sahil Wadhwa and Ayush Jaiswal and Xu Zhang and Yue Wu and Rakesh Chada and Pradeep Natarajan and Henrik I. Christensen}, title = {FashionNTM: Multi-turn Fashion Image Retrieval via Cascaded Memory}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {11289--11300}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01040}, doi = {10.1109/ICCV51070.2023.01040}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/PalWJZWCNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/JimenezDAKCHF23, author = {Laura G{\'{a}}lvez Jim{\'{e}}nez and Lucile Dierckx and Maxime Amodei and Hamed Razavi Khosroshahi and Natarajan Chidambaran and Anh{-}Thu Phan Ho and Alberto Franzin}, title = {Computational Evaluation of the Combination of Semi-Supervised and Active Learning for Histopathology Image Segmentation with Missing Annotations}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {2544--2555}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00269}, doi = {10.1109/ICCVW60793.2023.00269}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/JimenezDAKCHF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MenonOBNRAR23, author = {Alisha Menon and Laura Isabel Galindez Olascoaga and Vamshi Balanaga and Anirudh Natarajan and Jennifer Ruffing and Ryan Ardalan and Jan M. Rabaey}, title = {Shared Control of Assistive Robots through User-intent Prediction and Hyperdimensional Recall of Reactive Behavior}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {12638--12644}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161509}, doi = {10.1109/ICRA48891.2023.10161509}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/MenonOBNRAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/NatarajanJSLC23, author = {Ramkumar Natarajan and Garrison L. H. Johnston and Nabil Simaan and Maxim Likhachev and Howie Choset}, title = {Torque-Limited Manipulation Planning through Contact by Interleaving Graph Search and Trajectory Optimization}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {8148--8154}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161297}, doi = {10.1109/ICRA48891.2023.10161297}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/NatarajanJSLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvs/LiuKNNKAS23, author = {Xiruo Liu and Furqan Khan and Yue Niu and Pradeep Natarajan and Rinat Khaziev and Salman Avestimehr and Prateek Singhal}, editor = {Henrik I. Christensen and Peter Corke and Renaud Detry and Jean{-}Baptiste Weibel and Markus Vincze}, title = {Performance and Failure Cause Estimation for Machine Learning Systems in the Wild}, booktitle = {Computer Vision Systems: 14th International Conference, {ICVS} 2023, Vienna, Austria, September 27-29, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14253}, pages = {377--390}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44137-0\_31}, doi = {10.1007/978-3-031-44137-0\_31}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvs/LiuKNNKAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YeNWPCG23, author = {Sean Ye and Manisha Natarajan and Zixuan Wu and Rohan R. Paleja and Letian Chen and Matthew C. Gombolay}, title = {Learning Models of Adversarial Agent Behavior Under Partial Observability}, booktitle = {{IROS}}, pages = {3688--3695}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341378}, doi = {10.1109/IROS55552.2023.10341378}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YeNWPCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/DahaleMNY23, author = {Shweta Dahale and Sai Munikoti and Balasubramaniam Natarajan and Rui Yang}, title = {Latent Neural {ODE} for Integrating Multi-timescale measurements in Smart Distribution Grids}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2023, Washington, DC, USA, January 16-19, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISGT51731.2023.10066442}, doi = {10.1109/ISGT51731.2023.10066442}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/DahaleMNY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/RoutSN23, author = {Biswajeet Rout and Govind Saraswat and Balasubramaniam Natarajan}, title = {Efficient Network Partitioning: Application for Decentralized State Estimation in Power Distribution Grids}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2023, Washington, DC, USA, January 16-19, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISGT51731.2023.10066393}, doi = {10.1109/ISGT51731.2023.10066393}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/RoutSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArunachalamDRSJ23, author = {Ayush Arunachalam and Sanjay Das and Monikka Rajan and Fei Su and Xiankun Jin and Suvadeep Banerjee and Arnab Raha and Suriyaprakash Natarajan and Kanad Basu}, title = {Enhanced ML-Based Approach for Functional Safety Improvement in Automotive {AMS} Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {266--275}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00043}, doi = {10.1109/ITC51656.2023.00043}, timestamp = {Tue, 09 Jan 2024 17:03:11 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArunachalamDRSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mrs/WuYNCPG23, author = {Zixuan Wu and Sean Ye and Manisha Natarajan and Letian Chen and Rohan R. Paleja and Matthew C. Gombolay}, title = {Adversarial Search and Tracking with Multiagent Reinforcement Learning in Sparsely Observable Environment}, booktitle = {International Symposium on Multi-Robot and Multi-Agent Systems, {MRS} 2023, Boston, MA, USA, December 4-5, 2023}, pages = {43--49}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MRS60187.2023.10416776}, doi = {10.1109/MRS60187.2023.10416776}, timestamp = {Sat, 24 Feb 2024 13:29:09 +0100}, biburl = {https://dblp.org/rec/conf/mrs/WuYNCPG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NatarajanBRVSMMPGI23, author = {Aishwarya Natarajan and Luca Buonanno and Todd Richmond and David Vickers and Xia Sheng and John Moon and Darrin Miller and Giacomo Pedretti and Catherine Graves and Jim Ignowski}, title = {Design Space Exploration of Analog {CAM} for Tree-Based Models}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {93--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10405880}, doi = {10.1109/MWSCAS57524.2023.10405880}, timestamp = {Sat, 24 Feb 2024 20:42:53 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/NatarajanBRVSMMPGI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GaoTS0PSSSYZHAH23, author = {Qiaozi Gao and Govind Thattai and Suhaila Shakiah and Xiaofeng Gao and Shreyas Pansare and Vasu Sharma and Gaurav S. Sukhatme and Hangjie Shi and Bofei Yang and Desheng Zhang and Lucy Hu and Karthika Arumugam and Shui Hu and Matthew Wen and Dinakar Guthy and Shunan Chung and Rohan Khanna and Osman Ipek and Leslie Ball and Kate Bland and Heather Rocker and Michael Johnston and Reza Ghanadan and Dilek Hakkani{-}Tur and Prem Natarajan}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Alexa Arena: {A} User-Centric Interactive Platform for Embodied {AI}}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/3d0758f0b95e19abc68c1c8070d36510-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GaoTS0PSSSYZHAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/KarthikeyanNSZB23, author = {Ajaykrishna Karthikeyan and Nagarajan Natarajan and Gagan Somashekar and Lei Zhao and Ranjita Bhagwan and Rodrigo Fonseca and Tatiana Racheva and Yogesh Bansal}, editor = {Mahesh Balakrishnan and Manya Ghobadi}, title = {SelfTune: Tuning Cluster Managers}, booktitle = {20th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2023, Boston, MA, April 17-19, 2023}, pages = {1097--1114}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/nsdi23/presentation/karthikeyan}, timestamp = {Thu, 11 May 2023 17:08:22 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/KarthikeyanNSZB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BanKHGFRVBHKCMB23, author = {Yoojin Ban and Minkyu Kim and Peter De Heyn and Davide Guermandi and Filippo Ferraro and Natarajan Rajasekaran and Peter Verheyen and Pieter Bex and Junwen He and Hakim Kobbi and Jeroen De Coster and Rafal Magdziak and Dieter Bode and Sebastien Lardenois and Nicolas Pantano and Dimitrios Velenis and Joris Van Campenhout}, title = {Highly Optimized O-band Si Ring Modulators for Low-Power Hybrid CMOS-SiPho Transceivers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116872}, doi = {10.23919/OFC49934.2023.10116872}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BanKHGFRVBHKCMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigecom/Ruan0MN23, author = {Yanqiu Ruan and Xiaobo Li and Karthyek Murthy and Karthik Natarajan}, editor = {Kevin Leyton{-}Brown and Jason D. Hartline and Larry Samuelson}, title = {A Nonparametric Approach with Marginals for Modeling Consumer Choice}, booktitle = {Proceedings of the 24th {ACM} Conference on Economics and Computation, {EC} 2023, London, United Kingdom, July 9-12, 2023}, pages = {1078}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580507.3597743}, doi = {10.1145/3580507.3597743}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigecom/Ruan0MN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/ChowdhurySNS23, author = {Sayak Ray Chowdhury and Gaurav Sinha and Nagarajan Natarajan and Amit Sharma}, editor = {Robin J. Evans and Ilya Shpitser}, title = {Combinatorial categorized bandits with expert rankings}, booktitle = {Uncertainty in Artificial Intelligence, {UAI} 2023, July 31 - 4 August 2023, Pittsburgh, PA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {216}, pages = {403--412}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v216/chowdhury23a.html}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uai/ChowdhurySNS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ZhaoPAWXNKPTAWB23, author = {Mark Zhao and Satadru Pan and Niket Agarwal and Zhaoduo Wen and David Xu and Anand Natarajan and Pavan Kumar and Shiva Shankar P. and Ritesh Tijoriwala and Karan Asher and Hao Wu and Aarti Basant and Daniel Ford and Delia David and Nezih Yigitbasi and Pratap Singh and Carole{-}Jean Wu}, editor = {Julia Lawall and Dan Williams}, title = {Tectonic-Shift: {A} Composite Storage Fabric for Large-Scale {ML} Training}, booktitle = {Proceedings of the 2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {433--449}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/zhao}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ZhaoPAWXNKPTAWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HafezAAABBBBCCC23, author = {Walid M. Hafez and P. Agnihotri and M. Asoro and M. Aykol and B. Bains and R. Bambery and M. Bapna and A. Barik and A. Chatterjee and P. C. Chiu and T. Chu and C. Firby and K. Fischer and M. Fradkin and Hannes Greve and A. Gupta and E. Haralson and M. Haran and Jeffery Hicks and A. Illa and M. Jang and S. Klopcic and M. Kobrinsky and B. Kuns and H.{-}h. Lai and G. Lanni and S.{-}H. Lee and N. Lindert and C.{-}l. Lo and Y. Luo and G. Malyavanatham and B. Marinkovic and Y. Maymon and M. Nabors and J. Neirynck and P. Packan and A. Paliwal and L. Pantisano and Leif Paulson and Padma Penmatsa and Chetan Prasad and Conor Puls and T. Rahman and R. Ramaswamy and S. Samant and Bernhard Sell and K. Sethi and F. Shah and M. Shamanna and K. Shang and Q. Li and M. Sibakoti and J. Stoeger and Nathan Strutt and R. Thirugnanasambandam and C. Tsai and X. Wang and A. Wang and S.{-}j. Wu and Q. Xu and X.{-}h. Zhong and S. Natarajan}, title = {Intel PowerVia Technology: Backside Power Delivery for High Density and High-Performance Computing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185208}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185208}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HafezAAABBBBCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ShamannaAAAABCC23, author = {M. Shamanna and E. Abuayob and G. Aenuganti and C. Alvares and J. Antony and A. Bahudhanam and A. Chandran and P. Chew and A. Chatterjee and B. Chauhan and N. Dandeti and J. Desai and M. Doyle and T. Dmukauskas and P. Farache and E. Fetzer and K. Fischer and P. Hack and Y. Greenzweig and John Giacobbe and Walid M. Hafez and E. Haralson and A. Hegde and A. Illa and M. Islam and S. Jain and M. Jang and J. Nguyen and T. Tong and L. Jiang and Eric Karl and P. Kalangi and G. Khoo and A. Krishnamoorthy and B. Kuns and W. Li and R. Livengood and T. Malik and R. Priyanka and H. Faraby and Y. Maymon and K. Mistry and K. Morgan and S. Natarajan and O. Nevo and M. Oh and P. Pardy and J. Park and P. Penmatsa and Boyd Phelps and C. Peterson and S. Rajappa and A. Raveh and A Rezaie and T. Ravishankar and R. Ramaswamy and S. Reddy and R. Saha and S. Sen and R. Sanchez and R. Sanaga and B. Simkhovich and Bernhard Sell and M. Senger and B. Schnarch and M. Seshadri and O. Sidorov and S. Subramanian and K. Subramanian and B. Truong and S. Bangalore and Jeffery Hicks and S. Venkatesh and D. Christensen and K. Bhargav and M. Von Haartman and P. Joshi and S. Zickel and C.{-}H. Lin and J. Huening and T.{-}H. Wu and N. Bakken and A. Afzal and A. Raman and Sj. Rao and V. Kawar and J. Neirynck and D. Bradley and M. Duwe and S. Wu and V. Patil and M. Bayoumy}, title = {E-Core Implementation in Intel 4 with PowerVia (Backside Power) Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185369}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185369}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ShamannaAAAABCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/MathurJMGDNTKNMM23, author = {Puneet Mathur and Rajiv Jain and Ashutosh Mehra and Jiuxiang Gu and Franck Dernoncourt and Anandhavelu Natarajan and Quan Hung Tran and Verena Kaynig{-}Fittkau and Ani Nenkova and Dinesh Manocha and Vlad I. Morariu}, title = {LayerDoc: Layer-wise Extraction of Spatial Hierarchical Structure in Visually-Rich Documents}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {3599--3609}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00360}, doi = {10.1109/WACV56688.2023.00360}, timestamp = {Tue, 14 Feb 2023 22:26:55 +0100}, biburl = {https://dblp.org/rec/conf/wacv/MathurJMGDNTKNMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whispers/SinghNGRWG23, author = {Keshav D. Singh and Manoj Natarajan and Kamal Gill and Prabahar Ravichandran and Hongquan Wang and Charles M. Geddes}, title = {Digital Imaging System for High-Throughput Plant Phenotyping Using Raspberry Pi Computers}, booktitle = {13th Workshop on Hyperspectral Imaging and Signal Processing: Evolution in Remote Sensing, {WHISPERS} 2023, Athens, Greece, October 31 - November 2, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WHISPERS61460.2023.10430815}, doi = {10.1109/WHISPERS61460.2023.10430815}, timestamp = {Fri, 01 Mar 2024 14:06:59 +0100}, biburl = {https://dblp.org/rec/conf/whispers/SinghNGRWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whispers/WangSPRNE23, author = {Hongquan Wang and Keshav D. Singh and Hari Poudel and Prabahar Ravichandran and Manoj Natarajan and Brandon Eisenreich}, title = {Estimation of Crop Height and Digital Biomass from UAV-Based Multispectral Imagery}, booktitle = {13th Workshop on Hyperspectral Imaging and Signal Processing: Evolution in Remote Sensing, {WHISPERS} 2023, Athens, Greece, October 31 - November 2, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WHISPERS61460.2023.10431021}, doi = {10.1109/WHISPERS61460.2023.10431021}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/whispers/WangSPRNE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/0001BCDGGHKPPVZ23, author = {Rahul Gupta and Lisa Bauer and Kai{-}Wei Chang and Jwala Dhamala and Aram Galstyan and Palash Goyal and Qian Hu and Avni Khatri and Rohit Parimi and Charith Peris and Apurv Verma and Richard S. Zemel and Prem Natarajan}, editor = {Tat{-}Seng Chua and Hady W. Lauw and Luo Si and Evimaria Terzi and Panayiotis Tsaparas}, title = {Incorporating Fairness in Large Scale {NLU} Systems}, booktitle = {Proceedings of the Sixteenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2023, Singapore, 27 February 2023 - 3 March 2023}, pages = {1289--1290}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539597.3575785}, doi = {10.1145/3539597.3575785}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/0001BCDGGHKPPVZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/FiondaHAACCC0DE23, author = {Valeria Fionda and Olaf Hartig and Reyhaneh Abdolazimi and Sihem Amer{-}Yahia and Hongzhi Chen and Xiao Chen and Peng Cui and Jeffrey Dalton and Xin Luna Dong and Lisette Esp{\'{\i}}n{-}Noboa and Wenqi Fan and Manuela Fritz and Quan Gan and Jingtong Gao and Xiaojie Guo and Torsten Hahmann and Jiawei Han and Soyeon Caren Han and Estevam Hruschka and Liang Hu and Jiaxin Huang and Utkarshani Jaimini and Olivier Jeunen and Yushan Jiang and Fariba Karimi and George Karypis and Krishnaram Kenthapadi and Himabindu Lakkaraju and Hady W. Lauw and Thai Le and Trung{-}Hoang Le and Dongwon Lee and Geon Lee and Liat Levontin and Cheng{-}Te Li and Haoyang Li and Ying Li and Jay Chiehen Liao and Qidong Liu and Usha Lokala and Ben London and Siqu Long and Hande K{\"{u}}{\c{c}}{\"{u}}k{-}McGinty and Yu Meng and Seungwhan Moon and Usman Naseem and Pradeep Natarajan and Behrooz Omidvar{-}Tehrani and Zijie Pan and Devesh Parekh and Jian Pei and Tiago Peixoto and Steven Pemberton and Josiah Poon and Filip Radlinski and Federico Rossetto and Kaushik Roy and Aghiles Salah and Mehrnoosh Sameki and Amit P. Sheth and Cogan Shimizu and Kijung Shin and Dongjin Song and Julia Stoyanovich and Dacheng Tao and Johanne Trippas and Quoc Truong and Yu{-}Che Tsai and Adaku Uchendu and Bram van den Akker and Lin Wang and Minjie Wang and Shoujin Wang and Xin Wang and Ingmar Weber and Henry Weld and Lingfei Wu and Da Xu and Yifan Ethan Xu and Shuyuan Xu and Bo Yang and Ke Yang and Elad Yom{-}Tov and Jaemin Yoo and Zhou Yu and Reza Zafarani and Hamed Zamani and Meike Zehlike and Qi Zhang and Xikun Zhang and Yongfeng Zhang and Yu Zhang and Zheng Zhang and Liang Zhao and Xiangyu Zhao and Wenwu Zhu}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Tutorials at The Web Conference 2023}, booktitle = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {648--658}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543873.3587713}, doi = {10.1145/3543873.3587713}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/FiondaHAACCC0DE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-03800, author = {Alakh Aggarwal and Rishita Bansal and Parth Padalkar and Sriraam Natarajan}, title = {MACOptions: Multi-Agent Learning with Centralized Controller and Options Framework}, journal = {CoRR}, volume = {abs/2302.03800}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.03800}, doi = {10.48550/ARXIV.2302.03800}, eprinttype = {arXiv}, eprint = {2302.03800}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-03800.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-01586, author = {Qiaozi Gao and Govind Thattai and Xiaofeng Gao and Suhaila Shakiah and Shreyas Pansare and Vasu Sharma and Gaurav S. Sukhatme and Hangjie Shi and Bofei Yang and Desheng Zheng and Lucy Hu and Karthika Arumugam and Shui Hu and Matthew Wen and Dinakar Guthy and Cadence Chung and Rohan Khanna and Osman Ipek and Leslie Ball and Kate Bland and Heather Rocker and Yadunandana Rao and Michael Johnston and Reza Ghanadan and Arindam Mandal and Dilek Hakkani{-}T{\"{u}}r and Prem Natarajan}, title = {Alexa Arena: {A} User-Centric Interactive Platform for Embodied {AI}}, journal = {CoRR}, volume = {abs/2303.01586}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.01586}, doi = {10.48550/ARXIV.2303.01586}, eprinttype = {arXiv}, eprint = {2303.01586}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-01586.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13526, author = {Neil Natarajan and Reuben Binns and Jun Zhao and Nigel Shadbolt}, title = {Trust Explanations to Do What They Say}, journal = {CoRR}, volume = {abs/2303.13526}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13526}, doi = {10.48550/ARXIV.2303.13526}, eprinttype = {arXiv}, eprint = {2303.13526}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13526.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00060, author = {Harald Ruess and Natarajan Shankar}, title = {Evidential Transactions with Cyberlogic}, journal = {CoRR}, volume = {abs/2304.00060}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00060}, doi = {10.48550/ARXIV.2304.00060}, eprinttype = {arXiv}, eprint = {2304.00060}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-05523, author = {Rakesh Chada and Zhaoheng Zheng and Pradeep Natarajan}, title = {MoMo: {A} shared encoder Model for text, image and multi-Modal representations}, journal = {CoRR}, volume = {abs/2304.05523}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.05523}, doi = {10.48550/ARXIV.2304.05523}, eprinttype = {arXiv}, eprint = {2304.05523}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-05523.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-09617, author = {Karan Singhal and Tao Tu and Juraj Gottweis and Rory Sayres and Ellery Wulczyn and Le Hou and Kevin Clark and Stephen Pfohl and Heather Cole{-}Lewis and Darlene Neal and Mike Schaekermann and Amy Wang and Mohamed Amin and Sami Lachgar and Philip Andrew Mansfield and Sushant Prakash and Bradley Green and Ewa Dominowska and Blaise Ag{\"{u}}era y Arcas and Nenad Tomasev and Yun Liu and Renee Wong and Christopher Semturs and S. Sara Mahdavi and Joelle K. Barral and Dale R. Webster and Gregory S. Corrado and Yossi Matias and Shekoofeh Azizi and Alan Karthikesalingam and Vivek Natarajan}, title = {Towards Expert-Level Medical Question Answering with Large Language Models}, journal = {CoRR}, volume = {abs/2305.09617}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.09617}, doi = {10.48550/ARXIV.2305.09617}, eprinttype = {arXiv}, eprint = {2305.09617}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-09617.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10374, author = {Shagun Jhaver and Alice Qian Zhang and Quanze Chen and Nikhila Natarajan and Ruotong Wang and Amy Xian Zhang}, title = {Personalizing Content Moderation on Social Media: User Perspectives on Moderation Choices, Interface Design, and Labor}, journal = {CoRR}, volume = {abs/2305.10374}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10374}, doi = {10.48550/ARXIV.2305.10374}, eprinttype = {arXiv}, eprint = {2305.10374}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10374.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-11168, author = {Sean Ye and Manisha Natarajan and Zixuan Wu and Rohan R. Paleja and Letian Chen and Matthew C. Gombolay}, title = {Learning Models of Adversarial Agent Behavior under Partial Observability}, journal = {CoRR}, volume = {abs/2306.11168}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.11168}, doi = {10.48550/ARXIV.2306.11168}, eprinttype = {arXiv}, eprint = {2306.11168}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-11168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-11301, author = {Zixuan Wu and Sean Ye and Manisha Natarajan and Letian Chen and Rohan R. Paleja and Matthew C. Gombolay}, title = {Adversarial Search and Track with Multiagent Reinforcement Learning in Sparsely Observable Environment}, journal = {CoRR}, volume = {abs/2306.11301}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.11301}, doi = {10.48550/ARXIV.2306.11301}, eprinttype = {arXiv}, eprint = {2306.11301}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-11301.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12465, author = {Naman Jain and Shubham Gandhi and Atharv Sonwane and Aditya Kanade and Nagarajan Natarajan and Suresh Parthasarathy and Sriram K. Rajamani and Rahul Sharma}, title = {StaticFixer: From Static Analysis to Static Repair}, journal = {CoRR}, volume = {abs/2307.12465}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12465}, doi = {10.48550/ARXIV.2307.12465}, eprinttype = {arXiv}, eprint = {2307.12465}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12465.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-14334, author = {Tao Tu and Shekoofeh Azizi and Danny Driess and Mike Schaekermann and Mohamed Amin and Pi{-}Chuan Chang and Andrew Carroll and Chuck Lau and Ryutaro Tanno and Ira Ktena and Basil Mustafa and Aakanksha Chowdhery and Yun Liu and Simon Kornblith and David J. Fleet and Philip Andrew Mansfield and Sushant Prakash and Renee Wong and Sunny Virmani and Christopher Semturs and S. Sara Mahdavi and Bradley Green and Ewa Dominowska and Blaise Ag{\"{u}}era y Arcas and Joelle K. Barral and Dale R. Webster and Gregory S. Corrado and Yossi Matias and Karan Singhal and Pete Florence and Alan Karthikesalingam and Vivek Natarajan}, title = {Towards Generalist Biomedical {AI}}, journal = {CoRR}, volume = {abs/2307.14334}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.14334}, doi = {10.48550/ARXIV.2307.14334}, eprinttype = {arXiv}, eprint = {2307.14334}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-14334.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01834, author = {Isaac R. Galatzer{-}Levy and Daniel McDuff and Vivek Natarajan and Alan Karthikesalingam and Matteo Malgaroli}, title = {The Capability of Large Language Models to Measure Psychiatric Functioning}, journal = {CoRR}, volume = {abs/2308.01834}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01834}, doi = {10.48550/ARXIV.2308.01834}, eprinttype = {arXiv}, eprint = {2308.01834}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-02677, author = {B. Prabadevi and Natarajan Deepa and Nancy Victor and Thippa Reddy Gadekallu and Praveen Kumar Reddy Maddikunta and Gokul Yenduri and Wei Wang and Quoc{-}Viet Pham and Thien Huynh{-}The and Madhusanka Liyanage}, title = {Metaverse for Industry 5.0 in NextG Communications: Potential Applications and Future Challenges}, journal = {CoRR}, volume = {abs/2308.02677}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.02677}, doi = {10.48550/ARXIV.2308.02677}, eprinttype = {arXiv}, eprint = {2308.02677}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-02677.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05221, author = {Hangjie Shi and Leslie Ball and Govind Thattai and Desheng Zhang and Lucy Hu and Qiaozi Gao and Suhaila Shakiah and Xiaofeng Gao and Aishwarya Padmakumar and Bofei Yang and Cadence Chung and Dinakar Guthy and Gaurav S. Sukhatme and Karthika Arumugam and Matthew Wen and Osman Ipek and Patrick Lange and Rohan Khanna and Shreyas Pansare and Vasu Sharma and Chao Zhang and Cris Flagg and Daniel Pressel and Lavina Vaz and Luke Dai and Prasoon Goyal and Sattvik Sahai and Shaohua Liu and Yao Lu and Anna Gottardi and Shui Hu and Yang Liu and Dilek Hakkani{-}Tur and Kate Bland and Heather Rocker and James Jeun and Yadunandana Rao and Michael Johnston and Akshaya Iyengar and Arindam Mandal and Prem Natarajan and Reza Ghanadan}, title = {Alexa, play with robot: Introducing the First Alexa Prize SimBot Challenge on Embodied {AI}}, journal = {CoRR}, volume = {abs/2308.05221}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05221}, doi = {10.48550/ARXIV.2308.05221}, eprinttype = {arXiv}, eprint = {2308.05221}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05221.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05574, author = {Danish Mohammed Ebadulla and Rahul Raman and S. Natarajan and Hridhay Kiran Shetty and Ashish Harish Shenoy}, title = {Exploring Linguistic Similarity and Zero-Shot Learning for Multilingual Translation of Dravidian Languages}, journal = {CoRR}, volume = {abs/2308.05574}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05574}, doi = {10.48550/ARXIV.2308.05574}, eprinttype = {arXiv}, eprint = {2308.05574}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05574.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10170, author = {Anwesan Pal and Sahil Wadhwa and Ayush Jaiswal and Xu Zhang and Yue Wu and Rakesh Chada and Pradeep Natarajan and Henrik I. Christensen}, title = {FashionNTM: Multi-turn Fashion Image Retrieval via Cascaded Memory}, journal = {CoRR}, volume = {abs/2308.10170}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10170}, doi = {10.48550/ARXIV.2308.10170}, eprinttype = {arXiv}, eprint = {2308.10170}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10170.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01336, author = {Rohit Dube and Natarajan Gautam and Amarnath Banerjee and Harsha Nagarajan}, title = {Learning for Interval Prediction of Electricity Demand: {A} Cluster-based Bootstrapping Approach}, journal = {CoRR}, volume = {abs/2309.01336}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01336}, doi = {10.48550/ARXIV.2309.01336}, eprinttype = {arXiv}, eprint = {2309.01336}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01336.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12938, author = {Nalin Wadhwa and Jui Pradhan and Atharv Sonwane and Surya Prakash Sahu and Nagarajan Natarajan and Aditya Kanade and Suresh Parthasarathy and Sriram K. Rajamani}, title = {Frustrated with Code Quality Issues? LLMs can Help!}, journal = {CoRR}, volume = {abs/2309.12938}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12938}, doi = {10.48550/ARXIV.2309.12938}, eprinttype = {arXiv}, eprint = {2309.12938}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-19733, author = {Sayak Ray Chowdhury and Xingyu Zhou and Nagarajan Natarajan}, title = {Differentially Private Reward Estimation with Preference Feedback}, journal = {CoRR}, volume = {abs/2310.19733}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.19733}, doi = {10.48550/ARXIV.2310.19733}, eprinttype = {arXiv}, eprint = {2310.19733}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-19733.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-20158, author = {Daman Arora and Anush Kini and Sayak Ray Chowdhury and Nagarajan Natarajan and Gaurav Sinha and Amit Sharma}, title = {GAR-meets-RAG Paradigm for Zero-Shot Information Retrieval}, journal = {CoRR}, volume = {abs/2310.20158}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.20158}, doi = {10.48550/ARXIV.2310.20158}, eprinttype = {arXiv}, eprint = {2310.20158}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-20158.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-18260, author = {Ryutaro Tanno and David G. T. Barrett and Andrew Sellergren and Sumedh Ghaisas and Sumanth Dathathri and Abigail See and Johannes Welbl and Karan Singhal and Shekoofeh Azizi and Tao Tu and Mike Schaekermann and Rhys May and Roy Lee and SiWai Man and Zahra Ahmed and S. Sara Mahdavi and Danielle Belgrave and Vivek Natarajan and Shravya Shetty and Pushmeet Kohli and Po{-}Sen Huang and Alan Karthikesalingam and Ira Ktena}, title = {Consensus, dissensus and synergy between clinicians and specialist foundation models in radiology report generation}, journal = {CoRR}, volume = {abs/2311.18260}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.18260}, doi = {10.48550/ARXIV.2311.18260}, eprinttype = {arXiv}, eprint = {2311.18260}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-18260.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-00164, author = {Daniel McDuff and Mike Schaekermann and Tao Tu and Anil Palepu and Amy Wang and Jake Garrison and Karan Singhal and Yash Sharma and Shekoofeh Azizi and Kavita Kulkarni and Le Hou and Yong Cheng and Yun Liu and S. Sara Mahdavi and Sushant Prakash and Anupam Pathak and Christopher Semturs and Shwetak N. Patel and Dale R. Webster and Ewa Dominowska and Juraj Gottweis and Joelle K. Barral and Katherine Chou and Gregory S. Corrado and Yossi Matias and Jake Sunshine and Alan Karthikesalingam and Vivek Natarajan}, title = {Towards Accurate Differential Diagnosis with Large Language Models}, journal = {CoRR}, volume = {abs/2312.00164}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.00164}, doi = {10.48550/ARXIV.2312.00164}, eprinttype = {arXiv}, eprint = {2312.00164}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-00164.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AlrifaeyLANSJT22, author = {Moath Alrifaey and Wei Hong Lim and Chun Kit Ang and Elango Natarajan and Mahmud Iwan Solihin and Mohd Rizon Bin Mohammed Juhari and Sew Sun Tiang}, title = {Hybrid Deep Learning Model for Fault Detection and Classification of Grid-Connected Photovoltaic System}, journal = {{IEEE} Access}, volume = {10}, pages = {13852--13869}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3140287}, doi = {10.1109/ACCESS.2022.3140287}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AlrifaeyLANSJT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GargJN22, author = {Robin Garg and Sanket Jain and Arun S. Natarajan}, title = {Wideband, Millimeter Wave Domain {SI} Canceling ({\textgreater}50dB) In-Band Full-Duplex Circulator Receiver}, journal = {{IEEE} Access}, volume = {10}, pages = {37953--37966}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3165033}, doi = {10.1109/ACCESS.2022.3165033}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GargJN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KumarKKKMBA22, author = {Balachandran Praveen Kumar and Cherukuri Santhan Kumar and Kalyan Raj Kaniganti and Natarajan Karuppiah and Rathinam Muniraj and Thanikanti Sudhakar Babu and Hassan Haes Alhelou}, title = {Performance Enhancement of Partial Shaded Photovoltaic System With the Novel Screw Pattern Array Configuration Scheme}, journal = {{IEEE} Access}, volume = {10}, pages = {1731--1744}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3138917}, doi = {10.1109/ACCESS.2021.3138917}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KumarKKKMBA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NatarajanEEKAGS22, author = {B. Natarajan and Rajalakshmi Elangovan and R. Elakkiya and Ketan Kotecha and Ajith Abraham and Lubna Abdel Kareim Gabralla and V. Subramaniyaswamy}, title = {Development of an End-to-End Deep Learning Framework for Sign Language Recognition, Translation, and Video Generation}, journal = {{IEEE} Access}, volume = {10}, pages = {104358--104374}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3210543}, doi = {10.1109/ACCESS.2022.3210543}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NatarajanEEKAGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NatarajanVKIPSR22, author = {Senthilselvan Natarajan and Subramaniyaswamy Vairavasundaram and Ketan Kotecha and V. Indragandhi and Saravanan Palani and Jatinderkumar R. Saini and Logesh Ravi}, title = {CD-SemMF: Cross-Domain Semantic Relatedness Based Matrix Factorization Model Enabled With Linked Open Data for User Cold Start Issue}, journal = {{IEEE} Access}, volume = {10}, pages = {52955--52970}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3175566}, doi = {10.1109/ACCESS.2022.3175566}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NatarajanVKIPSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SudhaVSP22, author = {Velu Sudha and K. Vijayarekha and Rakesh Kumar Sidharthan and Natarajan Prabaharan}, title = {Combined Optimizer for Automatic Design of Machine Learning-Based Fault Classifier for Multilevel Inverters}, journal = {{IEEE} Access}, volume = {10}, pages = {121096--121108}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3193784}, doi = {10.1109/ACCESS.2022.3193784}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SudhaVSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/GautamGGRMMAGSS22, author = {Vishakha Gautam and Rahul Gupta and Deepti Gupta and Anubhav Ruhela and Aayushi Mittal and Sanjay Kumar Mohanty and Sakshi Arora and Ria Gupta and Chandan Saini and Debarka Sengupta and Natarajan Arul Murugan and Gaurav Ahuja}, title = {\emph{deepGraphh}: AI-driven web service for graph-based quantitative structure-activity relationship analysis}, journal = {Briefings Bioinform.}, volume = {23}, number = {5}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac288}, doi = {10.1093/BIB/BBAC288}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/GautamGGRMMAGSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/braininf/MenonNASALSR22, author = {Alisha Menon and Anirudh Natarajan and Reva Agashe and Daniel Sun and Melvin Aristio and Harrison Liew and Yakun Sophia Shao and Jan M. Rabaey}, title = {Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata}, journal = {Brain Informatics}, volume = {9}, number = {1}, pages = {14}, year = {2022}, url = {https://doi.org/10.1186/s40708-022-00162-8}, doi = {10.1186/S40708-022-00162-8}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/braininf/MenonNASALSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/NatarajanGZMBKE22, author = {Senthilkumar Natarajan and Vishnuvarthanan Govindaraj and Yudong Zhang and Pallikonda Rajasekaran Murugan and Kannapiran Balasubramanian and Karunanithi Kandasamy and Khurram Ejaz}, title = {Minimally parametrized segmentation framework with dual metaheuristic optimisation algorithms and {FCM} for detection of anomalies in {MR} brain images}, journal = {Biomed. Signal Process. Control.}, volume = {78}, pages = {103866}, year = {2022}, url = {https://doi.org/10.1016/j.bspc.2022.103866}, doi = {10.1016/J.BSPC.2022.103866}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/NatarajanGZMBKE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/SumathiVRR22, author = {Muruganandam Sumathi and Natarajan Vijayaraj and Raja Soosaimarian Peter Raj and Murugesan Rajkamal}, title = {Internet of Thing Based Confidential Healthcare Data Storage, Access Control and Monitoring Using Blockchain Technique}, journal = {Comput. Informatics}, volume = {41}, number = {5}, pages = {1207--1239}, year = {2022}, url = {https://doi.org/10.31577/cai\_2022\_5\_1207}, doi = {10.31577/CAI\_2022\_5\_1207}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cai/SumathiVRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/AngNISRTATL22, author = {Koon Meng Ang and Elango Natarajan and Nor Ashidi Mat Isa and Abhishek Sharma and Hameedur Rahman and Richie Yi Shiun Then and Moath Alrifaey and Sew Sun Tiang and Wei Hong Lim}, title = {Modified teaching-learning-based optimization and applications in multi-response machining processes}, journal = {Comput. Ind. Eng.}, volume = {174}, pages = {108719}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108719}, doi = {10.1016/J.CIE.2022.108719}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/AngNISRTATL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/NatarajanGNZMKE22, author = {Senthilkumar Natarajan and Vishnuvarthanan Govindaraj and Ravipudi Venkata Rao Narayana and Yu{-}Dong Zhang and Pallikonda Rajasekaran Murugan and Karunanithi Kandasamy and Khurram Ejaz}, title = {A novel triple-level combinational framework for brain anomaly segmentation to augment clinical diagnosis}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {10}, number = {1}, pages = {96--111}, year = {2022}, url = {https://doi.org/10.1080/21681163.2021.1986858}, doi = {10.1080/21681163.2021.1986858}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/NatarajanGNZMKE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/BabuSN22, author = {Rathish Babu Thirukonda Krishnamoorthy Sivakumar Babu and Suresh Sivasubramanian and Sankarram Natarajan}, title = {{MLPNN-RF:} Software fault prediction based on robust weight based optimization and Jacobian adaptive neural network}, journal = {Concurr. Comput. Pract. Exp.}, volume = {34}, number = {21}, year = {2022}, url = {https://doi.org/10.1002/cpe.7122}, doi = {10.1002/CPE.7122}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/BabuSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/RajanSG22, author = {Sudarshan Rajan and Kaarthik Sundar and Natarajan Gautam}, title = {Routing Problem for Unmanned Aerial Vehicle Patrolling Missions - {A} Progressive Hedging Algorithm}, journal = {Comput. Oper. Res.}, volume = {142}, pages = {105702}, year = {2022}, url = {https://doi.org/10.1016/j.cor.2022.105702}, doi = {10.1016/J.COR.2022.105702}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/RajanSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/SrivastavaSVKP22, author = {Gautam Srivastava and Muneeswari S and Revathi Venkataraman and V. Kavitha and N. Parthiban}, title = {A review of the state of the art in business intelligence software}, journal = {Enterp. Inf. Syst.}, volume = {16}, number = {1}, pages = {1--28}, year = {2022}, url = {https://doi.org/10.1080/17517575.2021.1872107}, doi = {10.1080/17517575.2021.1872107}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eis/SrivastavaSVKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/DeepaPNBPGMFP22, author = {Natarajan Deepa and Quoc{-}Viet Pham and Dinh C. Nguyen and Sweta Bhattacharya and B. Prabadevi and Thippa Reddy Gadekallu and Praveen Kumar Reddy Maddikunta and Fang Fang and Pubudu N. Pathirana}, title = {A survey on blockchain for big data: Approaches, opportunities, and future directions}, journal = {Future Gener. Comput. Syst.}, volume = {131}, pages = {209--226}, year = {2022}, url = {https://doi.org/10.1016/j.future.2022.01.017}, doi = {10.1016/J.FUTURE.2022.01.017}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/DeepaPNBPGMFP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/PillaiH22, author = {Natarajan Sowri Raja Pillai and Ranganathan Rani Hemamalini}, title = {Hybrid user acceptance test procedure to improve the software quality}, journal = {Int. Arab J. Inf. Technol.}, volume = {19}, number = {6}, pages = {956--964}, year = {2022}, url = {https://doi.org/10.34028/iajit/19/6/14}, doi = {10.34028/IAJIT/19/6/14}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iajit/PillaiH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/RajaramVN22, author = {Sangeetha Rajaram and Satyanarayana Vollala and Ramasubramanian Natarajan}, title = {\emph{ERMAP}: ECC-based robust mutual authentication protocol for smart grid communication with {AVISPA} simulations}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {41}, number = {4}, pages = {232--245}, year = {2022}, url = {https://doi.org/10.1504/IJAHUC.2022.10051164}, doi = {10.1504/IJAHUC.2022.10051164}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/RajaramVN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/NatarajanB22, author = {Janani Natarajan and Rebekka B.}, title = {Cell throughput contribution rate based sleep control algorithm for energy efficiency in 5G heterogeneous networks}, journal = {Int. J. Commun. Syst.}, volume = {35}, number = {13}, year = {2022}, url = {https://doi.org/10.1002/dac.5235}, doi = {10.1002/DAC.5235}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/NatarajanB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitwe/PBSNKPHT22, author = {Tamil Selvi P. and Kishore Balasubramaniam and Vidhya S. and Jayapandian N. and Ramya K. and M. Poongodi and Mounir Hamdi and Godwin Brown Tunze}, title = {Social Network User Profiling With Multilayer Semantic Modeling Using Ego Network}, journal = {Int. J. Inf. Technol. Web Eng.}, volume = {17}, number = {1}, pages = {1--14}, year = {2022}, url = {https://doi.org/10.4018/ijitwe.304049}, doi = {10.4018/IJITWE.304049}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitwe/PBSNKPHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmei/PrabadeviDKGS22, author = {B. Prabadevi and Natarajan Deepa and L. B. Krithika and Ravi Raj Gulati and R. Sivakumar}, title = {Detecting heart ailments by investigating {ECG} with neural networks}, journal = {Int. J. Medical Eng. Informatics}, volume = {14}, number = {5}, pages = {414--423}, year = {2022}, url = {https://doi.org/10.1504/IJMEI.2021.10043225}, doi = {10.1504/IJMEI.2021.10043225}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmei/PrabadeviDKGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/NithiyanandamRS22, author = {Natarajan Nithiyanandam and Rajesh Manoharan and Ramachandran Sitharthan and Shanmuga Sundar Dhanabalan and Krishnasamy Vengatesan and Madurakavi Karthikeyan}, title = {Optimization of Performance and Scalability Measures across Cloud Based IoT Applications with Efficient Scheduling Approach}, journal = {Int. J. Wirel. Inf. Networks}, volume = {29}, number = {4}, pages = {442--453}, year = {2022}, url = {https://doi.org/10.1007/s10776-022-00568-5}, doi = {10.1007/S10776-022-00568-5}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/NithiyanandamRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GadekalluPNMDPP22, author = {Thippa Reddy Gadekallu and Quoc{-}Viet Pham and Dinh C. Nguyen and Praveen Kumar Reddy Maddikunta and Natarajan Deepa and B. Prabadevi and Pubudu N. Pathirana and Jun Zhao and Won{-}Joo Hwang}, title = {Blockchain for Edge of Things: Applications, Opportunities, and Challenges}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {2}, pages = {964--988}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3119639}, doi = {10.1109/JIOT.2021.3119639}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/GadekalluPNMDPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/KumarARNG22, author = {G. Joselin Retna Kumar and G. Pius Agbulu and T. V. Rahul and A. V. Natarajan and K. Gokul}, title = {A cloud-assisted mesh sensor network solution for public zone air pollution real-time data acquisition}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {13}, number = {9}, pages = {4159--4173}, year = {2022}, url = {https://doi.org/10.1007/s12652-022-03704-4}, doi = {10.1007/S12652-022-03704-4}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/KumarARNG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ChoEEWRBDN22, author = {Sylvia Cho and Ipek Ensari and No{\'{e}}mie Elhadad and Chunhua Weng and Jennifer M. Radin and Brinnae Bent and Pooja M. Desai and Karthik Natarajan}, title = {An interactive fitness-for-use data completeness tool to assess activity tracker data}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {12}, pages = {2032--2040}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac166}, doi = {10.1093/JAMIA/OCAC166}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/ChoEEWRBDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SuliemanCCNMMRH22, author = {Lina M. Sulieman and Robert M. Cronin and Robert J. Carroll and Karthik Natarajan and Kayla Marginean and Brandy Mapes and Dan M. Roden and Paul A. Harris and Andrea H. Ramirez}, title = {Comparing medical history data derived from electronic health records and survey answers in the \emph{All of Us} Research Program}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {7}, pages = {1131--1141}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac046}, doi = {10.1093/JAMIA/OCAC046}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SuliemanCCNMMRH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcin/TiwariVRBL22, author = {Utkarsh Tiwari and Satyanarayana Vollala and Natarajan Ramasubramanian and B. Shameedha Begum and G. Lakshminarayanan}, title = {Secure and Energy Efficient Design of Multi-Modular Exponential Techniques for Public-Key Cryptosystem}, journal = {J. Commun. Inf. Networks}, volume = {7}, number = {3}, pages = {309--323}, year = {2022}, url = {https://doi.org/10.23919/jcin.2022.9906944}, doi = {10.23919/JCIN.2022.9906944}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcin/TiwariVRBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/NatarajanGZD22, author = {Mahesh Natarajan and Ray W. Grout and Weiqun Zhang and Marcus S. Day}, title = {A moving embedded boundary approach for the compressible Navier-Stokes equations in a block-structured adaptive refinement framework}, journal = {J. Comput. Phys.}, volume = {465}, pages = {111315}, year = {2022}, url = {https://doi.org/10.1016/j.jcp.2022.111315}, doi = {10.1016/J.JCP.2022.111315}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/NatarajanGZD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/KandasamyCNS22, author = {Prabaakaran Kandasamy and Kumar Chandrasekaran and Rajesh Natarajan and Jaisiva Selvaraj}, title = {Deep CNN-LSTM-Based {DSTATCOM} for Power Quality Enhancement in Microgrid}, journal = {J. Circuits Syst. Comput.}, volume = {31}, number = {7}, pages = {2250130:1--2250130:38}, year = {2022}, url = {https://doi.org/10.1142/S0218126622501304}, doi = {10.1142/S0218126622501304}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/KandasamyCNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/RamarajuKJKANC22, author = {Satish Kumar Ramaraju and Thenmalar Kaliannan and Sheela Androse Joseph and Umadevi Kumaravel and Johny Renoald Albert and Arun Vignesh Natarajan and Gokul Prasad Chellakutty}, title = {Design and experimental investigation on {VL-MLI} intended for half height {(H-H)} method to improve power quality using modified particle swarm optimization {(MPSO)} algorithm}, journal = {J. Intell. Fuzzy Syst.}, volume = {42}, number = {6}, pages = {5939--5956}, year = {2022}, url = {https://doi.org/10.3233/JIFS-212583}, doi = {10.3233/JIFS-212583}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/RamarajuKJKANC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/MaddikuntaPBDDG22, author = {Praveen Kumar Reddy Maddikunta and Quoc{-}Viet Pham and Prabadevi B and Natarajan Deepa and Kapal Dev and Thippa Reddy Gadekallu and Rukhsana Ruby and Madhusanka Liyanage}, title = {Industry 5.0: {A} survey on enabling technologies and potential applications}, journal = {J. Ind. Inf. Integr.}, volume = {26}, pages = {100257}, year = {2022}, url = {https://doi.org/10.1016/j.jii.2021.100257}, doi = {10.1016/J.JII.2021.100257}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiii/MaddikuntaPBDDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/DAmourHMAABCDEH22, author = {Alexander D'Amour and Katherine A. Heller and Dan Moldovan and Ben Adlam and Babak Alipanahi and Alex Beutel and Christina Chen and Jonathan Deaton and Jacob Eisenstein and Matthew D. Hoffman and Farhad Hormozdiari and Neil Houlsby and Shaobo Hou and Ghassen Jerfel and Alan Karthikesalingam and Mario Lucic and Yi{-}An Ma and Cory Y. McLean and Diana Mincu and Akinori Mitani and Andrea Montanari and Zachary Nado and Vivek Natarajan and Christopher Nielson and Thomas F. Osborne and Rajiv Raman and Kim Ramasamy and Rory Sayres and Jessica Schrouff and Martin Seneviratne and Shannon Sequeira and Harini Suresh and Victor Veitch and Max Vladymyrov and Xuezhi Wang and Kellie Webster and Steve Yadlowsky and Taedong Yun and Xiaohua Zhai and D. Sculley}, title = {Underspecification Presents Challenges for Credibility in Modern Machine Learning}, journal = {J. Mach. Learn. Res.}, volume = {23}, pages = {226:1--226:61}, year = {2022}, url = {https://jmlr.org/papers/v23/20-1335.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/DAmourHMAABCDEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BialekBASJKN22, author = {Hayden Bialek and Ali Binaie and Sohail Ahasan and Kamala Raghavan Sadagopan and Matthew L. Johnston and Harish Krishnaswamy and Arun Natarajan}, title = {A Passive Wideband Noise-Canceling Mixer-First Architecture With Shared Antenna Interface for Interferer-Tolerant Wake-Up Receivers and Low-Noise Primary Receivers}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {9}, pages = {2611--2625}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3148088}, doi = {10.1109/JSSC.2022.3148088}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BialekBASJKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/RoyRALNMPFLBVBW22, author = {Abhijit Guha Roy and Jie Ren and Shekoofeh Azizi and Aaron Loh and Vivek Natarajan and Basil Mustafa and Nick Pawlowski and Jan Freyberg and Yuan Liu and Zachary Beaver and Nam Vo and Peggy Bui and Samantha Winter and Patricia MacWilliams and Gregory S. Corrado and Umesh Telang and Yun Liu and A. Taylan Cemgil and Alan Karthikesalingam and Balaji Lakshminarayanan and Jim Winkens}, title = {Does your dermatology classifier know what it doesn't know? Detecting the long-tail of unseen conditions}, journal = {Medical Image Anal.}, volume = {75}, pages = {102274}, year = {2022}, url = {https://doi.org/10.1016/j.media.2021.102274}, doi = {10.1016/J.MEDIA.2021.102274}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/RoyRALNMPFLBVBW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/VesalGBNMBFHSR22, author = {Sulaiman Vesal and Iani J. M. B. Gayo and Indrani Bhattacharya and Shyam Natarajan and Leonard S. Marks and Dean C. Barratt and Richard E. Fan and Yipeng Hu and Geoffrey A. Sonn and Mirabela Rusu}, title = {Domain generalization for prostate segmentation in transrectal ultrasound images: {A} multi-center study}, journal = {Medical Image Anal.}, volume = {82}, pages = {102620}, year = {2022}, url = {https://doi.org/10.1016/j.media.2022.102620}, doi = {10.1016/J.MEDIA.2022.102620}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/VesalGBNMBFHSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/TiwariVRB22, author = {Utkarsh Tiwari and Satyanarayana Vollala and Natarajan Ramasubramanian and B. Shameedha Begum}, title = {Efficient hardware realization and high radix implementation of modular multi exponential techniques for public key cryptography}, journal = {Microelectron. J.}, volume = {128}, pages = {105548}, year = {2022}, url = {https://doi.org/10.1016/j.mejo.2022.105548}, doi = {10.1016/J.MEJO.2022.105548}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/TiwariVRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JayanthiBSSA22, author = {Natarajan Jayanthi and Utkarsh Bajaj and Dishant Shahi and Rohan Soni and Tarun Anand}, title = {Speech and gesture analysis: a new approach}, journal = {Multim. Tools Appl.}, volume = {81}, number = {15}, pages = {20763--20779}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12685-7}, doi = {10.1007/S11042-022-12685-7}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JayanthiBSSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/VaradiAABCDNNPT22, author = {Mihaly Varadi and Stephen Anyango and David R. Armstrong and John M. Berrisford and Preeti Choudhary and Mandar S. Deshpande and Nurul Nadzirin and Sreenath Nair and Luk{\'{a}}s Pravda and Ahsan Tanweer and Bissan Al{-}Lazikani and Claudia Andreini and Geoffrey J. Barton and David Bednar and Karel Berka and Tom L. Blundell and Kelly Brock and Jos{\'{e}} Mar{\'{\i}}a Carazo and Jir{\'{\i}} Damborsk{\'{y}} and Alessia David and Sucharita Dey and Roland L. Dunbrack Jr. and Juan Fern{\'{a}}ndez{-}Recio and Franca Fraternali and Toby J. Gibson and Manuela Helmer{-}Citterich and David Hoksza and Thomas A. Hopf and David Jakubec and Natarajan Kannan and Radoslav Kriv{\'{a}}k and Manjeet Kumar and Emmanuel D. Levy and Nir London and Jos{\'{e}} Ram{\'{o}}n Mac{\'{\i}}as and Mallur Srivatsan Madhusudhan and Debora S. Marks and Lennart Martens and Stuart A McGowan and Jake E. McGreig and Vivek Modi and R. Gonzalo Parra and Gerardo Pepe and Damiano Piovesan and Jaime Prilusky and Valeria Putignano and Leandro G. Radusky and Pathmanaban Ramasamy and Atilio O. Rausch and Nathalie Reuter and Luis A. Rodriguez and Nathan J. Rollins and Antonio Rosato and Pawel Rubach and Luis Serrano and Gulzar Singh and Petr Skoda and Carlos Oscar S{\'{a}}nchez Sorzano and Jan Stourac and Joanna I. Sulkowska and Radka Svobodov{\'{a}} Varekov{\'{a}} and Natalia Tichshenko and Silvio C. E. Tosatto and Wim F. Vranken and Mark N. Wass and Dandan Xue and Daniel Zaidman and Janet M. Thornton and Michael J. E. Sternberg and Christine A. Orengo and Sameer Velankar}, title = {PDBe-KB: collaboratively defining the biological context of structural data}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {534--542}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab988}, doi = {10.1093/NAR/GKAB988}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/VaradiAABCDNNPT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/RamirezSSHQRLMB22, author = {Andrea H. Ramirez and Lina M. Sulieman and David J. Schlueter and Alese E. Halvorson and Jun Qian and Francis Ratsimbazafy and Roxana Loperena{-}Cortes and Kelsey R. Mayo and Melissa A. Basford and Nicole Deflaux and Karthik Muthuraman and Karthik Natarajan and Abel N. Kho and Hua Xu and Consuelo H. Wilkins and Hoda Anton{-}Culver and Eric Boerwinkle and Mine Cicek and Cheryl R. Clark and Elizabeth Cohn and Lucila Ohno{-}Machado and Sheri D. Schully and Brian K. Ahmedani and Maria Argos and Robert M. Cronin and Christopher J. O'Donnell and Mona Fouad and David B. Goldstein and Philip Greenland and Scott J. Hebbring and Elizabeth W. Karlson and Parinda Khatri and Bruce Korf and Jordan W. Smoller and Stephen Sodeke and John Wilbanks and Justin Hentges and Stephen Mockrin and Christopher Lunt and Stephanie A. Devaney and Kelly Gebo and Joshua C. Denny and Robert J. Carroll and David Glazer and Paul A. Harris and George Hripcsak and Anthony A. Philippakis and Dan M. Roden}, title = {The \emph{All of Us} Research Program: Data quality, utility, and diversity}, journal = {Patterns}, volume = {3}, number = {8}, pages = {100570}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2022.100570}, doi = {10.1016/J.PATTER.2022.100570}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/RamirezSSHQRLMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/phycomm/NatarajanB22, author = {Janani Natarajan and Rebekka B.}, title = {Stochastic geometry analysis of coverage probability in energy efficient dense heterogeneous network with sleep control mechanism}, journal = {Phys. Commun.}, volume = {54}, pages = {101797}, year = {2022}, url = {https://doi.org/10.1016/j.phycom.2022.101797}, doi = {10.1016/J.PHYCOM.2022.101797}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/phycomm/NatarajanB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/saem/RajaramVRJ22, author = {Sangeetha Rajaram and Satyanarayana Vollala and Natarajan Ramasubramanian and Kokila Jagadeesh}, title = {Enhanced and secured random number generation for eUASBP}, journal = {Int. J. Syst. Assur. Eng. Manag.}, volume = {13}, number = {3}, pages = {1135--1150}, year = {2022}, url = {https://doi.org/10.1007/s13198-021-01408-0}, doi = {10.1007/S13198-021-01408-0}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/saem/RajaramVRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sap/RajamanickamVR22, author = {Siranjeevi Rajamanickam and Satyanarayana Vollala and Natarajan Ramasubramanian}, title = {{EAPIOD:} {ECC} based authentication protocol for insider attack protection in IoD scenario}, journal = {Secur. Priv.}, volume = {5}, number = {5}, year = {2022}, url = {https://doi.org/10.1002/spy2.248}, doi = {10.1002/SPY2.248}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sap/RajamanickamVR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AgarwalRWBDACPA22, author = {Deepesh Agarwal and Philip Randall and Zachary White and Bayleigh Bisnette and Jenalee Dickson and Cross Allen and Faraz Chamani and Punit Prakash and Carl Ade and Balasubramaniam Natarajan}, title = {A Non-Invasive Hydration Monitoring Technique Using Microwave Transmission and Data-Driven Approaches}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2536}, year = {2022}, url = {https://doi.org/10.3390/s22072536}, doi = {10.3390/S22072536}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AgarwalRWBDACPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NatarajanMMDH22, author = {Rajathi Natarajan and Geetha Megharaj and Adam Marchewka and Parameshachari Bidare Divakarachari and Manoj Raghubir Hans}, title = {Energy and Distance Based Multi-Objective Red Fox Optimization Algorithm in Wireless Sensor Network}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3761}, year = {2022}, url = {https://doi.org/10.3390/s22103761}, doi = {10.3390/S22103761}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NatarajanMMDH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PreethaaNRLCPY22, author = {Sri Preethaa and Yuvaraj Natarajan and Arun Pandian Rathinakumar and Dong{-}Eun Lee and Young Choi and Young{-}Jun Park and Chang{-}Yong Yi}, title = {A Stacked Generalization Model to Enhance Prediction of Earthquake-Induced Soil Liquefaction}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7292}, year = {2022}, url = {https://doi.org/10.3390/s22197292}, doi = {10.3390/S22197292}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PreethaaNRLCPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamjo/PadmanabhanARN22, author = {Divya Padmanabhan and Selin Damla Ahipasaoglu and Arjun Kodagehalli Ramachandra and Karthik Natarajan}, title = {Extremal Probability Bounds in Combinatorial Optimization}, journal = {{SIAM} J. Optim.}, volume = {32}, number = {4}, pages = {2828--2858}, year = {2022}, url = {https://doi.org/10.1137/21m1442504}, doi = {10.1137/21M1442504}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamjo/PadmanabhanARN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/NatarajanE22, author = {B. Natarajan and R. Elakkiya}, title = {Dynamic {GAN} for high-quality sign language video generation from skeletal poses using generative adversarial networks}, journal = {Soft Comput.}, volume = {26}, number = {23}, pages = {13153--13175}, year = {2022}, url = {https://doi.org/10.1007/s00500-022-07014-x}, doi = {10.1007/S00500-022-07014-X}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/NatarajanE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/ArivazhaganSBNB22, author = {N. Arivazhagan and Krishnan Somasundaram and D. Vijendra Babu and M. Gomathy Nayagam and R. M. Bommi and Gouse Baig Mohammad and Puranam Revanth Kumar and Yuvaraj Natarajan and V. J. Arulkarthick and V. K. Shanmuganathan and Kannan Srihari and M. Ragul Vignesh and Venkatesa Prabhu Sundramurthy}, title = {Cloud-Internet of Health Things {(IOHT)} Task Scheduling Using Hybrid Moth Flame Optimization with Deep Neural Network Algorithm for {E} Healthcare Systems}, journal = {Sci. Program.}, volume = {2022}, pages = {4100352:1--4100352:12}, year = {2022}, url = {https://doi.org/10.1155/2022/4100352}, doi = {10.1155/2022/4100352}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/ArivazhaganSBNB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/SureshKSKPR22, author = {Velamuri Suresh and M. V. V. Prasad Kantipudi and Ramachandran Sitharthan and Dharmaraj Kanakadhurga and Natarajan Prabaharan and A. Rajkumar}, title = {A Q-learning based electric vehicle scheduling technique in a distribution system for power loss curtailment}, journal = {Sustain. Comput. Informatics Syst.}, volume = {36}, pages = {100798}, year = {2022}, url = {https://doi.org/10.1016/j.suscom.2022.100798}, doi = {10.1016/J.SUSCOM.2022.100798}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/SureshKSKPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/GeogheganZPWMN22, author = {Rory Geoghegan and Le Zhang and Alan Priester and Holden H. Wu and Leonard S. Marks and Shyam Natarajan}, title = {Interstitial Optical Monitoring of Focal Laser Ablation}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {8}, pages = {2545--2556}, year = {2022}, url = {https://doi.org/10.1109/TBME.2022.3150279}, doi = {10.1109/TBME.2022.3150279}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/GeogheganZPWMN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/NatarajanBYCMIH22, author = {Keerthana Natarajan and Robert C. Block and Mohammad Yavarimanesh and Anand Chandrasekhar and Lalit K. Mestha and Omer T. Inan and Jin{-}Oh Hahn and Ramakrishna Mukkamala}, title = {Photoplethysmography Fast Upstroke Time Intervals Can Be Useful Features for Cuff-Less Measurement of Blood Pressure Changes in Humans}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {53--62}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3087105}, doi = {10.1109/TBME.2021.3087105}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/NatarajanBYCMIH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/YavarimaneshBNM22, author = {Mohammad Yavarimanesh and Robert C. Block and Keerthana Natarajan and Lalit K. Mestha and Omer T. Inan and Jin{-}Oh Hahn and Ramakrishna Mukkamala}, title = {Assessment of Calibration Models for Cuff-Less Blood Pressure Measurement After One Year of Aging}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {6}, pages = {2087--2093}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3136492}, doi = {10.1109/TBME.2021.3136492}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/YavarimaneshBNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/MondalRPR22, author = {Debajyoti Mondal and Angelin Jemima Rajasingh and N. Parthiban and Indra Rajasingh}, title = {APX-hardness and approximation for the \emph{k}-burning number problem}, journal = {Theor. Comput. Sci.}, volume = {932}, pages = {21--30}, year = {2022}, url = {https://doi.org/10.1016/j.tcs.2022.08.001}, doi = {10.1016/J.TCS.2022.08.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/MondalRPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thri/LiuNG22, author = {Ruisen Liu and Manisha Natarajan and Matthew C. Gombolay}, title = {Coordinating Human-Robot Teams with Dynamic and Stochastic Task Proficiencies}, journal = {{ACM} Trans. Hum. Robot Interact.}, volume = {11}, number = {1}, pages = {5:1--5:42}, year = {2022}, url = {https://doi.org/10.1145/3477391}, doi = {10.1145/3477391}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thri/LiuNG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/RoutDN22, author = {Biswajeet Rout and Shweta Dahale and Balasubramaniam Natarajan}, title = {Dynamic Matrix Completion Based State Estimation in Distribution Grids}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {18}, number = {11}, pages = {7504--7511}, year = {2022}, url = {https://doi.org/10.1109/TII.2022.3162210}, doi = {10.1109/TII.2022.3162210}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/RoutDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PonnusamyBSAMY22, author = {Muruganantham Ponnusamy and Pradeep Bedi and Tamilarasi Suresh and Aravindhan Alagarsamy and R. Manikandan and Natarajan Yuvaraj}, title = {Design and analysis of text document clustering using salp swarm algorithm}, journal = {J. Supercomput.}, volume = {78}, number = {14}, pages = {16197--16213}, year = {2022}, url = {https://doi.org/10.1007/s11227-022-04525-0}, doi = {10.1007/S11227-022-04525-0}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PonnusamyBSAMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/MoghadamNJ22, author = {Rohollah Moghadam and Pappa Natarajan and Sarangapani Jagannathan}, title = {Online Optimal Adaptive Control of Partially Uncertain Nonlinear Discrete-Time Systems Using Multilayer Neural Networks}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {9}, pages = {4840--4850}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3061414}, doi = {10.1109/TNNLS.2021.3061414}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/MoghadamNJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SathishkumarNN22, author = {N. Sathishkumar and V. Nandalal and Rajesh Natarajan}, title = {Design of Dual Mode {AVA} with Enhanced Radiation Characteristics}, journal = {Wirel. Pers. Commun.}, volume = {125}, number = {4}, pages = {3249--3259}, year = {2022}, url = {https://doi.org/10.1007/s11277-022-09708-9}, doi = {10.1007/S11277-022-09708-9}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/SathishkumarNN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YuvarajPRK22, author = {Natarajan Yuvaraj and K. Praghash and Rajan Arshath Raja and T. Karthikeyan}, title = {An Investigation of Garbage Disposal Electric Vehicles (GDEVs) Integrated with Deep Neural Networking {(DNN)} and Intelligent Transportation System {(ITS)} in Smart City Management System {(SCMS)}}, journal = {Wirel. Pers. Commun.}, volume = {123}, number = {2}, pages = {1733--1752}, year = {2022}, url = {https://doi.org/10.1007/s11277-021-09210-8}, doi = {10.1007/S11277-021-09210-8}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/YuvarajPRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YuvarajRKP22, author = {Natarajan Yuvaraj and Rajan Arshath Raja and T. Karthikeyan and K. Praghash}, title = {Improved Authentication in Secured Multicast Wireless Sensor Network {(MWSN)} Using Opposition Frog Leaping Algorithm to Resist Man-in-Middle Attack}, journal = {Wirel. Pers. Commun.}, volume = {123}, number = {2}, pages = {1715--1731}, year = {2022}, url = {https://doi.org/10.1007/s11277-021-09209-1}, doi = {10.1007/S11277-021-09209-1}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/YuvarajRKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/YangGFSY0NHJ22, author = {Yu Yang and Aayush Gupta and Jianwei Feng and Prateek Singhal and Vivek Yadav and Yue Wu and Pradeep Natarajan and Varsha Hedau and Jungseock Joo}, editor = {Vincent Conitzer and John Tasioulas and Matthias Scheutz and Ryan Calo and Martina Mara and Annette Zimmermann}, title = {Enhancing Fairness in Face Detection in Computer Vision Systems by Demographic Bias Mitigation}, booktitle = {{AIES} '22: {AAAI/ACM} Conference on AI, Ethics, and Society, Oxford, United Kingdom, May 19 - 21, 2021}, pages = {813--822}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514094.3534153}, doi = {10.1145/3514094.3534153}, timestamp = {Fri, 29 Jul 2022 10:48:28 +0200}, biburl = {https://dblp.org/rec/conf/aies/YangGFSY0NHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aime/SkinnerYRN22, author = {Michael A. Skinner and Priscilla Yu and Lakshmi Raman and Sriraam Natarajan}, editor = {Martin Michalowski and Syed Sibte Raza Abidi and Samina Abidi}, title = {An Anytime Querying Algorithm for Predicting Cardiac Arrest in Children: Work-in-Progress}, booktitle = {Artificial Intelligence in Medicine - 20th International Conference on Artificial Intelligence in Medicine, {AIME} 2022, Halifax, NS, Canada, June 14-17, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13263}, pages = {353--357}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-09342-5\_34}, doi = {10.1007/978-3-031-09342-5\_34}, timestamp = {Thu, 14 Jul 2022 15:54:02 +0200}, biburl = {https://dblp.org/rec/conf/aime/SkinnerYRN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/ChenNR22, author = {Yuqiao Chen and Sriraam Natarajan and Nicholas Ruozzi}, editor = {Gustau Camps{-}Valls and Francisco J. R. Ruiz and Isabel Valera}, title = {Relational Neural Markov Random Fields}, booktitle = {International Conference on Artificial Intelligence and Statistics, {AISTATS} 2022, 28-30 March 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {151}, pages = {8260--8269}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v151/chen22f.html}, timestamp = {Sat, 30 Sep 2023 09:34:08 +0200}, biburl = {https://dblp.org/rec/conf/aistats/ChenNR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/AcharyaNN22, author = {Nripendra D. Acharya and Harry Reyes Nieva and Karthik Natarajan}, title = {Analyzing healthcare-seeking behavior among All of Us enrollees in the era of {COVID-19}}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f008-1.4640715/f008-1.4640716/653-1.4641740/1097-1.4641737}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/AcharyaNN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/MasterSHNCMMKL22, author = {Hiral Master and Lina Sulieman and Paul A. Harris and Karthik Natarajan and Robert J. Carroll and Kayla Marginean and Kelsey R. Mayo and Aymone Kouame and Michael Lyons}, title = {Self-paced Training Modality to Promote the Use of All of Us Researcher Workbench in Educational and Research Settings}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f004-1.4642638/f004-1.4642639/5053-1.4642667/5036-1.4642664}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/MasterSHNCMMKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MoghadamFJN22, author = {Rohollah Moghadam and Behzad Farzanegan and Sarangapani Jagannathan and P. Natarajan}, title = {Optimal Adaptive Output Regulation of Uncertain Nonlinear Discrete-time Systems using Lifelong Concurrent Learning}, booktitle = {61st {IEEE} Conference on Decision and Control, {CDC} 2022, Cancun, Mexico, December 6-9, 2022}, pages = {2005--2010}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CDC51059.2022.9993219}, doi = {10.1109/CDC51059.2022.9993219}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/MoghadamFJN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coco/IraniNNRY22, author = {Sandy Irani and Anand Natarajan and Chinmay Nirkhe and Sujit Rao and Henry Yuen}, editor = {Shachar Lovett}, title = {Quantum Search-To-Decision Reductions and the State Synthesis Problem}, booktitle = {37th Computational Complexity Conference, {CCC} 2022, July 20-23, 2022, Philadelphia, PA, {USA}}, series = {LIPIcs}, volume = {234}, pages = {5:1--5:19}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.CCC.2022.5}, doi = {10.4230/LIPICS.CCC.2022.5}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/coco/IraniNNRY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/NatarajanKM22, author = {Vijayarangan Natarajan and Rashmi Kumari and Sakthi Priya M}, title = {Hospitality Industry 4.0 - Customize and Optimize Real-Time Allocation of Rooms}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {1895--1900}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00341}, doi = {10.1109/CSCI58124.2022.00341}, timestamp = {Mon, 22 Apr 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/csci/NatarajanKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0013PTRWN22, author = {Feng Gao and Qing Ping and Govind Thattai and Aishwarya N. Reganti and Ying Nian Wu and Prem Natarajan}, title = {Transform-Retrieve-Generate: Natural Language-Centric Outside-Knowledge Visual Question Answering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {5057--5067}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00501}, doi = {10.1109/CVPR52688.2022.00501}, timestamp = {Tue, 04 Oct 2022 15:11:56 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0013PTRWN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChenZ0GNCA22, author = {Jianhang Chen and Xu Zhang and Yue Wu and Shalini Ghosh and Pradeep Natarajan and Shih{-}Fu Chang and Jan P. Allebach}, title = {One-Stage Object Referring with Gaze Estimation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {5017--5026}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00550}, doi = {10.1109/CVPRW56347.2022.00550}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChenZ0GNCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GoenkaZJC0HN22, author = {Sonam Goenka and Zhaoheng Zheng and Ayush Jaiswal and Rakesh Chada and Yue Wu and Varsha Hedau and Pradeep Natarajan}, title = {FashionVLP: Vision Language Transformer for Fashion Retrieval with Feedback}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {14085--14095}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01371}, doi = {10.1109/CVPR52688.2022.01371}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GoenkaZJC0HN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dddas/RamananOBKN22, author = {Nandini Ramanan and Phillip Odom and Erik Blasch and Kristian Kersting and Sriraam Natarajan}, editor = {Erik Blasch and Frederica Darema and Alex Aved}, title = {Relational Active Feature Elicitation for {DDDAS}}, booktitle = {Dynamic Data Driven Applications Systems - 4th International Conference, {DDDAS} 2022, Cambridge, MA, USA, October 6-10, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13984}, pages = {227--232}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-52670-1\_22}, doi = {10.1007/978-3-031-52670-1\_22}, timestamp = {Mon, 04 Mar 2024 23:17:41 +0100}, biburl = {https://dblp.org/rec/conf/dddas/RamananOBKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eit/NatarajanYS22, author = {Ravishankar Natarajan and Xinrui Yu and Jafar Saniie}, title = {Machine Learning Safety System for Treadmill Users}, booktitle = {2022 {IEEE} International Conference on Electro Information Technology, {EIT} 2022, Mankato, MN, USA, May 19-21, 2022}, pages = {209--214}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/eIT53891.2022.9813874}, doi = {10.1109/EIT53891.2022.9813874}, timestamp = {Wed, 03 Aug 2022 11:48:24 +0200}, biburl = {https://dblp.org/rec/conf/eit/NatarajanYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/HaoLFGSCNGT22, author = {Jie Hao and Yang Liu and Xing Fan and Saurabh Gupta and Saleh Soltan and Rakesh Chada and Pradeep Natarajan and Chenlei Guo and G{\"{o}}khan T{\"{u}}r}, editor = {Yunyao Li and Angeliki Lazaridou}, title = {{CGF:} Constrained Generation Framework for Query Rewriting in Conversational {AI}}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing: {EMNLP} 2022 - Industry Track, Abu Dhabi, UAE, December 7 - 11, 2022}, pages = {475--483}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-industry.48}, doi = {10.18653/V1/2022.EMNLP-INDUSTRY.48}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/HaoLFGSCNGT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MahapatraNGN22, author = {Aniruddha Mahapatra and Sharmila Reddy Nangi and Aparna Garimella and Anandhavelu Natarajan}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Entity Extraction in Low Resource Domains with Selective Pre-training of Large Language Models}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {942--951}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.61}, doi = {10.18653/V1/2022.EMNLP-MAIN.61}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/MahapatraNGN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/SchroedlKHZVRGN22, author = {Stefan Schroedl and Manoj Kumar and Kiana Hajebi and Morteza Ziyadi and Sriram Venkatapathy and Anil Ramakrishna and Rahul Gupta and Pradeep Natarajan}, editor = {Yunyao Li and Angeliki Lazaridou}, title = {Improving Large-Scale Conversational Assistants using Model Interpretation based Training Sample Selection}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing: {EMNLP} 2022 - Industry Track, Abu Dhabi, UAE, December 7 - 11, 2022}, pages = {371--378}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-industry.37}, doi = {10.18653/V1/2022.EMNLP-INDUSTRY.37}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/SchroedlKHZVRGN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/faw/MondalPR22, author = {Debajyoti Mondal and N. Parthiban and Indra Rajasingh}, editor = {Minming Li and Xiaoming Sun}, title = {Bounds for the Oriented Diameter of Planar Triangulations}, booktitle = {Frontiers of Algorithmic Wisdom - International Joint Conference, {IJTCS-FAW} 2022, Hong Kong, China, August 15-19, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13461}, pages = {192--205}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20796-9\_14}, doi = {10.1007/978-3-031-20796-9\_14}, timestamp = {Thu, 27 Apr 2023 09:01:00 +0200}, biburl = {https://dblp.org/rec/conf/faw/MondalPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/KokelPRBTN22, author = {Harsha Kokel and Nikhilesh Prabhakar and Balaraman Ravindran and Erik Blasch and Prasad Tadepalli and Sriraam Natarajan}, title = {Hybrid Deep RePReL: Integrating Relational Planning and Reinforcement Learning for Information Fusion}, booktitle = {25th International Conference on Information Fusion, {FUSION} 2022, Link{\"{o}}ping, Sweden, July 4-7, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9841246}, timestamp = {Fri, 12 Aug 2022 23:34:34 +0200}, biburl = {https://dblp.org/rec/conf/fusion/KokelPRBTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MenonNOKBR22, author = {Alisha Menon and Anirudh Natarajan and Laura Isabel Galindez Olascoaga and Youbin Kim and Braeden C. Benedict and Jan M. Rabaey}, title = {On the Role of Hyperdimensional Computing for Behavioral Prioritization in Reactive Robot Navigation Tasks}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {7335--7341}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811939}, doi = {10.1109/ICRA46639.2022.9811939}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/MenonNOKBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/JainVINPR022, author = {Naman Jain and Skanda Vaidyanath and Arun Shankar Iyer and Nagarajan Natarajan and Suresh Parthasarathy and Sriram K. Rajamani and Rahul Sharma}, title = {Jigsaw: Large Language Models meet Program Synthesis}, booktitle = {44th {IEEE/ACM} 44th International Conference on Software Engineering, {ICSE} 2022, Pittsburgh, PA, USA, May 25-27, 2022}, pages = {1219--1231}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3510003.3510203}, doi = {10.1145/3510003.3510203}, timestamp = {Mon, 18 Jul 2022 16:47:42 +0200}, biburl = {https://dblp.org/rec/conf/icse/JainVINPR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/NatarajanRWNS22, author = {Yuvaraj Natarajan and Sri Preethaa K. R. and Gitanjali Wadhwa and Mathivathani Natarajan and Lekshmipriya Saravanan}, editor = {Ajith Abraham and Sabri Pllana and Gabriella Casalino and Kun Ma and Anu Bajaj}, title = {Enhanced Road Damage Detection for Smart City Surveillance}, booktitle = {Intelligent Systems Design and Applications - 22nd International Conference on Intelligent Systems Design and Applications {(ISDA} 2022) Held December 12-14, 2022 - Volume 2}, series = {Lecture Notes in Networks and Systems}, volume = {715}, pages = {385--395}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-35507-3\_37}, doi = {10.1007/978-3-031-35507-3\_37}, timestamp = {Thu, 22 Feb 2024 09:42:25 +0100}, biburl = {https://dblp.org/rec/conf/isda/NatarajanRWNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/AbujubbehRPN22, author = {Mohammad Abujubbeh and R. James Ranjith Kumar and Anil Pahwa and Balasubramaniam Natarajan}, title = {Optimal Voltage Control in Low-Observable Unbalanced Distribution Systems}, booktitle = {2022 {IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2022, New Orleans, LA, USA, April 24-28, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISGT50606.2022.9817471}, doi = {10.1109/ISGT50606.2022.9817471}, timestamp = {Fri, 22 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isgt/AbujubbehRPN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/RajasekaranNPW22, author = {James Ranjith Kumar Rajasekaran and Balasubramaniam Natarajan and Anil Pahwa and Hongyu Wu}, title = {Detection of Stealthy False Data Injection Attacks in Unobservable Distribution Networks}, booktitle = {2022 {IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2022, New Orleans, LA, USA, April 24-28, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISGT50606.2022.9817491}, doi = {10.1109/ISGT50606.2022.9817491}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isgt/RajasekaranNPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/FitzGeraldAABBB22, author = {Jack FitzGerald and Shankar Ananthakrishnan and Konstantine Arkoudas and Davide Bernardi and Abhishek Bhagia and Claudio Delli Bovi and Jin Cao and Rakesh Chada and Amit Chauhan and Luoxin Chen and Anurag Dwarakanath and Satyam Dwivedi and Turan Gojayev and Karthik Gopalakrishnan and Thomas Gueudr{\'{e}} and Dilek Hakkani{-}Tur and Wael Hamza and Jonathan J. H{\"{u}}ser and Kevin Martin Jose and Haidar Khan and Beiye Liu and Jianhua Lu and Alessandro Manzotti and Pradeep Natarajan and Karolina Owczarzak and Gokmen Oz and Enrico Palumbo and Charith Peris and Chandana Satya Prakash and Stephen Rawls and Andy Rosenbaum and Anjali Shenoy and Saleh Soltan and Mukund Harakere Sridhar and Lizhen Tan and Fabian Triefenbach and Pan Wei and Haiyang Yu and Shuai Zheng and G{\"{o}}khan T{\"{u}}r and Prem Natarajan}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Alexa Teacher Model: Pretraining and Distilling Multi-Billion-Parameter Encoders for Natural Language Understanding Systems}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {2893--2902}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539173}, doi = {10.1145/3534678.3539173}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/FitzGeraldAABBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msr/ChidambaramM22, author = {Natarajan Chidambaram and Pooya Rostami Mazrae}, title = {Bot Detection in GitHub Repositories}, booktitle = {19th {IEEE/ACM} International Conference on Mining Software Repositories, {MSR} 2022, Pittsburgh, PA, USA, May 23-24, 2022}, pages = {726--728}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524842.3528520}, doi = {10.1145/3524842.3528520}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/msr/ChidambaramM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/KhazievSRCKN22, author = {Rinat Khaziev and Usman Shahid and Tobias R{\"{o}}ding and Rakesh Chada and Emir Kapanci and Pradeep Natarajan}, editor = {Anastassia Loukina and Rashmi Gangadharaiah and Bonan Min}, title = {{FPI:} Failure Point Isolation in Large-scale Conversational Assistants}, booktitle = {Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies: Industry Track, {NAACL} 2022, Hybrid: Seattle, Washington, {USA} + Online, July 10-15, 2022}, pages = {141--148}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.naacl-industry.17}, doi = {10.18653/V1/2022.NAACL-INDUSTRY.17}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/KhazievSRCKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nfm/BhattRMBVS22, author = {Devesh Bhatt and Hao Ren and Anitha Murugesan and Jason Biatek and Srivatsan Varadarajan and Natarajan Shankar}, editor = {Jyotirmoy V. Deshmukh and Klaus Havelund and Ivan Perez}, title = {Requirements-Driven Model Checking and Test Generation for Comprehensive Verification}, booktitle = {{NASA} Formal Methods - 14th International Symposium, {NFM} 2022, Pasadena, CA, USA, May 24-27, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13260}, pages = {576--596}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06773-0\_31}, doi = {10.1007/978-3-031-06773-0\_31}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nfm/BhattRMBVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SchrouffHKASOBR22, author = {Jessica Schrouff and Natalie Harris and Sanmi Koyejo and Ibrahim M. Alabdulmohsin and Eva Schnider and Krista Opsahl{-}Ong and Alexander Brown and Subhrajit Roy and Diana Mincu and Christina Chen and Awa Dieng and Yuan Liu and Vivek Natarajan and Alan Karthikesalingam and Katherine A. Heller and Silvia Chiappa and Alexander D'Amour}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Diagnosing failures of fairness transfer across distribution shift in real-world medical settings}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/7a969c30dc7e74d4e891c8ffb217cf79-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SchrouffHKASOBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pgm/KaranamMRHKN22, author = {Athresh Karanam and Saurabh Mathur and Predrag Radivojac and David M. Haas and Kristian Kersting and Sriraam Natarajan}, editor = {Antonio Salmer{\'{o}}n and Rafael Rum{\'{\i}}}, title = {Explaining Deep Tractable Probabilistic Models: The sum-product network case}, booktitle = {International Conference on Probabilistic Graphical Models, {PGM} 2022, 5-7 October 2022, Almer{\'{\i}}a, Spain}, series = {Proceedings of Machine Learning Research}, volume = {186}, pages = {325--336}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v186/karanam22a.html}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pgm/KaranamMRHKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkia/RoyJNB22, author = {Aditi Roy and Kokila Jagadeesh and Ramasubramanian Natarajan and B. Shameedha Begum}, title = {Random Number Generation for {PKI} Using Controlled Anderson {PUF}}, booktitle = {{IEEE} International Conference on Public Key Infrastructure and its Applications, {PKIA} 2022, Bangalore, India, September 9-10, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PKIA56009.2022.9952259}, doi = {10.1109/PKIA56009.2022.9952259}, timestamp = {Thu, 01 Dec 2022 15:24:23 +0100}, biburl = {https://dblp.org/rec/conf/pkia/RoyJNB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/PendyalaRRQJL22, author = {Pranavi Pendyala and Sriya I Reddi and Arjun Rajasekar and Syed Falahuddin Quadri and N. Jaisankar and Ramesh Loganathan}, title = {Framework for Automated Attendance {\&} Attention Tracking to Address Learning Gaps Due to Pandemic}, booktitle = {{IEEE} International Conference on Teaching, Assessment and Learning for Engineering, {TALE} 2022, Hung Hom, Hong Kong, December 4-7, 2022}, pages = {645--650}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/TALE54877.2022.00154}, doi = {10.1109/TALE54877.2022.00154}, timestamp = {Wed, 05 Jul 2023 10:30:34 +0200}, biburl = {https://dblp.org/rec/conf/tale/PendyalaRRQJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/PandeyTSNNS22, author = {Amit Pandey and Brendan Tully and Abhijeet Samudra and Ajay Nagarandal and Karthikeyan Natarajan and Rahul Singhal}, title = {Novel Technique for Manufacturing {\&} In-system Testing of Large Scale SoC using Functional Protocol Based High-Speed {I/O}}, booktitle = {40th {IEEE} {VLSI} Test Symposium, {VTS} 2022, San Diego, CA, USA, April 25-27, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTS52500.2021.9794234}, doi = {10.1109/VTS52500.2021.9794234}, timestamp = {Wed, 22 Jun 2022 15:24:48 +0200}, biburl = {https://dblp.org/rec/conf/vts/PandeyTSNNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-05299, author = {Feng Gao and Qing Ping and Govind Thattai and Aishwarya N. Reganti and Ying Nian Wu and Prem Natarajan}, title = {A Thousand Words Are Worth More Than a Picture: Natural Language-Centric Outside-Knowledge Visual Question Answering}, journal = {CoRR}, volume = {abs/2201.05299}, year = {2022}, url = {https://arxiv.org/abs/2201.05299}, eprinttype = {arXiv}, eprint = {2201.05299}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-05299.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-01034, author = {Jessica Schrouff and Natalie Harris and Oluwasanmi Koyejo and Ibrahim Alabdulmohsin and Eva Schnider and Krista Opsahl{-}Ong and Alexander Brown and Subhrajit Roy and Diana Mincu and Christina Chen and Awa Dieng and Yuan Liu and Vivek Natarajan and Alan Karthikesalingam and Katherine A. Heller and Silvia Chiappa and Alexander D'Amour}, title = {Maintaining fairness across distribution shift: do we have viable solutions for real-world applications?}, journal = {CoRR}, volume = {abs/2202.01034}, year = {2022}, url = {https://arxiv.org/abs/2202.01034}, eprinttype = {arXiv}, eprint = {2202.01034}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-01034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04641, author = {Yoann Pelet and Ittoop Vergheese Puthoor and Natarajan Venkatachalam and S{\"{o}}ren Wengerowsky and Martin Loncaric and Sebastian Philipp Neumann and Bo Liu and Zeljko Samec and Mario Stipcevic and Rupert Ursin and Erika Andersson and John G. Rarity and Djeylan Aktas and Siddarth Koduru Joshi}, title = {Unconditionally secure digital signatures implemented in an 8-user quantum network}, journal = {CoRR}, volume = {abs/2202.04641}, year = {2022}, url = {https://arxiv.org/abs/2202.04641}, eprinttype = {arXiv}, eprint = {2202.04641}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04253, author = {Debajyoti Mondal and N. Parthiban and Indra Rajasingh}, title = {Oriented Diameter of Planar Triangulations}, journal = {CoRR}, volume = {abs/2203.04253}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04253}, doi = {10.48550/ARXIV.2203.04253}, eprinttype = {arXiv}, eprint = {2203.04253}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04253.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-15178, author = {Natarajan Shankar and Devesh Bhatt and Michael D. Ernst and Minyoung Kim and Srivatsan Varadarajan and Suzanne Millstein and Jorge Navas and Jason Biatek and Huascar Sanchez and Anitha Murugesan and Hao Ren}, title = {DesCert: Design for Certification}, journal = {CoRR}, volume = {abs/2203.15178}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.15178}, doi = {10.48550/ARXIV.2203.15178}, eprinttype = {arXiv}, eprint = {2203.15178}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-15178.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-16997, author = {Natarajan Chidambaram and Pooya Rostami Mazrae}, title = {Bot Detection in GitHub Repositories}, journal = {CoRR}, volume = {abs/2203.16997}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.16997}, doi = {10.48550/ARXIV.2203.16997}, eprinttype = {arXiv}, eprint = {2203.16997}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-16997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08582, author = {Jack FitzGerald and Christopher Hench and Charith Peris and Scott Mackie and Kay Rottmann and Ana Sanchez and Aaron Nash and Liam Urbach and Vishesh Kakarala and Richa Singh and Swetha Ranganath and Laurie Crist and Misha Britan and Wouter Leeuwis and G{\"{o}}khan T{\"{u}}r and Prem Natarajan}, title = {{MASSIVE:} {A} 1M-Example Multilingual Natural Language Understanding Dataset with 51 Typologically-Diverse Languages}, journal = {CoRR}, volume = {abs/2204.08582}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08582}, doi = {10.48550/ARXIV.2204.08582}, eprinttype = {arXiv}, eprint = {2204.08582}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09723, author = {Shekoofeh Azizi and Laura Culp and Jan Freyberg and Basil Mustafa and Sebastien Baur and Simon Kornblith and Ting Chen and Patricia MacWilliams and S. Sara Mahdavi and Ellery Wulczyn and Boris Babenko and Megan Wilson and Aaron Loh and Po{-}Hsuan Cameron Chen and Yuan Liu and Pinal Bavishi and Scott Mayer McKinney and Jim Winkens and Abhijit Guha Roy and Zachary Beaver and Fiona Ryan and Justin Krogue and Mozziyar Etemadi and Umesh Telang and Yun Liu and Lily Peng and Gregory S. Corrado and Dale R. Webster and David J. Fleet and Geoffrey E. Hinton and Neil Houlsby and Alan Karthikesalingam and Mohammad Norouzi and Vivek Natarajan}, title = {Robust and Efficient Medical Imaging with Self-Supervision}, journal = {CoRR}, volume = {abs/2205.09723}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09723}, doi = {10.48550/ARXIV.2205.09723}, eprinttype = {arXiv}, eprint = {2205.09723}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07808, author = {Jack FitzGerald and Shankar Ananthakrishnan and Konstantine Arkoudas and Davide Bernardi and Abhishek Bhagia and Claudio Delli Bovi and Jin Cao and Rakesh Chada and Amit Chauhan and Luoxin Chen and Anurag Dwarakanath and Satyam Dwivedi and Turan Gojayev and Karthik Gopalakrishnan and Thomas Gueudr{\'{e}} and Dilek Hakkani{-}Tur and Wael Hamza and Jonathan J. H{\"{u}}ser and Kevin Martin Jose and Haidar Khan and Beiye Liu and Jianhua Lu and Alessandro Manzotti and Pradeep Natarajan and Karolina Owczarzak and Gokmen Oz and Enrico Palumbo and Charith Peris and Chandana Satya Prakash and Stephen Rawls and Andy Rosenbaum and Anjali Shenoy and Saleh Soltan and Mukund Harakere Sridhar and Liz Tan and Fabian Triefenbach and Pan Wei and Haiyang Yu and Shuai Zheng and G{\"{o}}khan T{\"{u}}r and Prem Natarajan}, title = {Alexa Teacher Model: Pretraining and Distilling Multi-Billion-Parameter Encoders for Natural Language Understanding Systems}, journal = {CoRR}, volume = {abs/2206.07808}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07808}, doi = {10.48550/ARXIV.2206.07808}, eprinttype = {arXiv}, eprint = {2206.07808}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07904, author = {Siwen Yan and Sriraam Natarajan and Saket Joshi and Roni Khardon and Prasad Tadepalli}, title = {Explainable Models via Compression of Tree Ensembles}, journal = {CoRR}, volume = {abs/2206.07904}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07904}, doi = {10.48550/ARXIV.2206.07904}, eprinttype = {arXiv}, eprint = {2206.07904}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01809, author = {Yiren Wang and Fatima{-}tuz{-}Zahra and Rong Zablocki and Chongzhi Di and Marta M. Jankowska and John Bellettiere and Jordan A. Carlson and Andrea Z. LaCroix and Sheri J. Hartman and Dori E. Rosenberg and Jingjing Zou and Loki Natarajan}, title = {Sedentary Behavior Estimation with Hip-worn Accelerometer Data: Segmentation, Classification and Thresholding}, journal = {CoRR}, volume = {abs/2207.01809}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01809}, doi = {10.48550/ARXIV.2207.01809}, eprinttype = {arXiv}, eprint = {2207.01809}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-08511, author = {Raghavendra Sridharamurthy and Talha Bin Masood and Adhitya Kamakshidasan and Vijay Natarajan}, title = {Edit Distance between Merge Trees}, journal = {CoRR}, volume = {abs/2207.08511}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.08511}, doi = {10.48550/ARXIV.2207.08511}, eprinttype = {arXiv}, eprint = {2207.08511}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-08511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09566, author = {Harsha Kokel and Mayukh Das and Md. Rakibul Islam and Julia Bonn and Jon Z. Cai and Soham Dan and Anjali Narayan{-}Chen and Prashant Jayannavar and Janardhan Rao Doppa and Julia Hockenmaier and Sriraam Natarajan and Martha Palmer and Dan Roth}, title = {Human-guided Collaborative Problem Solving: {A} Natural Language based Framework}, journal = {CoRR}, volume = {abs/2207.09566}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09566}, doi = {10.48550/ARXIV.2207.09566}, eprinttype = {arXiv}, eprint = {2207.09566}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-01448, author = {Saleh Soltan and Shankar Ananthakrishnan and Jack FitzGerald and Rahul Gupta and Wael Hamza and Haidar Khan and Charith Peris and Stephen Rawls and Andy Rosenbaum and Anna Rumshisky and Chandana Satya Prakash and Mukund Sridhar and Fabian Triefenbach and Apurv Verma and G{\"{o}}khan T{\"{u}}r and Prem Natarajan}, title = {AlexaTM 20B: Few-Shot Learning Using a Large-Scale Multilingual Seq2Seq Model}, journal = {CoRR}, volume = {abs/2208.01448}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.01448}, doi = {10.48550/ARXIV.2208.01448}, eprinttype = {arXiv}, eprint = {2208.01448}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-01448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02126, author = {Sulaiman Vesal and Iani J. M. B. Gayo and Indrani Bhattacharya and Shyam Natarajan and Leonard S. Marks and Dean C. Barratt and Richard E. Fan and Yipeng Hu and Geoffrey A. Sonn and Mirabela Rusu}, title = {Domain Generalization for Prostate Segmentation in Transrectal Ultrasound Images: {A} Multi-center Study}, journal = {CoRR}, volume = {abs/2209.02126}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02126}, doi = {10.48550/ARXIV.2209.02126}, eprinttype = {arXiv}, eprint = {2209.02126}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02126.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08563, author = {Arjun Kodagehalli Ramachandra and Karthik Natarajan}, title = {Submodularity and pairwise independence}, journal = {CoRR}, volume = {abs/2209.08563}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08563}, doi = {10.48550/ARXIV.2209.08563}, eprinttype = {arXiv}, eprint = {2209.08563}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-07741, author = {Subaveerapandiyan A and Ammaji Rajitha and Mohd Amin Dar and Natarajan R}, title = {E-Resource Management and Management Issues and Challenges}, journal = {CoRR}, volume = {abs/2210.07741}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.07741}, doi = {10.48550/ARXIV.2210.07741}, eprinttype = {arXiv}, eprint = {2210.07741}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-07741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08627, author = {Ramkumar Natarajan and Garrison L. H. Johnston and Nabil Simaan and Maxim Likhachev and Howie Choset}, title = {Torque-Limited Manipulation Planning through Contact by Interleaving Graph Search and Trajectory Optimization}, journal = {CoRR}, volume = {abs/2210.08627}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08627}, doi = {10.48550/ARXIV.2210.08627}, eprinttype = {arXiv}, eprint = {2210.08627}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-03882, author = {Shweta Dahale and Sai Munikoti and Balasubramaniam Natarajan and Rui Yang}, title = {Latent Neural {ODE} for Integrating Multi-timescale measurements in Smart Distribution Grids}, journal = {CoRR}, volume = {abs/2211.03882}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.03882}, doi = {10.48550/ARXIV.2211.03882}, eprinttype = {arXiv}, eprint = {2211.03882}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-03882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13856, author = {Shubham Gupta and Rahul Kunigal Ravishankar and Madhoolika Gangaraju and Poojasree Dwarkanath and Natarajan Subramanyam}, title = {{WSSL:} Weighted Self-supervised Learning Framework For Image-inpainting}, journal = {CoRR}, volume = {abs/2211.13856}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13856}, doi = {10.48550/ARXIV.2211.13856}, eprinttype = {arXiv}, eprint = {2211.13856}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-17019, author = {Foram P. Shingala and Natarajan Venkatachalam and Selvagangai C and Hema Priya S and Dillibabu S and Pooja Chandravanshi and Ravindra Pratap Singh}, title = {Real time {QKD} Post Processing based on Reconfigurable Hardware Acceleration}, journal = {CoRR}, volume = {abs/2211.17019}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.17019}, doi = {10.48550/ARXIV.2211.17019}, eprinttype = {arXiv}, eprint = {2211.17019}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-17019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-13138, author = {Karan Singhal and Shekoofeh Azizi and Tao Tu and S. Sara Mahdavi and Jason Wei and Hyung Won Chung and Nathan Scales and Ajay Kumar Tanwani and Heather Cole{-}Lewis and Stephen Pfohl and Perry Payne and Martin Seneviratne and Paul Gamble and Chris Kelly and Nathaneal Sch{\"{a}}rli and Aakanksha Chowdhery and Philip Andrew Mansfield and Blaise Ag{\"{u}}era y Arcas and Dale R. Webster and Gregory S. Corrado and Yossi Matias and Katherine Chou and Juraj Gottweis and Nenad Tomasev and Yun Liu and Alvin Rajkomar and Joelle K. Barral and Christopher Semturs and Alan Karthikesalingam and Vivek Natarajan}, title = {Large Language Models Encode Clinical Knowledge}, journal = {CoRR}, volume = {abs/2212.13138}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.13138}, doi = {10.48550/ARXIV.2212.13138}, eprinttype = {arXiv}, eprint = {2212.13138}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-13138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/VollalaRT21, author = {Satyanarayana Vollala and Natarajan Ramasubramanian and Utkarsh Tiwari}, title = {Energy-Efficient Modular Exponential Techniques for Public-Key Cryptography - Efficient Modular Exponential Techniques}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-74524-0}, doi = {10.1007/978-3-030-74524-0}, isbn = {978-3-030-74523-3}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/VollalaRT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoshikaTNASM21, author = {A. Moshika and M. Thirumaran and Balaji Natarajan and K. Andal and G. Sambasivam and Rajesh Manoharan}, title = {Vulnerability Assessment in Heterogeneous Web Environment Using Probabilistic Arithmetic Automata}, journal = {{IEEE} Access}, volume = {9}, pages = {74659--74673}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3081567}, doi = {10.1109/ACCESS.2021.3081567}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MoshikaTNASM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SankaranVDBAY21, author = {K. Sakthidasan Sankaran and Natarajan Vasudevan and K. R. Devabalaji and Thanikanti Sudhakar Babu and Hassan Haes Alhelou and T. Yuvaraj}, title = {A Recurrent Reward Based Learning Technique for Secure Neighbor Selection in Mobile {AD-HOC} Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {21735--21745}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3055422}, doi = {10.1109/ACCESS.2021.3055422}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SankaranVDBAY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShanmugamGMBR21, author = {Natarajan Shanmugam and Srinivasan Gopal and Balasubramanian Madanmohan and S. P. Balaji and Rajesh Rajamani}, title = {Diagnosis of Inter-Turn Shorts of Loaded Transformer Under Various Load Currents and Power Factors; Impulse Voltage-Based Frequency Response Approach}, journal = {{IEEE} Access}, volume = {9}, pages = {40811--40822}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3064347}, doi = {10.1109/ACCESS.2021.3064347}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShanmugamGMBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VeerankiKGNS21, author = {Yedukondala Rao Veeranki and Himanshu Kumar and Nagarajan Ganapathy and Balasubramaniam Natarajan and Ramakrishnan Swaminathan}, title = {A Systematic Review of Sensing and Differentiating Dichotomous Emotional States Using Audio-Visual Stimuli}, journal = {{IEEE} Access}, volume = {9}, pages = {124434--124451}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110773}, doi = {10.1109/ACCESS.2021.3110773}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VeerankiKGNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/StephensWHKPKDN21, author = {Ashley B. Stephens and Chelsea S. Wynn and Annika M. Hofstetter and Chelsea Kolff and Oscar Pena and Eric Kahn and Balendu Dasgupta and Karthik Natarajan and David K. Vawdrey and Mariellen M. Lane and Laura Robbins{-}Milne and Rajasekhar Ramakrishnan and Stephen Holleran and Melissa S. Stockwell}, title = {Effect of Electronic Health Record Reminders for Routine Immunizations and Immunizations Needed for Chronic Medical Conditions}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {5}, pages = {1101--1109}, year = {2021}, url = {https://doi.org/10.1055/s-0041-1739516}, doi = {10.1055/S-0041-1739516}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aci/StephensWHKPKDN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdatama/NatarajanSCRDK21, author = {Yuvaraj Natarajan and Kannan Srihari and Selvaraj Chandragandhi and Rajan Arshath Raja and Gaurav Dhiman and Amandeep Kaur}, title = {Analysis of protein-ligand interactions of SARS-CoV-2 against selective drug using deep neural networks}, journal = {Big Data Min. Anal.}, volume = {4}, number = {2}, pages = {76--83}, year = {2021}, url = {https://doi.org/10.26599/BDMA.2020.9020007}, doi = {10.26599/BDMA.2020.9020007}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bigdatama/NatarajanSCRDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuangTGVYBEK21, author = {Liang{-}Chin Huang and Rahil Taujale and Nathan Gravel and Aarya Venkat and Wayland Yeung and Dominic P. Byrne and Patrick A. Eyers and Natarajan Kannan}, title = {KinOrtho: a method for mapping human kinase orthologs across the tree of life and illuminating understudied kinases}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {446}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04358-3}, doi = {10.1186/S12859-021-04358-3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HuangTGVYBEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/NatarajanCGPKDR21, author = {Yuvaraj Natarajan and Victor Chang and Balasubramanian Gobinathan and Arulprakash Pinagapani and Srihari Kannan and Gaurav Dhiman and Arsath Raja Rajan}, title = {Automatic detection of cyberbullying using multi-feature based artificial intelligence with deep decision tree classification}, journal = {Comput. Electr. Eng.}, volume = {92}, pages = {107186}, year = {2021}, url = {https://doi.org/10.1016/j.compeleceng.2021.107186}, doi = {10.1016/J.COMPELECENG.2021.107186}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/NatarajanCGPKDR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/YanMSRNHW21, author = {Lin Yan and Talha Bin Masood and Raghavendra Sridharamurthy and Farhan Rasheed and Vijay Natarajan and Ingrid Hotz and Bei Wang}, title = {Scalar Field Comparison with Topological Descriptors: Properties and Applications for Scientific Visualization}, journal = {Comput. Graph. Forum}, volume = {40}, number = {3}, pages = {599--633}, year = {2021}, url = {https://doi.org/10.1111/cgf.14331}, doi = {10.1111/CGF.14331}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cgf/YanMSRNHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/RangasamyRNRV21, author = {DeviPriya Rangasamy and Sivaraj Rajappan and Anitha Natarajan and Rajadevi Ramasamy and Devisurya Vijayakumar}, title = {Variable population-sized particle swarm optimization for highly imbalanced dataset classification}, journal = {Comput. Intell.}, volume = {37}, number = {2}, pages = {873--890}, year = {2021}, url = {https://doi.org/10.1111/coin.12436}, doi = {10.1111/COIN.12436}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/RangasamyRNRV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/VincentMNDSH21, author = {P. M. Durai Raj Vincent and Nivedhitha Mahendran and Jamel Nebhen and Natarajan Deepa and Kathiravan Srinivasan and Yuh{-}Chung Hu}, title = {Performance Assessment of Certain Machine Learning Models for Predicting the Major Depressive Disorder among {IT} Professionals during Pandemic times}, journal = {Comput. Intell. Neurosci.}, volume = {2021}, pages = {9950332:1--9950332:12}, year = {2021}, url = {https://doi.org/10.1155/2021/9950332}, doi = {10.1155/2021/9950332}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cin/VincentMNDSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/Gonzalez-Estrada21, author = {Octavio A. Gonz{\'{a}}lez{-}Estrada and Sundararajan Natarajan and Juan Jos{\'{e}} R{\'{o}}denas and St{\'{e}}phane P. A. Bordas}, title = {Error estimation for the polygonal finite element method for smooth and singular linear elasticity}, journal = {Comput. Math. Appl.}, volume = {92}, pages = {109--119}, year = {2021}, url = {https://doi.org/10.1016/j.camwa.2021.03.017}, doi = {10.1016/J.CAMWA.2021.03.017}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/Gonzalez-Estrada21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/KarnanNM21, author = {Hemalatha Karnan and Sivakumaran Natarajan and Rajajeyakumar Manivel}, title = {Human machine interfacing technique for diagnosis of ventricular arrhythmia using supervisory machine learning algorithms}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {4}, year = {2021}, url = {https://doi.org/10.1002/cpe.5001}, doi = {10.1002/CPE.5001}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/KarnanNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/SundaramNSMRK21, author = {Kalaiselvi Sundaram and Vijeyakumar Krishnasamy Natarajan and Nagarajan Shanmugam and Kousalya Manoharan and Ramya Ramasamy and Sriram Kumar}, title = {Area-Energy-Error Optimized Faithful Multiplier for Digital Signal Processing}, journal = {Circuits Syst. Signal Process.}, volume = {40}, number = {12}, pages = {6224--6241}, year = {2021}, url = {https://doi.org/10.1007/s00034-021-01765-y}, doi = {10.1007/S00034-021-01765-Y}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/SundaramNSMRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datamine/RamananKKFK0KN21, author = {Nandini Ramanan and Gautam Kunapuli and Tushar Khot and Bahare Fatemi and Seyed Mehran Kazemi and David Poole and Kristian Kersting and Sriraam Natarajan}, title = {Structure learning for relational logistic regression: an ensemble approach}, journal = {Data Min. Knowl. Discov.}, volume = {35}, number = {5}, pages = {2089--2111}, year = {2021}, url = {https://doi.org/10.1007/s10618-021-00770-8}, doi = {10.1007/S10618-021-00770-8}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datamine/RamananKKFK0KN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/VavilalaTTN21, author = {Sateesh Kumar Vavilala and Vinopraba Thirumavalavan and Radhakrishnan Thota and Sivakumaran Natarajan}, title = {Design of the fractional order internal model controller using the swarm intelligence techniques for the coupled tank system}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {29}, number = {2}, pages = {1207--1225}, year = {2021}, url = {https://doi.org/10.3906/elk-2005-17}, doi = {10.3906/ELK-2005-17}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/elektrik/VavilalaTTN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/NatarajanSUCSGR21, author = {Logesh Natarajan and Nagulan Sivagnanam and Tune Usha and Lakshumanan Chokkalingam and Sajimol Sundar and Muthusankar Gowrappan and Priyadarsi Debajyoti Roy}, title = {Shoreline changes over last five decades and predictions for 2030 and 2040: a case study from Cuddalore, southeast coast of India}, journal = {Earth Sci. Informatics}, volume = {14}, number = {3}, pages = {1315--1325}, year = {2021}, url = {https://doi.org/10.1007/s12145-021-00668-5}, doi = {10.1007/S12145-021-00668-5}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esi/NatarajanSUCSGR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KousikNRKPG21, author = {Nalliyanna Goundar Veerappan Kousik and Yuvaraj Natarajan and Rajan Arshath Raja and Suresh Kallam and Rizwan Patan and Amir H. Gandomi}, title = {Improved salient object detection using hybrid Convolution Recurrent Neural Network}, journal = {Expert Syst. Appl.}, volume = {166}, pages = {114064}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2020.114064}, doi = {10.1016/J.ESWA.2020.114064}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KousikNRKPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/SubramanianNR21, author = {Murali Subramanian and Jaisankar Natarajan and Rajkumar Rajasekaran}, title = {Energy-aware and Bandwidth Allocation for Air Pollution Monitoring System using Data Analytics}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {8}, number = {31}, pages = {e10}, year = {2021}, url = {https://doi.org/10.4108/eai.13-7-2018.165522}, doi = {10.4108/EAI.13-7-2018.165522}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ew/SubramanianNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LimaWNVV21, author = {Maria R. Lima and Maitreyee Wairagkar and Nirupama Natarajan and Sridhar Vaitheswaran and Ravi Vaidyanathan}, title = {Robotic Telemedicine for Mental Health: {A} Multimodal Approach to Improve Human-Robot Engagement}, journal = {Frontiers Robotics {AI}}, volume = {8}, pages = {618866}, year = {2021}, url = {https://doi.org/10.3389/frobt.2021.618866}, doi = {10.3389/FROBT.2021.618866}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LimaWNVV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/NatarajanU21, author = {Kumaran Natarajan and Srinivasulu Reddy Uyyala}, title = {Classification of human activity detection based on an intelligent regression model in video sequences}, journal = {{IET} Image Process.}, volume = {15}, number = {1}, pages = {65--76}, year = {2021}, url = {https://doi.org/10.1049/ipr2.12006}, doi = {10.1049/IPR2.12006}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/NatarajanU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/SudabattulaSPSR21, author = {Suresh Kumar Sudabattula and Velamuri Suresh and Natarajan Prabaharan and Ramachandran Sitharthan and M. Rajesh}, title = {Optimal allocation of renewable distributed generators and shunt capacitors in distribution system using hybrid intelligent approach}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {37}, number = {2}, pages = {98--112}, year = {2021}, url = {https://doi.org/10.1504/IJAHUC.2021.115831}, doi = {10.1504/IJAHUC.2021.115831}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijahuc/SudabattulaSPSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/KannanNIARUD21, author = {Srihari Kannan and Yuvaraj Natarajan and Barzan Abdulazeez Idrees and P. Arulprakash and Vijayakumar Ranganathan and E. Udayakumar and P. Dhinakar}, title = {Analysis of convolutional recurrent neural network classifier for {COVID-19} symptoms over computerised tomography images}, journal = {Int. J. Comput. Appl. Technol.}, volume = {66}, number = {3/4}, pages = {427--432}, year = {2021}, url = {https://doi.org/10.1504/IJCAT.2021.120453}, doi = {10.1504/IJCAT.2021.120453}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/KannanNIARUD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/MongiaSNKASSGK21, author = {Shweta Mongia and Sugandha Sharma and Jaisankar Natarajan and Manoj Kumar and Vasudha Arora and Thompson Stephan and Achyut Shankar and Pragya Gupta and Raghav Kachhawaha}, title = {Prediction of {COVID-19} epidemic curve of India using supervised learning approach}, journal = {Int. J. Comput. Appl. Technol.}, volume = {66}, number = {3/4}, pages = {433--441}, year = {2021}, url = {https://doi.org/10.1504/IJCAT.2021.120469}, doi = {10.1504/IJCAT.2021.120469}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/MongiaSNKASSGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcmcst/MaryPRM21, author = {R. Stalin Mary and N. Parthiban and Indra Rajasingh and Paul D. Manuel}, title = {Optimal layout of recursive circulant graphs}, journal = {Int. J. Comput. Math. Comput. Syst. Theory}, volume = {6}, number = {3}, pages = {209--219}, year = {2021}, url = {https://doi.org/10.1080/23799927.2021.1963999}, doi = {10.1080/23799927.2021.1963999}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcmcst/MaryPRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JothimaniPNR21, author = {Gnanavadivel Jothimani and Yogalakshmi Palanichamy and Senthil Kumar Natarajan and Thangasankaran Rameshkumar}, title = {Single-phase front-end modified interleaved Luo power factor correction converter for on-board electric vehicle charger}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {9}, pages = {2655--2669}, year = {2021}, url = {https://doi.org/10.1002/cta.3017}, doi = {10.1002/CTA.3017}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/JothimaniPNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijehmc/HiremathSPSVN21, author = {Basavaraj Hiremath and Natarajan Sriraam and B. R. Purnima and Nithin N. S. and Suresh Babu Venkatasamy and Megha Narayanan}, title = {EEG-Based Demarcation of Yogic and Non-Yogic Sleep Patterns Using Power Spectral Analysis}, journal = {Int. J. {E} Health Medical Commun.}, volume = {12}, number = {6}, pages = {1--18}, year = {2021}, url = {https://doi.org/10.4018/ijehmc.20211101.oa2}, doi = {10.4018/IJEHMC.20211101.OA2}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijehmc/HiremathSPSVN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisss/VRKUHNV21, author = {Sathiyamoorthi V. and T. Nadana Ravishankar and Ilavarasi A. K. and Sridhar Udayakumar and Karthikeyan Harimoorthy and Jayapandian N. and Saravanan V.}, title = {Usage Data for Predicting User Trends and Behavioral Analysis in E-Commerce Applications}, journal = {Int. J. Inf. Syst. Serv. Sect.}, volume = {13}, number = {4}, pages = {40--61}, year = {2021}, url = {https://doi.org/10.4018/IJISSS.2021100103}, doi = {10.4018/IJISSS.2021100103}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijisss/VRKUHNV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssmet/PriyaN21, author = {Bhukya Krishna Priya and Ramasubramanian Natarajan}, title = {Improving the Lifetime of Phase Change Memory by Shadow Dynamic Random Access Memory}, journal = {Int. J. Serv. Sci. Manag. Eng. Technol.}, volume = {12}, number = {2}, pages = {154--168}, year = {2021}, url = {https://doi.org/10.4018/IJSSMET.2021030109}, doi = {10.4018/IJSSMET.2021030109}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssmet/PriyaN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informsjop/HarshaNS21, author = {Pavithra Harsha and Ramesh Natarajan and Dharmashankar Subramanian}, title = {A Prescriptive Machine-Learning Framework to the Price-Setting Newsvendor Problem}, journal = {{INFORMS} J. Optim.}, volume = {3}, number = {3}, pages = {227--253}, year = {2021}, url = {https://doi.org/10.1287/ijoo.2019.0046}, doi = {10.1287/IJOO.2019.0046}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informsjop/HarshaNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/BDPNRRPD21, author = {Prabadevi B and Natarajan Deepa and Quoc{-}Viet Pham and Dinh C. Nguyen and M. Praveen Kumar Reddy and G. Thippa Reddy and Pubudu N. Pathirana and Octavia A. Dobre}, title = {Toward Blockchain for Edge-of-Things: {A} New Paradigm, Opportunities, and Future Directions}, journal = {{IEEE} Internet Things Mag.}, volume = {4}, number = {2}, pages = {102--108}, year = {2021}, url = {https://doi.org/10.1109/IOTM.0001.2000191}, doi = {10.1109/IOTM.0001.2000191}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotm/BDPNRRPD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/NarayananRPS21, author = {Abhishek Narayanan and Abijna Rao and Abhishek Prasad and Natarajan Subramanyam}, title = {{VQA} as a factoid question answering problem: {A} novel approach for knowledge-aware and explainable visual question answering}, journal = {Image Vis. Comput.}, volume = {116}, pages = {104328}, year = {2021}, url = {https://doi.org/10.1016/j.imavis.2021.104328}, doi = {10.1016/J.IMAVIS.2021.104328}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/NarayananRPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/HaendelCBEGKPPR21, author = {Melissa A. Haendel and Christopher G. Chute and Tellen D. Bennett and David A. Eichmann and Justin Guinney and Warren A. Kibbe and Philip R. O. Payne and Emily R. Pfaff and Peter N. Robinson and Joel H. Saltz and Heidi Spratt and Christine Suver and John Wilbanks and Adam B. Wilcox and Andrew E. Williams and Chunlei Wu and Clair Blacketer and Robert L. Bradford and James J. Cimino and Marshall Clark and Evan W. Colmenares and Patricia A. Francis and Davera Gabriel and Alexis Graves and Raju Hemadri and Stephanie S. Hong and George Hripcsak and Dazhi Jiao and Jeffrey G. Klann and Kristin Kostka and Adam M. Lee and Harold P. Lehmann and Lora Lingrey and Robert T. Miller and Michele Morris and Shawn N. Murphy and Karthik Natarajan and Matvey B. Palchuk and Usman Sheikh and Harold Solbrig and Shyam Visweswaran and Anita Walden and Kellie M. Walters and Griffin M. Weber and Xiaohan Tanner Zhang and Richard L. Zhu and Benjamin R. C. Amor and Andrew T. Girvin and Amin Manna and Nabeel Qureshi and Michael G. Kurilla and Sam G. Michael and Lili M. Portilla and Joni L. Rutter and Christopher P. Austin and Ken R. Gersing}, title = {The National {COVID} Cohort Collaborative {(N3C):} Rationale, design, infrastructure, and deployment}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {3}, pages = {427--443}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa196}, doi = {10.1093/JAMIA/OCAA196}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/HaendelCBEGKPPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/RodriguezBCPHSE21, author = {Victor Alfonso Rodriguez and Shreyas Bhave and Ruijun Chen and Chao Pang and George Hripcsak and Soumitra Sengupta and Noemie Elhadad and Robert A. Green and Jason S. Adelman and Katherine Schlosser Metitiri and Pierre Elias and Holden Groves and Sumit Mohan and Karthik Natarajan and Adler J. Perotte}, title = {Development and validation of prediction models for mechanical ventilation, renal replacement therapy, and readmission in {COVID-19} patients}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {7}, pages = {1480--1488}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocab029}, doi = {10.1093/JAMIA/OCAB029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/RodriguezBCPHSE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/Rajmohan0N21, author = {Shathanaa Rajmohan and N. Ramasubramanian and Nagi Naganathan}, title = {Design Space Exploration for Reducing Cost of Hardware Trojan Detection and Isolation during Architectural Synthesis}, journal = {J. Circuits Syst. Comput.}, volume = {30}, number = {9}, pages = {2150156:1--2150156:27}, year = {2021}, url = {https://doi.org/10.1142/S0218126621501565}, doi = {10.1142/S0218126621501565}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/Rajmohan0N21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/GanapriyaMV21, author = {K. Ganapriya and N. Uma Maheswari and R. Venkatesh}, title = {Deep Learning Model for Epileptic Seizure Prediction}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {12}, pages = {3199--3208}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3916}, doi = {10.1166/JMIHI.2021.3916}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/GanapriyaMV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhasanBDDGJGNK21, author = {Sohail Ahasan and Ali Binaie and Armagan Dascurcu and Mahmood Baraani Dastjerdi and Robin Garg and Manoj Johnson and Arman Galioglu and Arun Natarajan and Harish Krishnaswamy}, title = {Frequency-Domain-Multiplexing Single-Wire Interface and Harmonic-Rejection-Based {IF} Data De-Multiplexing in Millimeter-Wave {MIMO} Arrays}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {5}, pages = {1360--1373}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3066207}, doi = {10.1109/JSSC.2021.3066207}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AhasanBDDGJGNK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GargSBJADKN21, author = {Robin Garg and Gaurav Sharma and Ali Binaie and Sanket Jain and Sohail Ahasan and Armagan Dascurcu and Harish Krishnaswamy and Arun Natarajan}, title = {A 28-GHz Beam-Space {MIMO} {RX} With Spatial Filtering and Frequency-Division Multiplexing-Based Single-Wire {IF} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {8}, pages = {2295--2307}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3044089}, doi = {10.1109/JSSC.2020.3044089}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GargSBJADKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/PadmanabhanNM21, author = {Divya Padmanabhan and Karthik Natarajan and Karthyek Murthy}, title = {Exploiting partial correlations in distributionally robust optimization}, journal = {Math. Program.}, volume = {186}, number = {1}, pages = {209--255}, year = {2021}, url = {https://doi.org/10.1007/s10107-019-01453-5}, doi = {10.1007/S10107-019-01453-5}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mp/PadmanabhanNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KimYSP21, author = {Bubryur Kim and Natarajan Yuvaraj and K. R. Sri Preethaa and R. Arun Pandian}, title = {Surface crack detection using deep learning with shallow {CNN} architecture for enhanced computation}, journal = {Neural Comput. Appl.}, volume = {33}, number = {15}, pages = {9289--9305}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-05690-8}, doi = {10.1007/S00521-021-05690-8}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/KimYSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/KingsleyCRR21, author = {Jessica L. Kingsley and James R. Costello and Natarajan Raghunand and Katarzyna A. Rejniak}, title = {Bridging cell-scale simulations and radiologic images to explain short-time intratumoral oxygen fluctuations}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {7}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1009206}, doi = {10.1371/JOURNAL.PCBI.1009206}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/KingsleyCRR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/NatarajanCL21, author = {Ramkumar Natarajan and Howie Choset and Maxim Likhachev}, title = {Interleaving Graph Search and Trajectory Optimization for Aggressive Quadrotor Flight}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {3}, pages = {5357--5364}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3067298}, doi = {10.1109/LRA.2021.3067298}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/NatarajanCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ria/PonnusamyNRCRM21, author = {Vijayakumar Ponnusamy and Sowmya Natarajan and Nandakumar Ramasamy and J. Christopher Clement and Prithiviraj Rajalingam and Makino Mitsunori}, title = {An IoT- Enabled Augmented Reality Framework for Plant Disease Detection}, journal = {Rev. d'Intelligence Artif.}, volume = {35}, number = {3}, pages = {185--192}, year = {2021}, url = {https://doi.org/10.18280/ria.350301}, doi = {10.18280/RIA.350301}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ria/PonnusamyNRCRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GnanamanickamNR21, author = {Jenifa Gnanamanickam and Yuvaraj Natarajan and K. R. SriPreethaa}, title = {A Hybrid Speech Enhancement Algorithm for Voice Assistance Application}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7025}, year = {2021}, url = {https://doi.org/10.3390/s21217025}, doi = {10.3390/S21217025}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GnanamanickamNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYSHL21, author = {Bubryur Kim and Natarajan Yuvaraj and K. R. Sri Preethaa and Gang Hu and Dong{-}Eun Lee}, title = {Wind-Induced Pressure Prediction on Tall Buildings Using Generative Adversarial Imputation Network}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2515}, year = {2021}, url = {https://doi.org/10.3390/s21072515}, doi = {10.3390/S21072515}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYSHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/NatarajanOSMRV21, author = {Balaji Natarajan and Mohammad S. Obaidat and Balqies Sadoun and Rajesh Manoharan and Sitharthan Ramachandran and Nandagopal Velusamy}, title = {New Clustering-Based Semantic Service Selection and User Preferential Model}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {4}, pages = {4980--4988}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2020.3025407}, doi = {10.1109/JSYST.2020.3025407}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/NatarajanOSMRV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tds/RajuNV21, author = {Manthena Narasimha Raju and Kumaran Natarajan and Chandra Sekhar Vasamsetty}, title = {Object Recognition in Remote Sensing Images Based on Modified Backpropagation Neural Network}, journal = {Traitement du Signal}, volume = {38}, number = {2}, pages = {451--459}, year = {2021}, url = {https://doi.org/10.18280/ts.380224}, doi = {10.18280/TS.380224}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tds/RajuNV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/NSSR21, author = {Muthukumar Natarajan and Seshadhri Srinivasan and B. Subathra and Kannan Ramkumar}, title = {Teaching Industrial Internet-of-Things-Based Model-Predictive Controller}, journal = {{IEEE} Trans. Educ.}, volume = {64}, number = {3}, pages = {267--275}, year = {2021}, url = {https://doi.org/10.1109/TE.2020.3037370}, doi = {10.1109/TE.2020.3037370}, timestamp = {Tue, 09 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/te/NSSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/MadbhaviNS21, author = {Rahul Madbhavi and Balasubramaniam Natarajan and Babji Srinivasan}, title = {Enhanced Tensor Completion Based Approaches for State Estimation in Distribution Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {9}, pages = {5938--5947}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3035449}, doi = {10.1109/TII.2020.3035449}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/MadbhaviNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/DeepaPMGBKT21, author = {Natarajan Deepa and B. Prabadevi and Praveen Kumar Reddy Maddikunta and Thippa Reddy Gadekallu and Thar Baker and Muhammad Ajmal Khan and Usman Tariq}, title = {An AI-based intelligent system for healthcare analysis using Ridge-Adaline Stochastic Gradient Descent Classifier}, journal = {J. Supercomput.}, volume = {77}, number = {2}, pages = {1998--2017}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03347-2}, doi = {10.1007/S11227-020-03347-2}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/DeepaPMGBKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KunduBRNB21, author = {Shamik Kundu and Suvadeep Banerjee and Arnab Raha and Suriyaprakash Natarajan and Kanad Basu}, title = {Toward Functional Safety of Systolic Array-Based Deep Learning Hardware Accelerators}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {3}, pages = {485--498}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2020.3048829}, doi = {10.1109/TVLSI.2020.3048829}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KunduBRNB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tweb/RoySCNG21, author = {Soumyadeep Roy and Shamik Sural and Niyati Chhaya and Anandhavelu Natarajan and Niloy Ganguly}, title = {An Integrated Approach for Improving Brand Consistency of Web Content: Modeling, Analysis, and Recommendation}, journal = {{ACM} Trans. Web}, volume = {15}, number = {2}, pages = {9:1--9:25}, year = {2021}, url = {https://doi.org/10.1145/3450445}, doi = {10.1145/3450445}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tweb/RoySCNG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KumarB21, author = {M. V. Ranjith Kumar and N. Bhalaji}, title = {Blockchain Based Chameleon Hashing Technique for Privacy Preservation in E-Governance System}, journal = {Wirel. Pers. Commun.}, volume = {117}, number = {2}, pages = {987--1006}, year = {2021}, url = {https://doi.org/10.1007/s11277-020-07907-w}, doi = {10.1007/S11277-020-07907-W}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KumarB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/RahumathNM21, author = {Anver Shahabdeen Rahumath and Mohanasundaram Natarajan and Abdul Rahiman Malangai}, title = {Resource Scalability and Security Using Entropy Based Adaptive Krill Herd Optimization for Auto Scaling in Cloud}, journal = {Wirel. Pers. Commun.}, volume = {119}, number = {1}, pages = {791--813}, year = {2021}, url = {https://doi.org/10.1007/s11277-021-08238-0}, doi = {10.1007/S11277-021-08238-0}, timestamp = {Wed, 23 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/RahumathNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YuvarajKPR21, author = {Natarajan Yuvaraj and T. Karthikeyan and K. Praghash and K. Himaja Reddy}, title = {Binary Flower Pollination {(BFP)} Approach to Handle the Dynamic Networking Conditions to Deliver Uninterrupted Connectivity}, journal = {Wirel. Pers. Commun.}, volume = {121}, number = {4}, pages = {3383--3402}, year = {2021}, url = {https://doi.org/10.1007/s11277-021-08883-5}, doi = {10.1007/S11277-021-08883-5}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/YuvarajKPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KakadiyaNR21, author = {Ashutosh Kakadiya and Sriraam Natarajan and Balaraman Ravindran}, title = {Relational Boosted Bandits}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {12123--12130}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i13.17439}, doi = {10.1609/AAAI.V35I13.17439}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KakadiyaNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChadaNFR21, author = {Rakesh Chada and Pradeep Natarajan and Darshan Fofadiya and Prathap Ramachandra}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Error Detection in Large-Scale Natural Language Understanding Systems Using Transformer Models}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {498--503}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.44}, doi = {10.18653/V1/2021.FINDINGS-ACL.44}, timestamp = {Fri, 27 Aug 2021 08:39:19 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChadaNFR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aime/KaranamHKHRN21, author = {Athresh Karanam and Alexander L. Hayes and Harsha Kokel and David M. Haas and Predrag Radivojac and Sriraam Natarajan}, editor = {Allan Tucker and Pedro Henriques Abreu and Jaime S. Cardoso and Pedro Pereira Rodrigues and David Ria{\~{n}}o}, title = {A Probabilistic Approach to Extract Qualitative Knowledge for Early Prediction of Gestational Diabetes}, booktitle = {Artificial Intelligence in Medicine - 19th International Conference on Artificial Intelligence in Medicine, {AIME} 2021, Virtual Event, June 15-18, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12721}, pages = {497--502}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-77211-6\_59}, doi = {10.1007/978-3-030-77211-6\_59}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aime/KaranamHKHRN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aips/KokelMNRT21, author = {Harsha Kokel and Arjun Manoharan and Sriraam Natarajan and Balaraman Ravindran and Prasad Tadepalli}, editor = {Susanne Biundo and Minh Do and Robert Goldman and Michael Katz and Qiang Yang and Hankz Hankui Zhuo}, title = {RePReL: Integrating Relational Planning and Reinforcement Learning for Effective Abstraction}, booktitle = {Proceedings of the Thirty-First International Conference on Automated Planning and Scheduling, {ICAPS} 2021, Guangzhou, China (virtual), August 2-13, 2021}, pages = {533--541}, publisher = {{AAAI} Press}, year = {2021}, url = {https://ojs.aaai.org/index.php/ICAPS/article/view/16001}, timestamp = {Wed, 30 Aug 2023 08:38:08 +0200}, biburl = {https://dblp.org/rec/conf/aips/KokelMNRT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SuliemanNCCMHR21, author = {Lina Sulieman and Karthik Natarajan and Qingxia Chen and Robert J. Carroll and Kayla Marginean and Paul A. Harris and Andrea H. Ramirez}, title = {Measuring the correctness of All of Us physical measurement}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t005-1.4625076/t005-1.4625077/3632356-1.4625231/3577668-1.4625228}, timestamp = {Wed, 17 Apr 2024 11:46:53 +0200}, biburl = {https://dblp.org/rec/conf/amia/SuliemanNCCMHR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/RoyCMBSNKSG21, author = {Soumyadeep Roy and Sudip Chakraborty and Aishik Mandal and Gunjan Balde and Prakhar Sharma and Anandhavelu Natarajan and Megha Khosla and Shamik Sural and Niloy Ganguly}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {Knowledge-Aware Neural Networks for Medical Forum Question Classification}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {3398--3402}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3482128}, doi = {10.1145/3459637.3482128}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/RoyCMBSNKSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/NatarajanBCAR21, author = {Annamalai Natarajan and Gregory Boverman and Yale Chang and Corneliu Antonescu and Jonathan Rubin}, title = {Convolution-Free Waveform Transformers for Multi-Lead {ECG} Classification}, booktitle = {Computing in Cardiology, CinC 2021, Brno, Czech Republic, September 13-15, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/CinC53138.2021.9662697}, doi = {10.23919/CINC53138.2021.9662697}, timestamp = {Tue, 18 Jan 2022 09:45:52 +0100}, biburl = {https://dblp.org/rec/conf/cinc/NatarajanBCAR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/DasIN21, author = {Srijita Das and Rishabh K. Iyer and Sriraam Natarajan}, editor = {Jayant R. Haritsa and Shourya Roy and Manish Gupta and Sharad Mehrotra and Balaji Vasan Srinivasan and Yogesh Simmhan}, title = {A Clustering based Selection Framework for Cost Aware and Test-time Feature Elicitation}, booktitle = {{CODS-COMAD} 2021: 8th {ACM} {IKDD} {CODS} and 26th COMAD, Virtual Event, Bangalore, India, January 2-4, 2021}, pages = {20--28}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3430984.3431008}, doi = {10.1145/3430984.3431008}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/comad/DasIN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/CatanzaritiCMNP21, author = {Benedetta Catanzariti and Srravya Chandhiramowuli and Suha Mohamed and Sarayu Natarajan and Shantanu Prabhat and Noopur Raval and Alex S. Taylor and Ding Wang}, editor = {Jeremy P. Birnholtz and Luigina Ciolfi and Sharon Ding and Susan R. Fussell and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and Mor Naaman}, title = {The Global Labours of {AI} and Data Intensive Systems}, booktitle = {Companion Publication of the 2021 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2021, Virtual Event, USA, October 23-27, 2021}, pages = {319--322}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3462204.3481725}, doi = {10.1145/3462204.3481725}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/CatanzaritiCMNP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChengJ0NN21, author = {Jiaxin Cheng and Ayush Jaiswal and Yue Wu and Pradeep Natarajan and Prem Natarajan}, title = {Style-Aware Normalized Loss for Improving Arbitrary Style Transfer}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {134--143}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Cheng\_Style-Aware\_Normalized\_Loss\_for\_Improving\_Arbitrary\_Style\_Transfer\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00020}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChengJ0NN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MathewMSK21, author = {Joseph Mathew and Subha Ramakrishnan Manuskandan and Natarajan Sivakumaran and P. A. Karthick}, title = {Detection of Tonic-Clonic Seizures using Wavelet Entropy of Scalp {EEG}}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {2423--2426}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630664}, doi = {10.1109/EMBC46164.2021.9630664}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/MathewMSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/AggarwalGSNJ21, author = {Vinay Aggarwal and Aparna Garimella and Balaji Vasan Srinivasan and Anandhavelu Natarajan and Rajiv Jain}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {ClauseRec: {A} Clause Recommendation Framework for AI-aided Contract Authoring}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {8770--8776}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.691}, doi = {10.18653/V1/2021.EMNLP-MAIN.691}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/AggarwalGSNJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChadaN21, author = {Rakesh Chada and Pradeep Natarajan}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {FewshotQA: {A} simple framework for few-shot learning of question answering tasks using pre-trained text-to-text models}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {6081--6090}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.491}, doi = {10.18653/V1/2021.EMNLP-MAIN.491}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/ChadaN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/GuptaJWYN21, author = {Aayush Gupta and Ayush Jaiswal and Yue Wu and Vivek Yadav and Pradeep Natarajan}, title = {Adversarial Mask Generation for Preserving Visual Privacy}, booktitle = {16th {IEEE} International Conference on Automatic Face and Gesture Recognition, {FG} 2021, Jodhpur, India, December 15-18, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FG52635.2021.9666933}, doi = {10.1109/FG52635.2021.9666933}, timestamp = {Wed, 11 Jan 2023 13:24:19 +0100}, biburl = {https://dblp.org/rec/conf/fgr/GuptaJWYN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/AziziMRBFDLKKCN21, author = {Shekoofeh Azizi and Basil Mustafa and Fiona Ryan and Zachary Beaver and Jan Freyberg and Jonathan Deaton and Aaron Loh and Alan Karthikesalingam and Simon Kornblith and Ting Chen and Vivek Natarajan and Mohammad Norouzi}, title = {Big Self-Supervised Models Advance Medical Image Classification}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {3458--3468}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00346}, doi = {10.1109/ICCV48922.2021.00346}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/AziziMRBFDLKKCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/SrivastavaGDPM21, author = {Gautam Srivastava and G. Thippa Reddy and Natarajan Deepa and B. Prabadevi and Praveen Kumar Reddy Maddikunta}, title = {An ensemble model for intrusion detection in the Internet of Softwarized Things}, booktitle = {{ICDCN} '21: International Conference on Distributed Computing and Networking, Virtual Event, Nara, Japan, January 5-8, 2021, Adjunct Volume}, pages = {25--30}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3427477.3429987}, doi = {10.1145/3427477.3429987}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/SrivastavaGDPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/RavishankarNM21, author = {Anand Ravishankar and Santhi Natarajan and A. Bharathi Malakreddy}, editor = {M. Arif Wani and Ishwar K. Sethi and Weisong Shi and Guangzhi Qu and Daniela Stan Raicu and Ruoming Jin}, title = {Pruned Genetic-NAS on {GPU} Accelerator Platforms with Chaos-on-Edge Hyperparameters}, booktitle = {20th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2021, Pasadena, CA, USA, December 13-16, 2021}, pages = {958--963}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMLA52953.2021.00158}, doi = {10.1109/ICMLA52953.2021.00158}, timestamp = {Tue, 01 Feb 2022 17:24:07 +0100}, biburl = {https://dblp.org/rec/conf/icmla/RavishankarNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpram/SKS21, author = {Rajath S and Sumukh K. Aithal and Natarajan Subramanyam}, editor = {Maria De Marsico and Gabriella Sanniti di Baja and Ana Fred}, title = {Domain Shift in Capsule Networks}, booktitle = {Proceedings of the 10th International Conference on Pattern Recognition Applications and Methods, {ICPRAM} 2021, Online Streaming, February 4-6, 2021}, pages = {275--278}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010252002750278}, doi = {10.5220/0010252002750278}, timestamp = {Tue, 13 Aug 2024 14:18:08 +0200}, biburl = {https://dblp.org/rec/conf/icpram/SKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotbd/PappakrishnanM021, author = {Venkatesh K. Pappakrishnan and R. Mythili and V. Kavitha and N. Parthiban}, editor = {Gary B. Wills and P{\'{e}}ter Kacsuk and Victor Chang}, title = {Role of Artificial Intelligence of Things (AIoT) in Covid-19 Pandemic: {A} Brief Survey}, booktitle = {Proceedings of the 6th International Conference on Internet of Things, Big Data and Security, IoTBDS 2021, Online Streaming, April 23-25, 2021}, pages = {229--236}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010461502290236}, doi = {10.5220/0010461502290236}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iotbd/PappakrishnanM021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotbd/SornalakshmiVP021, author = {K. Sornalakshmi and Revathi Venkataraman and N. Parthiban and V. Kavitha}, editor = {Gary B. Wills and P{\'{e}}ter Kacsuk and Victor Chang}, title = {IoT based Circadian Rhythm Monitoring using Fuzzy Logic}, booktitle = {Proceedings of the 6th International Conference on Internet of Things, Big Data and Security, IoTBDS 2021, Online Streaming, April 23-25, 2021}, pages = {223--228}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010451502230228}, doi = {10.5220/0010451502230228}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iotbd/SornalakshmiVP021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ArunachalamKRBN21, author = {Ayush Arunachalam and Shamik Kundu and Arnab Raha and Suvadeep Banerjee and Suriyaprakash Natarajan and Kanad Basu}, title = {HardCompress: {A} Novel Hardware-based Low-power Compression Scheme for {DNN} Accelerators}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {457--462}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424301}, doi = {10.1109/ISQED51717.2021.9424301}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ArunachalamKRBN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BialekABSJKN21, author = {Hayden Bialek and Sohail Ahasan and Ali Binaie and Kamala Raghavan Sadagopan and Matthew L. Johnston and Harish Krishnaswamy and Arun Natarajan}, title = {21.4 {A} 0.75-to-1GHz Passive Wideband Noise-Cancelling 171{\(\mathrm{\mu}\)}W Wake-Up {RX} and 440{\(\mathrm{\mu}\)}W Primary {RX} {FE} with -86dBm/10kb/s Sensitivity, 35dB {SIR} and 3.8dB {RX} {NF}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {308--310}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365817}, doi = {10.1109/ISSCC42613.2021.9365817}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BialekABSJKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itp/NatarajanSS21, author = {Raja Natarajan and Suneel Sarswat and Abhishek Kr Singh}, editor = {Liron Cohen and Cezary Kaliszyk}, title = {Verified Double Sided Auctions for Financial Markets}, booktitle = {12th International Conference on Interactive Theorem Proving, {ITP} 2021, June 29 to July 1, 2021, Rome, Italy (Virtual Conference)}, series = {LIPIcs}, volume = {193}, pages = {28:1--28:18}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.ITP.2021.28}, doi = {10.4230/LIPICS.ITP.2021.28}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/itp/NatarajanSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ml4h/PangJKSCPN21, author = {Chao Pang and Xinzhuo Jiang and Krishna S. Kalluri and Matthew E. Spotnitz and Ruijun Chen and Adler J. Perotte and Karthik Natarajan}, editor = {Subhrajit Roy and Stephen Pfohl and Emma Rocheteau and Girmaw Abebe Tadesse and Luis Oala and Fabian Falck and Yuyin Zhou and Liyue Shen and Ghada Zamzmi and Purity Mugambi and Ayah Zirikly and Matthew B. A. McDermott and Emily Alsentzer}, title = {{CEHR-BERT:} Incorporating temporal information from structured {EHR} data to improve prediction tasks}, booktitle = {Machine Learning for Health, ML4H@NeurIPS 2021, 04 December 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {158}, pages = {239--260}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v158/pang21a.html}, timestamp = {Wed, 27 Apr 2022 11:50:08 +0200}, biburl = {https://dblp.org/rec/conf/ml4h/PangJKSCPN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/RavishankarNM21, author = {Anand Ravishankar and Santhi Natarajan and A. Bharathi Malakreddy}, editor = {Alex Orailoglu and Matthias Jung and Marc Reichenbach}, title = {SparseMAX: Accelerating Quantum Neural Networks on {GPU} Clusters Using Sparse-Matrix Kernels}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 21st International Conference, {SAMOS} 2021, Virtual Event, July 4-8, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13227}, pages = {418--431}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-04580-6\_28}, doi = {10.1007/978-3-031-04580-6\_28}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/RavishankarNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/Jaiswal0NN21, author = {Ayush Jaiswal and Yue Wu and Pradeep Natarajan and Premkumar Natarajan}, title = {Class-agnostic Object Detection}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {918--927}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00096}, doi = {10.1109/WACV48630.2021.00096}, timestamp = {Fri, 18 Jun 2021 10:51:54 +0200}, biburl = {https://dblp.org/rec/conf/wacv/Jaiswal0NN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/walcom/MondalP0R21, author = {Debajyoti Mondal and N. Parthiban and V. Kavitha and Indra Rajasingh}, editor = {Ryuhei Uehara and Seok{-}Hee Hong and Subhas C. Nandy}, title = {APX-Hardness and Approximation for the k-Burning Number Problem}, booktitle = {{WALCOM:} Algorithms and Computation - 15th International Conference and Workshops, {WALCOM} 2021, Yangon, Myanmar, February 28 - March 2, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12635}, pages = {272--283}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-68211-8\_22}, doi = {10.1007/978-3-030-68211-8\_22}, timestamp = {Thu, 27 Apr 2023 14:57:19 +0200}, biburl = {https://dblp.org/rec/conf/walcom/MondalP0R21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/mc/21/HoareMLS21, author = {Tony Hoare and Jayadev Misra and Gary T. Leavens and Natarajan Shankar}, editor = {Cliff B. Jones and Jayadev Misra}, title = {The Verified Software Initiative: {A} Manifesto}, booktitle = {Theories of Programming: The Life and Works of Tony Hoare}, series = {{ACM} Books}, volume = {39}, pages = {81--92}, publisher = {{ACM} / Morgan {\&} Claypool}, year = {2021}, url = {https://doi.org/10.1145/3477355.3477361}, doi = {10.1145/3477355.3477361}, timestamp = {Fri, 18 Aug 2023 18:15:50 +0200}, biburl = {https://dblp.org/rec/books/mc/21/HoareMLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05224, author = {Shekoofeh Azizi and Basil Mustafa and Fiona Ryan and Zachary Beaver and Jan Freyberg and Jonathan Deaton and Aaron Loh and Alan Karthikesalingam and Simon Kornblith and Ting Chen and Vivek Natarajan and Mohammad Norouzi}, title = {Big Self-Supervised Models Advance Medical Image Classification}, journal = {CoRR}, volume = {abs/2101.05224}, year = {2021}, url = {https://arxiv.org/abs/2101.05224}, eprinttype = {arXiv}, eprint = {2101.05224}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05224.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-08013, author = {Prabadevi B and Quoc{-}Viet Pham and Madhusanka Liyanage and Natarajan Deepa and Mounik VVSS and Shivani Reddy and Praveen Kumar Reddy Maddikunta and Neelu Khare and Thippa Reddy Gadekallu and Won{-}Joo Hwang}, title = {Deep Learning for Intelligent Demand Response and Smart Grids: {A} Comprehensive Survey}, journal = {CoRR}, volume = {abs/2101.08013}, year = {2021}, url = {https://arxiv.org/abs/2101.08013}, eprinttype = {arXiv}, eprint = {2101.08013}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-08013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-12548, author = {Ramkumar Natarajan and Howie Choset and Maxim Likhachev}, title = {Interleaving Graph Search and Trajectory Optimization for Aggressive Quadrotor Flight}, journal = {CoRR}, volume = {abs/2101.12548}, year = {2021}, url = {https://arxiv.org/abs/2101.12548}, eprinttype = {arXiv}, eprint = {2101.12548}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-12548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02804, author = {Alisha Menon and Anirudh Natarajan and Reva Agashe and Daniel Sun and Melvin Aristio and Harrison Liew and Yakun Sophia Shao and Jan M. Rabaey}, title = {Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata}, journal = {CoRR}, volume = {abs/2104.02804}, year = {2021}, url = {https://arxiv.org/abs/2104.02804}, eprinttype = {arXiv}, eprint = {2104.02804}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02804.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-03829, author = {Abhijit Guha Roy and Jie Ren and Shekoofeh Azizi and Aaron Loh and Vivek Natarajan and Basil Mustafa and Nick Pawlowski and Jan Freyberg and Yuan Liu and Zachary Beaver and Nam Vo and Peggy Bui and Samantha Winter and Patricia MacWilliams and Gregory S. Corrado and Umesh Telang and Yun Liu and A. Taylan Cemgil and Alan Karthikesalingam and Balaji Lakshminarayanan and Jim Winkens}, title = {Does Your Dermatology Classifier Know What It Doesn't Know? Detecting the Long-Tail of Unseen Conditions}, journal = {CoRR}, volume = {abs/2104.03829}, year = {2021}, url = {https://arxiv.org/abs/2104.03829}, eprinttype = {arXiv}, eprint = {2104.03829}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-03829.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-08437, author = {Raja Natarajan and Suneel Sarswat and Abhishek Kr Singh}, title = {Verified Double Sided Auctions for Financial Markets}, journal = {CoRR}, volume = {abs/2104.08437}, year = {2021}, url = {https://arxiv.org/abs/2104.08437}, eprinttype = {arXiv}, eprint = {2104.08437}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-08437.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-10064, author = {Jiaxin Cheng and Ayush Jaiswal and Yue Wu and Pradeep Natarajan and Prem Natarajan}, title = {Style-Aware Normalized Loss for Improving Arbitrary Style Transfer}, journal = {CoRR}, volume = {abs/2104.10064}, year = {2021}, url = {https://arxiv.org/abs/2104.10064}, eprinttype = {arXiv}, eprint = {2104.10064}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-10064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-13049, author = {Prabadevi B and Natarajan Deepa and Quoc{-}Viet Pham and Dinh C. Nguyen and Praveen Kumar Reddy Maddikunta and G. Thippa Reddy and Pubudu N. Pathirana and Octavia A. Dobre}, title = {Toward Blockchain for Edge-of-Things: {A} New Paradigm, Opportunities, and Future Directions}, journal = {CoRR}, volume = {abs/2104.13049}, year = {2021}, url = {https://arxiv.org/abs/2104.13049}, eprinttype = {arXiv}, eprint = {2104.13049}, timestamp = {Thu, 27 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-13049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00157, author = {Lin Yan and Talha Bin Masood and Raghavendra Sridharamurthy and Farhan Rasheed and Vijay Natarajan and Ingrid Hotz and Bei Wang}, title = {Scalar Field Comparison with Topological Descriptors: Properties and Applications for Scientific Visualization}, journal = {CoRR}, volume = {abs/2106.00157}, year = {2021}, url = {https://arxiv.org/abs/2106.00157}, eprinttype = {arXiv}, eprint = {2106.00157}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00157.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-10363, author = {Anantha Natarajan and Jiaqi Cui and Ergun Akleman and Vinayak R. Krishnamurthy}, title = {Construction of Planar and Symmetric Truss Structures with Interlocking Edge Elements}, journal = {CoRR}, volume = {abs/2106.10363}, year = {2021}, url = {https://arxiv.org/abs/2106.10363}, eprinttype = {arXiv}, eprint = {2106.10363}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-10363.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-06618, author = {Shruthi Bannur and Ozan Oktay and Melanie Bernhardt and Anton Schwaighofer and Rajesh Jena and Besmira Nushi and Sharan Wadhwani and Aditya V. Nori and Kal Natarajan and Shazad Ashraf and Javier Alvarez{-}Valle and Daniel C. Castro}, title = {Hierarchical Analysis of Visual {COVID-19} Features from Chest Radiographs}, journal = {CoRR}, volume = {abs/2107.06618}, year = {2021}, url = {https://arxiv.org/abs/2107.06618}, eprinttype = {arXiv}, eprint = {2107.06618}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-06618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01754, author = {Rakesh Chada and Pradeep Natarajan and Darshan Fofadiya and Prathap Ramachandra}, title = {Error Detection in Large-Scale Natural Language Understanding Systems Using Transformer Models}, journal = {CoRR}, volume = {abs/2109.01754}, year = {2021}, url = {https://arxiv.org/abs/2109.01754}, eprinttype = {arXiv}, eprint = {2109.01754}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01951, author = {Rakesh Chada and Pradeep Natarajan}, title = {FewshotQA: {A} simple framework for few-shot learning of question answering tasks using pre-trained text-to-text models}, journal = {CoRR}, volume = {abs/2109.01951}, year = {2021}, url = {https://arxiv.org/abs/2109.01951}, eprinttype = {arXiv}, eprint = {2109.01951}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-05329, author = {Kimberly Keeton and Sharad Singhal and Haris Volos and Yupu Zhang and Ramesh Chandra Chaurasiya and Clarete Riana Crasta and Sherin T. George and Nagaraju K. N and Mashood Abdulla K and Kavitha Natarajan and Porno Shome and Sanish Suresh}, title = {{MODC:} Resilience for disaggregated memory architectures using task-based programming}, journal = {CoRR}, volume = {abs/2109.05329}, year = {2021}, url = {https://arxiv.org/abs/2109.05329}, eprinttype = {arXiv}, eprint = {2109.05329}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-05329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-13141, author = {Soumyadeep Roy and Sudip Chakraborty and Aishik Mandal and Gunjan Balde and Prakhar Sharma and Anandhavelu Natarajan and Megha Khosla and Shamik Sural and Niloy Ganguly}, title = {Knowledge-Aware Neural Networks for Medical Forum Question Classification}, journal = {CoRR}, volume = {abs/2109.13141}, year = {2021}, url = {https://arxiv.org/abs/2109.13141}, eprinttype = {arXiv}, eprint = {2109.13141}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-13141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-15129, author = {Annamalai Natarajan and Gregory Boverman and Yale Chang and Corneliu Antonescu and Jonathan Rubin}, title = {Convolution-Free Waveform Transformers for Multi-Lead {ECG} Classification}, journal = {CoRR}, volume = {abs/2109.15129}, year = {2021}, url = {https://arxiv.org/abs/2109.15129}, eprinttype = {arXiv}, eprint = {2109.15129}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-15129.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01406, author = {Alexandros Karargyris and Renato Umeton and Micah J. Sheller and Alejandro Aristizabal and Johnu George and Srini Bala and Daniel J. Beutel and Victor Bittorf and Akshay Chaudhari and Alexander Chowdhury and Cody Coleman and Bala Desinghu and Gregory F. Diamos and Debo Dutta and Diane Feddema and Grigori Fursin and Junyi Guo and Xinyuan Huang and David Kanter and Satyananda Kashyap and Nicholas D. Lane and Indranil Mallick and Pietro Mascagni and Virendra Mehta and Vivek Natarajan and Nikola Nikolov and Nicolas Padoy and Gennady Pekhimenko and Vijay Janapa Reddi and G. Anthony Reina and Pablo Ribalta and Jacob Rosenthal and Abhishek Singh and Jayaraman J. Thiagarajan and Anna Wuest and Maria Xenochristou and Daguang Xu and Poonam Yadav and Michael Rosenthal and Massimo Loda and Jason M. Johnson and Peter Mattson}, title = {MedPerf: Open Benchmarking Platform for Medical Artificial Intelligence using Federated Evaluation}, journal = {CoRR}, volume = {abs/2110.01406}, year = {2021}, url = {https://arxiv.org/abs/2110.01406}, eprinttype = {arXiv}, eprint = {2110.01406}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01406.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01616, author = {Vikram Ramesh and Vighnesh Natarajan and Anil Prabhakar}, title = {A spatial-photonic Ising machine to solve the two-way number-partitioning problem}, journal = {CoRR}, volume = {abs/2110.01616}, year = {2021}, url = {https://arxiv.org/abs/2110.01616}, eprinttype = {arXiv}, eprint = {2110.01616}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01616.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-05022, author = {Thippa Reddy Gadekallu and Quoc{-}Viet Pham and Dinh C. Nguyen and Praveen Kumar Reddy Maddikunta and Natarajan Deepa and Prabadevi B and Pubudu N. Pathirana and Jun Zhao and Won{-}Joo Hwang}, title = {Blockchain for Edge of Things: Applications, Opportunities, and Challenges}, journal = {CoRR}, volume = {abs/2110.05022}, year = {2021}, url = {https://arxiv.org/abs/2110.05022}, eprinttype = {arXiv}, eprint = {2110.05022}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-05022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-08318, author = {Harsha Kokel and Arjun Manoharan and Sriraam Natarajan and Balaraman Ravindran and Prasad Tadepalli}, title = {Dynamic probabilistic logic models for effective abstractions in {RL}}, journal = {CoRR}, volume = {abs/2110.08318}, year = {2021}, url = {https://arxiv.org/abs/2110.08318}, eprinttype = {arXiv}, eprint = {2110.08318}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-08318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09647, author = {Yuqiao Chen and Sriraam Natarajan and Nicholas Ruozzi}, title = {Relational Neural Markov Random Fields}, journal = {CoRR}, volume = {abs/2110.09647}, year = {2021}, url = {https://arxiv.org/abs/2110.09647}, eprinttype = {arXiv}, eprint = {2110.09647}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09647.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09778, author = {Athresh Karanam and Saurabh Mathur and Predrag Radivojac and Kristian Kersting and Sriraam Natarajan}, title = {Explaining Deep Tractable Probabilistic Models: The sum-product network case}, journal = {CoRR}, volume = {abs/2110.09778}, year = {2021}, url = {https://arxiv.org/abs/2110.09778}, eprinttype = {arXiv}, eprint = {2110.09778}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-15794, author = {Vinay Aggarwal and Aparna Garimella and Balaji Vasan Srinivasan and Anandhavelu Natarajan and Rajiv Jain}, title = {{CLAUSEREC:} {A} Clause Recommendation Framework for AI-aided Contract Authoring}, journal = {CoRR}, volume = {abs/2110.15794}, year = {2021}, url = {https://arxiv.org/abs/2110.15794}, eprinttype = {arXiv}, eprint = {2110.15794}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-15794.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-02999, author = {Sandy Irani and Anand Natarajan and Chinmay Nirkhe and Sujit Rao and Henry Yuen}, title = {Quantum search-to-decision reductions and the state synthesis problem}, journal = {CoRR}, volume = {abs/2111.02999}, year = {2021}, url = {https://arxiv.org/abs/2111.02999}, eprinttype = {arXiv}, eprint = {2111.02999}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-02999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-04382, author = {Raghavendra Sridharamurthy and Vijay Natarajan}, title = {Comparative Analysis of Merge Trees using Local Tree Edit Distance}, journal = {CoRR}, volume = {abs/2111.04382}, year = {2021}, url = {https://arxiv.org/abs/2111.04382}, eprinttype = {arXiv}, eprint = {2111.04382}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-04382.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-08585, author = {Chao Pang and Xinzhuo Jiang and Krishna S. Kalluri and Matthew E. Spotnitz and Ruijun Chen and Adler J. Perotte and Karthik Natarajan}, title = {{CEHR-BERT:} Incorporating temporal information from structured {EHR} data to improve prediction tasks}, journal = {CoRR}, volume = {abs/2111.08585}, year = {2021}, url = {https://arxiv.org/abs/2111.08585}, eprinttype = {arXiv}, eprint = {2111.08585}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-08585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-02969, author = {Naman Jain and Skanda Vaidyanath and Arun Shankar Iyer and Nagarajan Natarajan and Suresh Parthasarathy and Sriram K. Rajamani and Rahul Sharma}, title = {Jigsaw: Large Language Models meet Program Synthesis}, journal = {CoRR}, volume = {abs/2112.02969}, year = {2021}, url = {https://arxiv.org/abs/2112.02969}, eprinttype = {arXiv}, eprint = {2112.02969}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-02969.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/NatarajanDD21, author = {Deepika Natarajan and Wei Dai and Ronald G. Dreslinski}, title = {{CHEX-MIX:} Combining Homomorphic Encryption with Trusted Execution Environments for Two-party Oblivious Inference in the Cloud}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1603}, year = {2021}, url = {https://eprint.iacr.org/2021/1603}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/NatarajanDD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Ramamoorthy20, author = {Sivaramakrishnan Natarajan Ramamoorthy}, title = {Lower Bounds in Computational Complexity from Information Theory, Algebra and Combinatorics}, school = {University of Washington, {USA}}, year = {2020}, url = {https://hdl.handle.net/1773/45470}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Ramamoorthy20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DeepaKPVMG20, author = {Natarajan Deepa and Mohammad Zubair Khan and B. Prabadevi and P. M. Durai Raj Vincent and Praveen Kumar Reddy Maddikunta and Thippa Reddy Gadekallu}, title = {Multiclass Model for Agriculture Development Using Multivariate Statistical Method}, journal = {{IEEE} Access}, volume = {8}, pages = {183749--183758}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3028595}, doi = {10.1109/ACCESS.2020.3028595}, timestamp = {Tue, 28 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DeepaKPVMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RehmanNMAHA20, author = {Shafiqur Rehman and Narayanan Natarajan and Mohamed A. Mohandes and Luai M. Alhems and Youcef Himri and Amine Allouhi}, title = {Feasibility Study of Hybrid Power Systems for Remote Dwellings in Tamil Nadu, India}, journal = {{IEEE} Access}, volume = {8}, pages = {143881--143890}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3014164}, doi = {10.1109/ACCESS.2020.3014164}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RehmanNMAHA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShanmugamMR20, author = {Natarajan Shanmugam and Balasubramanian Madanmohan and Rajesh Rajamani}, title = {Influence of the Load on the Impulse Frequency Response Approach Based Diagnosis of Transformer's Inter-Turn Short-Circuit}, journal = {{IEEE} Access}, volume = {8}, pages = {39454--39463}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2976157}, doi = {10.1109/ACCESS.2020.2976157}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShanmugamMR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/DsouzaVBN20, author = {Shaima Magdaline Dsouza and Tittu Varghese Mathew and P. R. Budarapu and S. Natarajan}, title = {A Non-Intrusive Stochastic Isogeometric Analysis of Functionally Graded Plates with Material Uncertainty}, journal = {Axioms}, volume = {9}, number = {3}, pages = {92}, year = {2020}, url = {https://doi.org/10.3390/axioms9030092}, doi = {10.3390/AXIOMS9030092}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/DsouzaVBN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuangYWCVLMRK20, author = {Liang{-}Chin Huang and Wayland Yeung and Ye Wang and Huimin Cheng and Aarya Venkat and Sheng Li and Ping Ma and Khaled Rasheed and Natarajan Kannan}, title = {Quantitative Structure-Mutation-Activity Relationship Tests {(QSMART)} model for protein kinase inhibitor response prediction}, journal = {{BMC} Bioinform.}, volume = {21}, number = {1}, pages = {520}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-03842-6}, doi = {10.1186/S12859-020-03842-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HuangYWCVLMRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/SrinivasanNR20, author = {E. Srinivasan and Nandhini Natarajan and R. Rajasekaran}, title = {{TTRMDB:} {A} database for structural and functional analysis on the impact of SNPs over transthyretin {(TTR)} using bioinformatic tools}, journal = {Comput. Biol. Chem.}, volume = {87}, pages = {107290}, year = {2020}, url = {https://doi.org/10.1016/j.compbiolchem.2020.107290}, doi = {10.1016/J.COMPBIOLCHEM.2020.107290}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/SrinivasanNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/PalanivelNGJ20, author = {Dhevendra Alagan Palanivel and Sivakumaran Natarajan and Sainarayanan Gopalakrishnan and Rachid Jennane}, title = {Multifractal-based lacunarity analysis of trabecular bone in radiography}, journal = {Comput. Biol. Medicine}, volume = {116}, pages = {103559}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2019.103559}, doi = {10.1016/J.COMPBIOMED.2019.103559}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/PalanivelNGJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comgeo/MasoodRN20, author = {Talha Bin Masood and Tathagata Ray and Vijay Natarajan}, title = {Parallel computation of alpha complexes for biomolecules}, journal = {Comput. Geom.}, volume = {90}, pages = {101651}, year = {2020}, url = {https://doi.org/10.1016/j.comgeo.2020.101651}, doi = {10.1016/J.COMGEO.2020.101651}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comgeo/MasoodRN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/RajLKNR20, author = {Marshal Raj and Gopalakrishnan Lakshminarayanan and Seok{-}Bum Ko and Nagi Naganathan and N. Ramasubramanian}, title = {Configurable Logic Blocks and Memory Blocks for Beyond-CMOS FPGA-Based Embedded Systems}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {12}, number = {4}, pages = {113--116}, year = {2020}, url = {https://doi.org/10.1109/LES.2020.2966791}, doi = {10.1109/LES.2020.2966791}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/RajLKNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdata/RamananN20, author = {Nandini Ramanan and Sriraam Natarajan}, title = {Causal Learning From Predictive Modeling for Observational Data}, journal = {Frontiers Big Data}, volume = {3}, pages = {535976}, year = {2020}, url = {https://doi.org/10.3389/fdata.2020.535976}, doi = {10.3389/FDATA.2020.535976}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdata/RamananN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/DasRDN20, author = {Mayukh Das and Nandini Ramanan and Janardhan Rao Doppa and Sriraam Natarajan}, title = {Few-Shot Induction of Generalized Logical Concepts via Human Guidance}, journal = {Frontiers Robotics {AI}}, volume = {7}, pages = {122}, year = {2020}, url = {https://doi.org/10.3389/frobt.2020.00122}, doi = {10.3389/FROBT.2020.00122}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/firai/DasRDN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/PadmasiniR20, author = {Natarajan Padmasini and Umamaheswari Rengasamy}, title = {Automated detection of multiple structural changes of diabetic macular oedema in {SDOCT} retinal images through transfer learning in CNNs}, journal = {{IET} Image Process.}, volume = {14}, number = {16}, pages = {4067--4075}, year = {2020}, url = {https://doi.org/10.1049/iet-ipr.2020.0612}, doi = {10.1049/IET-IPR.2020.0612}, timestamp = {Thu, 18 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/PadmasiniR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-sen/PhilipNRB20, author = {Milu Mary Philip and Karthik Natarajan and Anithkumar Ramanathan and Vijayakumar Balakrishnan}, title = {Composite pattern to handle variation points in software architectural design of evolving application systems}, journal = {{IET} Softw.}, volume = {14}, number = {2}, pages = {98--105}, year = {2020}, url = {https://doi.org/10.1049/iet-sen.2019.0006}, doi = {10.1049/IET-SEN.2019.0006}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-sen/PhilipNRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbic/NatarajanSRVGS20, author = {Sivaramakrishnan Natarajan and V. Subramaniyaswamy and Logesh Ravi and Varadarajan Vijayakumar and Xiao{-}Zhi Gao and S. L. Rakshana Sri}, title = {An effective user clustering-based collaborative filtering recommender system with grey wolf optimisation}, journal = {Int. J. Bio Inspired Comput.}, volume = {16}, number = {1}, pages = {44--55}, year = {2020}, url = {https://doi.org/10.1504/IJBIC.2020.108999}, doi = {10.1504/IJBIC.2020.108999}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbic/NatarajanSRVGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/MadhusudhananV20, author = {Natarajan Madhusudhanan and Rajamanickam Venkateswari}, title = {Outage analysis of opportunistic-based hybrid decode-amplify-forward relaying in polar code environment}, journal = {Int. J. Commun. Syst.}, volume = {33}, number = {4}, year = {2020}, url = {https://doi.org/10.1002/dac.4255}, doi = {10.1002/DAC.4255}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/MadhusudhananV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/CN20, author = {Manjith B. C. and Ramasubramanian Natarajan}, title = {Securing {AES} Accelerator from Key-Leaking Trojans on {FPGA}}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {11}, number = {3}, pages = {84--105}, year = {2020}, url = {https://doi.org/10.4018/IJERTCS.2020070105}, doi = {10.4018/IJERTCS.2020070105}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/CN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijin/NatarajanKRS20, author = {Yuvaraj Natarajan and N. V. Kousik and Rajan Arshath Raja and M. Saravanan}, title = {Automatic skull-face overlay and mandible articulation in data science by AIRS-Genetic algorithm}, journal = {Int. J. Intell. Networks}, volume = {1}, pages = {9--16}, year = {2020}, url = {https://doi.org/10.1016/j.ijin.2020.05.003}, doi = {10.1016/J.IJIN.2020.05.003}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijin/NatarajanKRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmei/MathappanSNG20, author = {Nivaashini Mathappan and R. S. Soundariya and Aravindhraj Natarajan and Sathish Kumar Gopalan}, title = {Bio-medical analysis of breast cancer risk detection based on deep neural network}, journal = {Int. J. Medical Eng. Informatics}, volume = {12}, number = {6}, pages = {529--541}, year = {2020}, url = {https://doi.org/10.1504/IJMEI.2020.111027}, doi = {10.1504/IJMEI.2020.111027}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmei/MathappanSNG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/RaghuSGHTRK20, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Erik D. Gommer and Danny M. W. Hilkman and Yasin Temel and Shyam Vasudeva Rao and Pieter Leonard Kubben}, title = {Adaptive median feature baseline correction for improving recognition of epileptic seizures in {ICU} {EEG}}, journal = {Neurocomputing}, volume = {407}, pages = {385--398}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2020.04.144}, doi = {10.1016/J.NEUCOM.2020.04.144}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/RaghuSGHTRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijscn/RashedTN20, author = {Ahmed Nabih Zaki Rashed and Mohamed Salah F. Tabbour and Karuppusamy Natarajan}, title = {Performance enhancement of overall {LEO/MEO} intersatellite optical wireless communication systems}, journal = {Int. J. Satell. Commun. Netw.}, volume = {38}, number = {1}, pages = {31--40}, year = {2020}, url = {https://doi.org/10.1002/sat.1306}, doi = {10.1002/SAT.1306}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijscn/RashedTN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwp/VNSPVA20, author = {Sathiyamoorthi V. and Jayapandian N. and Gnana Prakasi O. S. and Kanmani P. and Revathi Vaithiyanathan and Prasanth Rao A.}, title = {Analyzing and Optimizing the Usability of Website Access}, journal = {Int. J. Web Portals}, volume = {12}, number = {2}, pages = {22--40}, year = {2020}, url = {https://doi.org/10.4018/IJWP.2020070102}, doi = {10.4018/IJWP.2020070102}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwp/VNSPVA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/KousikNKPG20, author = {Nalliyanna Goundar Veerappan Kousik and Yuvaraj Natarajan and Suresh Kallam and Rizwan Patan and Amir H. Gandomi}, title = {Improving Power and Resource Management in Heterogeneous Downlink {OFDMA} Networks}, journal = {Inf.}, volume = {11}, number = {4}, pages = {203}, year = {2020}, url = {https://doi.org/10.3390/info11040203}, doi = {10.3390/INFO11040203}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/KousikNKPG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/RiazPN20, author = {Sidra Riaz and Unsang Park and Prem Natarajan}, title = {Improving face verification using facial marks and deep {CNN:} {IARPA} Janus benchmark-A}, journal = {Image Vis. Comput.}, volume = {104}, pages = {104020}, year = {2020}, url = {https://doi.org/10.1016/j.imavis.2020.104020}, doi = {10.1016/J.IMAVIS.2020.104020}, timestamp = {Thu, 07 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/RiazPN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/RejiNS20, author = {Peter Reji and K. Natarajan and K. R. Shobha}, title = {Performance Evaluation of Wireless Protocols for Avionics Wireless Network}, journal = {J. Aerosp. Inf. Syst.}, volume = {17}, number = {3}, pages = {160--170}, year = {2020}, url = {https://doi.org/10.2514/1.i010752}, doi = {10.2514/1.I010752}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jacic/RejiNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/RajaramMVRA20, author = {Sangeetha Rajaram and Tanmoy Maitra and Satyanarayana Vollala and N. Ramasubramanian and Ruhul Amin}, title = {eUASBP: enhanced user authentication scheme based on bilinear pairing}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {11}, number = {7}, pages = {2827--2840}, year = {2020}, url = {https://doi.org/10.1007/s12652-019-01388-x}, doi = {10.1007/S12652-019-01388-X}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/RajaramMVRA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DongLSBDHLLMNOP20, author = {Xiao Dong and Jianfu Li and Ekin Soysal and Jiang Bian and Scott L. DuVall and Elizabeth Hanchrow and Hongfang Liu and Kristine E. Lynch and Michael E. Matheny and Karthik Natarajan and Lucila Ohno{-}Machado and Serguei Pakhomov and Ruth Madeleine Reeves and Amy M. Sitapati and Swapna Abhyankar and Theresa Cullen and Jami Deckard and Xiaoqian Jiang and Robert Murphy and Hua Xu}, title = {{COVID-19} TestNorm: {A} tool to normalize {COVID-19} testing names to {LOINC} codes}, journal = {J. Am. Medical Informatics Assoc.}, volume = {27}, number = {9}, pages = {1437--1442}, year = {2020}, url = {https://doi.org/10.1093/jamia/ocaa145}, doi = {10.1093/JAMIA/OCAA145}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DongLSBDHLLMNOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/DekaBTDN20, author = {Mandeep Deka and Shuvayan Brahmachary and Ramakrishnan Thirumalaisamy and Amaresh Dalal and Ganesh Natarajan}, title = {A new Green-Gauss reconstruction on unstructured meshes. Part {I:} Gradient reconstruction}, journal = {J. Comput. Phys.}, volume = {422}, pages = {108325}, year = {2020}, url = {https://doi.org/10.1016/j.jcp.2018.10.023}, doi = {10.1016/J.JCP.2018.10.023}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/DekaBTDN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/PriyaR20, author = {Bhukya Krishna Priya and N. Ramasubramanian}, title = {Enhancing the Lifetime of a Phase Change Memory with Bit-Flip Reversal}, journal = {J. Circuits Syst. Comput.}, volume = {29}, number = {14}, pages = {2050219:1--2050219:28}, year = {2020}, url = {https://doi.org/10.1142/S0218126620502199}, doi = {10.1142/S0218126620502199}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/PriyaR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/RajmohanN20, author = {Shathanaa Rajmohan and Ramasubramanian Natarajan}, title = {A Memetic Algorithm-Based Design Space Exploration for Datapath Resource Allocation During High-Level Synthesis}, journal = {J. Circuits Syst. Comput.}, volume = {29}, number = {1}, pages = {2050001:1--2050001:30}, year = {2020}, url = {https://doi.org/10.1142/S0218126620500012}, doi = {10.1142/S0218126620500012}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/RajmohanN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SrivastavaKKPV20, author = {Gautam Srivastava and C. N. S. Vinoth Kumar and V. Kavitha and N. Parthiban and Revathi Venkataraman}, title = {Two-stage data encryption using chaotic neural networks}, journal = {J. Intell. Fuzzy Syst.}, volume = {38}, number = {3}, pages = {2561--2568}, year = {2020}, url = {https://doi.org/10.3233/JIFS-179543}, doi = {10.3233/JIFS-179543}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/SrivastavaKKPV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/PadmasiniRKS20, author = {Natarajan Padmasini and Umamaheswari Rengasamy and R. Kalpana and Mohamed Yacin Sikkandar}, title = {Comparative Study of Iris and Retinal Images for Early Detection of Diabetic Mellitus}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {2}, pages = {316--325}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.2973}, doi = {10.1166/JMIHI.2020.2973}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/PadmasiniRKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/DasMBANLY20, author = {Rituparna Das and Nidhi Manaktala and Tanupriya Bhatia and Shubham Agarwal and Srikant Natarajan and Amitha Juanita Lewis and Shweta Yellapurkar}, title = {Efficiency of Mobile Video Sharing Application (WhatsApp{\textregistered}) in Live Field Image Transmission for Telepathology}, journal = {J. Medical Syst.}, volume = {44}, number = {6}, pages = {109}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01567-w}, doi = {10.1007/S10916-020-01567-W}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/DasMBANLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ki/KumaraswamyRON20, author = {Raksha Kumaraswamy and Nandini Ramanan and Phillip Odom and Sriraam Natarajan}, title = {Interactive Transfer Learning in Relational Domains}, journal = {K{\"{u}}nstliche Intell.}, volume = {34}, number = {2}, pages = {181--192}, year = {2020}, url = {https://doi.org/10.1007/s13218-020-00659-6}, doi = {10.1007/S13218-020-00659-6}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ki/KumaraswamyRON20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JayanthiRS20, author = {Natarajan Jayanthi and Vishal Rajput and Indu Sreedevi}, title = {Underwater haze removal using contrast boosted grayscale image}, journal = {Multim. Tools Appl.}, volume = {79}, number = {41-42}, pages = {31007--31026}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-09429-w}, doi = {10.1007/S11042-020-09429-W}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/JayanthiRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/VaradiBDNGAPAAB20, author = {Mihaly Varadi and John M. Berrisford and Mandar S. Deshpande and Sreenath Nair and Aleksandras Gutmanas and David R. Armstrong and Luk{\'{a}}s Pravda and Bissan Al{-}Lazikani and Stephen Anyango and Geoffrey J. Barton and Karel Berka and Tom L. Blundell and Neera Borkakoti and Jose M. Dana and Sayoni Das and Sucharita Dey and Patrizio Di Micco and Franca Fraternali and Toby J. Gibson and Manuela Helmer{-}Citterich and David Hoksza and Liang{-}Chin Huang and Rishabh Jain and Harry Jubb and Christos C. Kannas and Natarajan Kannan and Jaroslav Koca and Radoslav Kriv{\'{a}}k and Manjeet Kumar and Emmanuel D. Levy and F{\'{a}}bio Madeira and M. S. Madhusudhan and Henry J. Martell and Stuart A. MacGowan and Jake E. McGreig and Saqib Mir and Abhik Mukhopadhyay and Luca Parca and Typhaine Paysan{-}Lafosse and Leandro G. Radusky and Ant{\'{o}}nio J. M. Ribeiro and Luis Serrano and Ian Sillitoe and Gulzar Singh and Petr Skoda and Radka Svobodov{\'{a}} Varekov{\'{a}} and Jonathan D. Tyzack and Alfonso Valencia and Eloy D. Villasclaras{-}Fern{\'{a}}ndez and Wim F. Vranken and Mark N. Wass and Janet M. Thornton and Michael J. E. Sternberg and Christine A. Orengo and Sameer Velankar}, title = {PDBe-KB: a community-driven resource for structural and functional annotations}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D344--D353}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz853}, doi = {10.1093/NAR/GKZ853}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/VaradiBDNGAPAAB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/RaghuSRHK20, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Shyam Vasudeva Rao and Alangar Sathyaranjan Hegde and Pieter Leonard Kubben}, title = {Automated detection of epileptic seizures using successive decomposition index and support vector machine classifier in long-term {EEG}}, journal = {Neural Comput. Appl.}, volume = {32}, number = {13}, pages = {8965--8984}, year = {2020}, url = {https://doi.org/10.1007/s00521-019-04389-1}, doi = {10.1007/S00521-019-04389-1}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/RaghuSRHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/RaviSDNV20, author = {Logesh Ravi and V. Subramaniyaswamy and Malathi Devarajan and Sivaramakrishnan Natarajan and Varadarajan Vijayakumar}, title = {Enhancing recommendation stability of collaborative filtering recommender system through bio-inspired clustering ensemble method}, journal = {Neural Comput. Appl.}, volume = {32}, number = {7}, pages = {2141--2164}, year = {2020}, url = {https://doi.org/10.1007/s00521-018-3891-5}, doi = {10.1007/S00521-018-3891-5}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/RaviSDNV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/RaghuSTRK20, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Yasin Temel and Shyam Vasudeva Rao and Pieter Leonard Kubben}, title = {{EEG} based multi-class seizure type classification using convolutional neural network and transfer learning}, journal = {Neural Networks}, volume = {124}, pages = {202--212}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.01.017}, doi = {10.1016/J.NEUNET.2020.01.017}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/RaghuSTRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/RajamanickamVAR20, author = {Siranjeevi Rajamanickam and Satyanarayana Vollala and Ruhul Amin and N. Ramasubramanian}, title = {Insider Attack Protection: Lightweight Password-Based Authentication Techniques Using {ECC}}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {2}, pages = {1972--1983}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2019.2933464}, doi = {10.1109/JSYST.2019.2933464}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/RajamanickamVAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talg/BeameHRRS20, author = {Paul Beame and Sariel Har{-}Peled and Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian and Makrand Sinha}, title = {Edge Estimation with Independent Set Oracles}, journal = {{ACM} Trans. Algorithms}, volume = {16}, number = {4}, pages = {52:1--52:27}, year = {2020}, url = {https://doi.org/10.1145/3404867}, doi = {10.1145/3404867}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/talg/BeameHRRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChandrasekharYN20, author = {Anand Chandrasekhar and Mohammad Yavarimanesh and Keerthana Natarajan and Jin{-}Oh Hahn and Ramakrishna Mukkamala}, title = {{PPG} Sensor Contact Pressure Should Be Taken Into Account for Cuff-Less Blood Pressure Measurement}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {11}, pages = {3134--3140}, year = {2020}, url = {https://doi.org/10.1109/TBME.2020.2976989}, doi = {10.1109/TBME.2020.2976989}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/ChandrasekharYN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RajmohanRN20, author = {Shathanaa Rajmohan and N. Ramasubramanian and Nagi Naganathan}, title = {Hybrid Evolutionary Design Space Exploration Algorithm With Defence Against Third Party {IP} Vulnerabilities}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2602--2614}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2960340}, doi = {10.1109/TCAD.2019.2960340}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RajmohanRN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/JoshiNPN20, author = {Kishor Chandra Joshi and Solmaz Niknam and R. Venkatesha Prasad and Balasubramaniam Natarajan}, title = {Analyzing the Tradeoffs in Using Millimeter Wave Directional Links for High Data-Rate Tactile Internet Applications}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {3}, pages = {1924--1932}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2931703}, doi = {10.1109/TII.2019.2931703}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/JoshiNPN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topc/NatarajanRM20, author = {Aravind Natarajan and Arunmoezhi Ramachandran and Neeraj Mittal}, title = {{FEAST:} {A} Lightweight Lock-free Concurrent Binary Search Tree}, journal = {{ACM} Trans. Parallel Comput.}, volume = {7}, number = {2}, pages = {10:1--10:64}, year = {2020}, url = {https://doi.org/10.1145/3391438}, doi = {10.1145/3391438}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/topc/NatarajanRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/SridharamurthyM20, author = {Raghavendra Sridharamurthy and Talha Bin Masood and Adhitya Kamakshidasan and Vijay Natarajan}, title = {Edit Distance between Merge Trees}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {26}, number = {3}, pages = {1518--1531}, year = {2020}, url = {https://doi.org/10.1109/TVCG.2018.2873612}, doi = {10.1109/TVCG.2018.2873612}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/SridharamurthyM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/GuptaSNS20, author = {Saurabh Gupta and Niranjan Soundararajan and Ragavendra Natarajan and Sreenivas Subramoney}, editor = {Vivek Sarkar and Hyesoon Kim}, title = {Opportunistic Early Pipeline Re-steering for Data-dependent Branches}, booktitle = {{PACT} '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020}, pages = {305--316}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410463.3414628}, doi = {10.1145/3410463.3414628}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/GuptaSNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/HolkoRMNCSKWPBG20, author = {Michelle Holko and Francis Ratsimbazafy and Kayla Marginean and Karthik Natarajan and Sylvia Cho and Josh Schilling and Aymone Kouame and Dan Webster and Shaquille Peters and Mark Begale and Kelly Gebo and Andrea H. Ramirez and Paul A. Harris}, title = {Fitbit "Bring Your Own Device" data in the All of Us Research Program}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t004-1.4605866/t004-1.4605867/3415755-1.4606078/3414532-1.4606075}, timestamp = {Wed, 17 Apr 2024 11:47:01 +0200}, biburl = {https://dblp.org/rec/conf/amia/HolkoRMNCSKWPBG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/HuserBNMWSPS20, author = {Vojtech Huser and Clair Blacketer and Karthik Natarajan and Robert T. Miller and Andrew Williams and Selva Muthu Kumaran Sathappan and Jos{\'{e}} D. Posada and Nigam Shah}, title = {Data Quality Assessment of Laboratory Data}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t005-1.4604904/t005-1.4604905/3417113-1.4605509/3413748-1.4605506}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/HuserBNMWSPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/OstropoletsRRWM20, author = {Anna Ostropolets and Christian G. Reich and Patrick B. Ryan and Chunhua Weng and Anthony Molinaro and Frank J. DeFalco and Jitendra Jonnagaddala and Siaw{-}Teng Liaw and Hokyun Jeon and Rae Woong Park and Matthew E. Spotnitz and Karthik Natarajan and Kristin Kostka and George Argyriou and Robert T. Miller and Andrew E. Williams and Evan Minty and Jos{\'{e}} D. Posada and George Hripcsak}, title = {Characterizing database granularity using {SNOMED-CT} hierarchy}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t003-1.4606204/t003-1.4606205/3417229-1.4606350/3416797-1.4606347}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/OstropoletsRRWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/PangCNKSJRRN20, author = {Chao Pang and Ruijun Chen and Harry Reyes Nieva and Krishna Kalluri and Tony Sun and Xinzhuo Jiang and Victor Alfonso Rodriguez and Patrick B. Ryan and Karthik Natarajan}, title = {Characterization and Comparison of Embedding Algorithms for Phenotyping across a Network of Observational Databases}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t004-1.4605866/t004-1.4605867/3412322-1.4605982/3416885-1.4605979}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/PangCNKSJRRN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/RodriguezSTPKJN20, author = {Victor Alfonso Rodriguez and Tony Sun and Phyllis Thangaraj and Chao Pang and Krishna Kalluri and Xinzhuo Jiang and Karthik Natarajan and Patrick B. Ryan and Anna Ostropolets and Ruijun Chen}, title = {Phenotype Concept Set Construction from Concept Pair Likelihoods}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t003-1.4606204/t003-1.4606205/3409611-1.4606320/3417072-1.4606317}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/RodriguezSTPKJN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ZuoLZZDDNHSBRX20, author = {Xu Zuo and Jianfu Li and Bo Zhao and Yujia Zhou and Xiao Dong and Jon D. Duke and Karthik Natarajan and George Hripcsak and Nigam Shah and Juan M. Banda and Ruth M. Reeves and Hua Xu}, title = {Normalizing Clinical Document Titles to {LOINC} Document Ontology: an Initial Study}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t003-1.4606204/t003-1.4606205/3410444-1.4606209/3416722-1.4606206}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/ZuoLZZDDNHSBRX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MoghadamNJ20, author = {Rohollah Moghadam and Pappa Natarajan and Sarangapani Jagannathan}, title = {Multilayer Neural Network-based Optimal Adaptive Tracking Control of Partially Uncertain Nonlinear Discrete-time Systems}, booktitle = {59th {IEEE} Conference on Decision and Control, {CDC} 2020, Jeju Island, South Korea, December 14-18, 2020}, pages = {2204--2209}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CDC42340.2020.9304237}, doi = {10.1109/CDC42340.2020.9304237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/MoghadamNJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/NatarajanCMRBVR20, author = {Annamalai Natarajan and Yale Chang and Sara Mariani and Asif Rahman and Gregory Boverman and Shruti Vij and Jonathan Rubin}, title = {A Wide and Deep Transformer Neural Network for 12-Lead {ECG} Classification}, booktitle = {Computing in Cardiology, CinC 2020, Rimini, Italy, September 13-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.22489/CinC.2020.107}, doi = {10.22489/CINC.2020.107}, timestamp = {Fri, 19 Feb 2021 11:06:11 +0100}, biburl = {https://dblp.org/rec/conf/cinc/NatarajanCMRBVR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compgeom/MasoodRN20, author = {Talha Bin Masood and Tathagata Ray and Vijay Natarajan}, editor = {Sergio Cabello and Danny Z. Chen}, title = {Parallel Computation of Alpha Complexes for Biomolecules}, booktitle = {36th International Symposium on Computational Geometry, SoCG 2020, June 23-26, 2020, Z{\"{u}}rich, Switzerland}, series = {LIPIcs}, volume = {164}, pages = {17:1--17:16}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.SoCG.2020.17}, doi = {10.4230/LIPICS.SOCG.2020.17}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/compgeom/MasoodRN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpp/SinghN20, author = {Abhishek Kr Singh and Raja Natarajan}, editor = {Jasmin Blanchette and Catalin Hritcu}, title = {A constructive formalization of the weak perfect graph theorem}, booktitle = {Proceedings of the 9th {ACM} {SIGPLAN} International Conference on Certified Programs and Proofs, {CPP} 2020, New Orleans, LA, USA, January 20-21, 2020}, pages = {313--324}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372885.3373819}, doi = {10.1145/3372885.3373819}, timestamp = {Sun, 02 Oct 2022 15:58:04 +0200}, biburl = {https://dblp.org/rec/conf/cpp/SinghN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvip/KumarNSM20, author = {Rahul Kumar and Shankar Natarajan and Mohamed Akram Ulla Shariff and Parameswaranath Vaduckupurath Mani}, editor = {Satish Kumar Singh and Partha Pratim Roy and Balasubramanian Raman and P. Nagabhushan}, title = {Dynamic User Interface Composition}, booktitle = {Computer Vision and Image Processing - 5th International Conference, {CVIP} 2020, Prayagraj, India, December 4-6, 2020, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1377}, pages = {208--220}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-16-1092-9\_18}, doi = {10.1007/978-981-16-1092-9\_18}, timestamp = {Wed, 28 Apr 2021 17:12:01 +0200}, biburl = {https://dblp.org/rec/conf/cvip/KumarNSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/GeogheganPZWMN20, author = {Rory Geoghegan and Alan Priester and Le Zhang and Holden H. Wu and Leonard S. Marks and Shyam Natarajan}, title = {Monitoring Focal Laser Ablation with Interstitial Fluence Probes: Monte Carlo Simulation and Phantom Validation}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {5272--5275}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176370}, doi = {10.1109/EMBC44109.2020.9176370}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/GeogheganPZWMN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/AnithaPBS20, author = {Natarajan Anitha and Rangasamy Devi Priya and Chelladurai Baskar and V. Devi Surya}, editor = {Ajith Abraham and Hideyasu Sasaki and Ricardo Rios and Niketa Gandhi and Umang Singh and Kun Ma}, title = {Analysis of Socio-cognitive Skills Among 90's and 2k's Generations Using Machine Learning Techniques}, booktitle = {Innovations in Bio-Inspired Computing and Applications - Proceedings of the 11th International Conference on Innovations in Bio-Inspired Computing and Applications {(IBICA} 2020) held during December 16-18, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1372}, pages = {231--240}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-73603-3\_21}, doi = {10.1007/978-3-030-73603-3\_21}, timestamp = {Thu, 22 Feb 2024 09:42:25 +0100}, biburl = {https://dblp.org/rec/conf/ibica/AnithaPBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/BarazidehSNN20, author = {Reza Barazideh and Omid Semiari and Solmaz Niknam and Balasubramaniam Natarajan}, title = {Reinforcement Learning for Mitigating Intermittent Interference in Terahertz Communication Networks}, booktitle = {2020 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCWorkshops49005.2020.9145080}, doi = {10.1109/ICCWORKSHOPS49005.2020.9145080}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/BarazidehSNN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciis/DarapaneniJNSSP20, author = {Narayana Darapaneni and A. Jagannathan and Vigneshwaran Natarajan and Guruprasadh Vadakkupattu Swaminathan and S. Subramanian and Anwesh Reddy Paduri}, title = {Semantic Segmentation of Solar {PV} Panels and Wind Turbines in Satellite Images Using U-Net}, booktitle = {15th {IEEE} International Conference on Industrial and Information Systems, {ICIIS} 2020, Rupnagar, India, November 26-28, 2020}, pages = {7--12}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIIS51140.2020.9342701}, doi = {10.1109/ICIIS51140.2020.9342701}, timestamp = {Mon, 09 Aug 2021 09:51:51 +0200}, biburl = {https://dblp.org/rec/conf/iciis/DarapaneniJNSSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChiplunkarKR20, author = {Ashish Chiplunkar and Sagar Sudhir Kale and Sivaramakrishnan Natarajan Ramamoorthy}, title = {How to Solve Fair k-Center in Massive Data Models}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {1877--1886}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/chiplunkar20a.html}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/ChiplunkarKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenYNR20, author = {Yuqiao Chen and Yibo Yang and Sriraam Natarajan and Nicholas Ruozzi}, editor = {Christian Bessiere}, title = {Lifted Hybrid Variational Inference}, booktitle = {Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, {IJCAI} 2020}, pages = {4237--4244}, publisher = {ijcai.org}, year = {2020}, url = {https://doi.org/10.24963/ijcai.2020/585}, doi = {10.24963/IJCAI.2020/585}, timestamp = {Mon, 20 Jul 2020 12:38:52 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChenYNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/MoghadamNKJ20, author = {Rohollah Moghadam and Pappa Natarajan and Raghavan Krishnan and Sarangapani Jagannathan}, title = {Online Optimal Adaptive Control of a Class of Uncertain Nonlinear Discrete-time Systems}, booktitle = {2020 International Joint Conference on Neural Networks, {IJCNN} 2020, Glasgow, United Kingdom, July 19-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IJCNN48605.2020.9206724}, doi = {10.1109/IJCNN48605.2020.9206724}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/MoghadamNKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/RamamoorthyR20, author = {Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian}, editor = {Thomas Vidick}, title = {Equivalence of Systematic Linear Data Structures and Matrix Rigidity}, booktitle = {11th Innovations in Theoretical Computer Science Conference, {ITCS} 2020, January 12-14, 2020, Seattle, Washington, {USA}}, series = {LIPIcs}, volume = {151}, pages = {35:1--35:20}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2020.35}, doi = {10.4230/LIPICS.ITCS.2020.35}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/RamamoorthyR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/MadbhaviKNS20, author = {Rahul Madbhavi and Hazhar Sufi Karimi and Balasubramaniam Natarajan and Babji Srinivasan}, title = {Tensor Completion based State Estimation in Distribution Systems}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2020, Washington, DC, USA, February 17-20, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISGT45199.2020.9087747}, doi = {10.1109/ISGT45199.2020.9087747}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/MadbhaviKNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/NatarajanD20, author = {Deepika Natarajan and Ronald G. Dreslinski}, title = {Performance Characterization of Lattice-Based Cryptography Workloads}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2020, Boston, MA, USA, August 23-25, 2020}, pages = {220--222}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPASS48437.2020.00039}, doi = {10.1109/ISPASS48437.2020.00039}, timestamp = {Wed, 04 Nov 2020 15:42:34 +0100}, biburl = {https://dblp.org/rec/conf/ispass/NatarajanD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GargSBJADKN20, author = {Robin Garg and Gaurav Sharma and Ali Binaie and Sanket Jain and Sohail Ahasan and Armagan Dascurcu and Harish Krishnaswamy and Arun Natarajan}, title = {4.3 {A} 28GHz 4-Element {MIMO} Beam-Space Array in 65nm {CMOS} with Simultaneous Spatial Filtering and Single-Wire Frequency-Domain Multiplexing}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {80--82}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063120}, doi = {10.1109/ISSCC19947.2020.9063120}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GargSBJADKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/DasIN20, author = {Srijita Das and Rishabh K. Iyer and Sriraam Natarajan}, editor = {Manas Gaur and Alejandro Jaimes and Fatma Ozcan and Srinivasan Parthasarathy and Sameena Shah and Amit P. Sheth and Biplav Srivastava}, title = {Cost Aware Feature Elicitation}, booktitle = {Proceedings of the {ACM} {SIGKDD} Workshop on Knowledge-infused Mining and Learning for Social Impact co-located with 26th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining (Virtual) {(SIGKDD} 2020), San Diego, California, USA, August 24, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2657}, pages = {23--29}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2657/short3.pdf}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/DasIN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JiangNSAKSL0DGG20, author = {Yiding Jiang and Parth Natekar and Manik Sharma and Sumukh K. Aithal and Dhruva Kashyap and Natarajan Subramanyam and Carlos Lassance and Daniel M. Roy and Gintare Karolina Dziugaite and Suriya Gunasekar and Isabelle Guyon and Pierre Foret and Scott Yak and Hossein Mobahi and Behnam Neyshabur and Samy Bengio}, editor = {Hugo Jair Escalante and Katja Hofmann}, title = {Methods and Analysis of The First Competition in Predicting Generalization of Deep Learning}, booktitle = {NeurIPS 2020 Competition and Demonstration Track, 6-12 December 2020, Virtual Event / Vancouver, BC, Canada}, series = {Proceedings of Machine Learning Research}, volume = {133}, pages = {170--190}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v133/jiang21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/JiangNSAKSL0DGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pgm/RamananDKN20, author = {Nandini Ramanan and Mayukh Das and Kristian Kersting and Sriraam Natarajan}, editor = {Manfred Jaeger and Thomas Dyhre Nielsen}, title = {Discriminative Non-Parametric Learning of Arithmetic Circuits}, booktitle = {International Conference on Probabilistic Graphical Models, {PGM} 2020, 23-25 September 2020, Aalborg, Hotel Comwell Rebild Bakker, Sk{\o}rping, Denmark}, series = {Proceedings of Machine Learning Research}, volume = {138}, pages = {353--364}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v138/ramanan20a.html}, timestamp = {Mon, 14 Jun 2021 10:33:30 +0200}, biburl = {https://dblp.org/rec/conf/pgm/RamananDKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/preregister/JaiswalS0NN20, author = {Ayush Jaiswal and Simranjit Singh and Yue Wu and Pradeep Natarajan and Premkumar Natarajan}, editor = {Luca Bertinetto and Jo{\~{a}}o F. Henriques and Samuel Albanie and Michela Paganini and G{\"{u}}l Varol}, title = {Keypoints-aware Object Detection}, booktitle = {NeurIPS 2020 Workshop on Pre-registration in Machine Learning, 11 December 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {148}, pages = {62--72}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v148/jaiswal21a.html}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/preregister/JaiswalS0NN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/RanganathanSMSB20, author = {Varun Ranganathan and Siddharth Suresh and Yash Mathur and Natarajan Subramanyam and Denilson Barbosa}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {GrCluster: a score function to model hierarchy in knowledge graph embeddings}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {964--971}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3373978}, doi = {10.1145/3341105.3373978}, timestamp = {Fri, 13 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/RanganathanSMSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/safecomp/JhaRS20, author = {Susmit Jha and John Rushby and Natarajan Shankar}, editor = {Ant{\'{o}}nio Casimiro and Frank Ortmeier and Friedemann Bitsch and Pedro Ferreira}, title = {Model-Centered Assurance for Autonomous Systems}, booktitle = {Computer Safety, Reliability, and Security - 39th International Conference, {SAFECOMP} 2020, Lisbon, Portugal, September 16-18, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12234}, pages = {228--243}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-54549-9\_15}, doi = {10.1007/978-3-030-54549-9\_15}, timestamp = {Thu, 20 Aug 2020 14:33:29 +0200}, biburl = {https://dblp.org/rec/conf/safecomp/JhaRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChoudharyKNAJSD20, author = {Gunjan Kumar Choudhary and Madhan Raj Kanagarathinam and Harikrishnan Natarajan and Karthikeyan Arunachalam and Sujith Regan Jayaseelan and Gaurav Sinha and Debabrata Das}, title = {Novel MultiPipe {QUIC} Protocols to Enhance the Wireless Network Performance}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2020, Seoul, Korea (South), May 25-28, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCNC45663.2020.9120821}, doi = {10.1109/WCNC45663.2020.9120821}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/ChoudharyKNAJSD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KanagarathinamN20, author = {Madhan Raj Kanagarathinam and Harikrishnan Natarajan and Karthikeyan Arunachalam and Irlanki Sandeep and Venkata Sunil Kumar}, title = {{SMS:} Smart Multipath Switch for improving the throughput of Multipath {TCP} for Smartphones}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2020, Seoul, Korea (South), May 25-28, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCNC45663.2020.9120463}, doi = {10.1109/WCNC45663.2020.9120463}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KanagarathinamN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/mimb/RajaNKSRTS20, author = {Kalpana Raja and Jeyakumar Natarajan and Finn Kuusisto and John W. Steill and Ian Ross and James A. Thomson and Ron M. Stewart}, editor = {Stefan Canzar and Francisca Rojas Ringeling}, title = {Automated Extraction and Visualization of Protein-Protein Interaction Networks and Beyond: {A} Text-Mining Protocol}, booktitle = {Protein-Protein Interaction Networks, Methods and Protocols}, series = {Methods in Molecular Biology}, volume = {2074}, pages = {13--34}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-1-4939-9873-9\_2}, doi = {10.1007/978-1-4939-9873-9\_2}, timestamp = {Tue, 07 May 2024 20:28:21 +0200}, biburl = {https://dblp.org/rec/series/mimb/RajaNKSRTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-02773, author = {Yuqiao Chen and Yibo Yang and Sriraam Natarajan and Nicholas Ruozzi}, title = {Lifted Hybrid Variational Inference}, journal = {CoRR}, volume = {abs/2001.02773}, year = {2020}, url = {http://arxiv.org/abs/2001.02773}, eprinttype = {arXiv}, eprint = {2001.02773}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-02773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-04432, author = {Michael A. Skinner and Lakshmi Raman and Neel Shah and Abdelaziz Farhat and Sriraam Natarajan}, title = {A Preliminary Approach for Learning Relational Policies for the Management of Critically Ill Children}, journal = {CoRR}, volume = {abs/2001.04432}, year = {2020}, url = {https://arxiv.org/abs/2001.04432}, eprinttype = {arXiv}, eprint = {2001.04432}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-04432.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-04818, author = {Rupesh Kumar Mahendran and Hirshikesh and Ratna Kumar Annabattula and Sundararajan Natarajan}, title = {Continuum modelling of stress diffusion interactions in an elastoplastic medium in the presence of geometric discontinuity}, journal = {CoRR}, volume = {abs/2001.04818}, year = {2020}, url = {https://arxiv.org/abs/2001.04818}, eprinttype = {arXiv}, eprint = {2001.04818}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-04818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-07342, author = {Rajath S and Sumukh K. Aithal and Natarajan Subramanyam}, title = {Transfer Learning using Neural Ordinary Differential Equations}, journal = {CoRR}, volume = {abs/2001.07342}, year = {2020}, url = {https://arxiv.org/abs/2001.07342}, eprinttype = {arXiv}, eprint = {2001.07342}, timestamp = {Tue, 09 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-07342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-09884, author = {Tittu Varghese Mathew and P. Prajith and Rafael O. Ruiz and Elena Atroshchenko and S. Natarajan}, title = {Adaptive Importance Sampling based Neural Network framework for Reliability and Sensitivity Prediction for Variable Stiffness Composite Laminates with hybrid uncertainties}, journal = {CoRR}, volume = {abs/2001.09884}, year = {2020}, url = {https://arxiv.org/abs/2001.09884}, eprinttype = {arXiv}, eprint = {2001.09884}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-09884.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-07682, author = {Ashish Chiplunkar and Sagar Sudhir Kale and Sivaramakrishnan Natarajan Ramamoorthy}, title = {How to Solve Fair k-Center in Massive Data Models}, journal = {CoRR}, volume = {abs/2002.07682}, year = {2020}, url = {https://arxiv.org/abs/2002.07682}, eprinttype = {arXiv}, eprint = {2002.07682}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-07682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-04832, author = {Reza Barazideh and Omid Semiari and Solmaz Niknam and Balasubramaniam Natarajan}, title = {Reinforcement Learning for Mitigating Intermittent Interference in Terahertz Communication Networks}, journal = {CoRR}, volume = {abs/2003.04832}, year = {2020}, url = {https://arxiv.org/abs/2003.04832}, eprinttype = {arXiv}, eprint = {2003.04832}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-04832.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-03364, author = {Sandor Konya and Sai Natarajan T. R and Hassan Allouch and Kais Abu Nahleh and Omneya Yakout Dogheim and Heinrich Boehm}, title = {Convolutional Neural Networks based automated segmentation and labelling of the lumbar spine X-ray}, journal = {CoRR}, volume = {abs/2004.03364}, year = {2020}, url = {https://arxiv.org/abs/2004.03364}, eprinttype = {arXiv}, eprint = {2004.03364}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-03364.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05595, author = {Srijita Das and Sriraam Natarajan and Kaushik Roy and Ronald Parr and Kristian Kersting}, title = {Fitted Q-Learning for Relational Domains}, journal = {CoRR}, volume = {abs/2006.05595}, year = {2020}, url = {https://arxiv.org/abs/2006.05595}, eprinttype = {arXiv}, eprint = {2006.05595}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05595.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14733, author = {Debajyoti Mondal and N. Parthiban and V. Kavitha and Indra Rajasingh}, title = {APX-Hardness and Approximation for the k-Burning Number Problem}, journal = {CoRR}, volume = {abs/2006.14733}, year = {2020}, url = {https://arxiv.org/abs/2006.14733}, eprinttype = {arXiv}, eprint = {2006.14733}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14733.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-01921, author = {Ruisen Liu and Manisha Natarajan and Matthew Craig Gombolay}, title = {Human-Robot Team Coordination with Dynamic and Latent Human Task Proficiencies: Scheduling with Learning Curves}, journal = {CoRR}, volume = {abs/2007.01921}, year = {2020}, url = {https://arxiv.org/abs/2007.01921}, eprinttype = {arXiv}, eprint = {2007.01921}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-01921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-05566, author = {Jim Winkens and Rudy Bunel and Abhijit Guha Roy and Robert Stanforth and Vivek Natarajan and Joseph R. Ledsam and Patricia MacWilliams and Pushmeet Kohli and Alan Karthikesalingam and Simon Kohl and A. Taylan Cemgil and S. M. Ali Eslami and Olaf Ronneberger}, title = {Contrastive Training for Improved Out-of-Distribution Detection}, journal = {CoRR}, volume = {abs/2007.05566}, year = {2020}, url = {https://arxiv.org/abs/2007.05566}, eprinttype = {arXiv}, eprint = {2007.05566}, timestamp = {Wed, 09 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-05566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06835, author = {Nagarajan Natarajan and Ajaykrishna Karthikeyan and Prateek Jain and Ivan Radicek and Sriram K. Rajamani and Sumit Gulwani and Johannes Gehrke}, title = {Programming by Rewards}, journal = {CoRR}, volume = {abs/2007.06835}, year = {2020}, url = {https://arxiv.org/abs/2007.06835}, eprinttype = {arXiv}, eprint = {2007.06835}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06835.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00505, author = {Sudin Kadam and Ravi N. Banavar and Vivek Natarajan}, title = {Modelling, Controllability and Gait Design for a Spherical Flexible Swimmer}, journal = {CoRR}, volume = {abs/2008.00505}, year = {2020}, url = {https://arxiv.org/abs/2008.00505}, eprinttype = {arXiv}, eprint = {2008.00505}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00505.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-00858, author = {Natarajan Deepa and Quoc{-}Viet Pham and Dinh C. Nguyen and Sweta Bhattacharya and Prabadevi B and Thippa Reddy Gadekallu and Praveen Kumar Reddy Maddikunta and Fang Fang and Pubudu N. Pathirana}, title = {A Survey on Blockchain for Big Data: Approaches, Opportunities, and Future Directions}, journal = {CoRR}, volume = {abs/2009.00858}, year = {2020}, url = {https://arxiv.org/abs/2009.00858}, eprinttype = {arXiv}, eprint = {2009.00858}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-00858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-05783, author = {Natarajan Deepa and Mohammad Zubair Khan and Prabadevi B and P. M. Durai Raj Vincent and Praveen Kumar Reddy Maddikunta and Thippa Reddy Gadekallu}, title = {Multiclass Model for Agriculture development using Multivariate Statistical method}, journal = {CoRR}, volume = {abs/2009.05783}, year = {2020}, url = {https://arxiv.org/abs/2009.05783}, eprinttype = {arXiv}, eprint = {2009.05783}, timestamp = {Tue, 28 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-05783.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-03395, author = {Alexander D'Amour and Katherine A. Heller and Dan Moldovan and Ben Adlam and Babak Alipanahi and Alex Beutel and Christina Chen and Jonathan Deaton and Jacob Eisenstein and Matthew D. Hoffman and Farhad Hormozdiari and Neil Houlsby and Shaobo Hou and Ghassen Jerfel and Alan Karthikesalingam and Mario Lucic and Yi{-}An Ma and Cory Y. McLean and Diana Mincu and Akinori Mitani and Andrea Montanari and Zachary Nado and Vivek Natarajan and Christopher Nielson and Thomas F. Osborne and Rajiv Raman and Kim Ramasamy and Rory Sayres and Jessica Schrouff and Martin Seneviratne and Shannon Sequeira and Harini Suresh and Victor Veitch and Max Vladymyrov and Xuezhi Wang and Kellie Webster and Steve Yadlowsky and Taedong Yun and Xiaohua Zhai and D. Sculley}, title = {Underspecification Presents Challenges for Credibility in Modern Machine Learning}, journal = {CoRR}, volume = {abs/2011.03395}, year = {2020}, url = {https://arxiv.org/abs/2011.03395}, eprinttype = {arXiv}, eprint = {2011.03395}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-03395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-09480, author = {Zixin Huang and Siddarth Koduru Joshi and Djeylan Aktas and Cosmo Lupo and Armanda Ottaviano Quintavalle and Natarajan Venkatachalam and S{\"{o}}ren Wengerowsky and Martin Loncaric and Sebastian Philipp Neumann and Bo Liu and Zeljko Samec and Laurent Kling and Mario Stipcevic and Rupert Ursin and John G. Rarity}, title = {Experimental implementation of secure anonymous protocols on an eight-user quantum network}, journal = {CoRR}, volume = {abs/2011.09480}, year = {2020}, url = {https://arxiv.org/abs/2011.09480}, eprinttype = {arXiv}, eprint = {2011.09480}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-09480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-09754, author = {Soumyadeep Roy and Shamik Sural and Niyati Chhaya and Anandhavelu Natarajan and Niloy Ganguly}, title = {An Integrated Approach for Improving Brand Consistency of Web Content: Modeling, Analysis and Recommendation}, journal = {CoRR}, volume = {abs/2011.09754}, year = {2020}, url = {https://arxiv.org/abs/2011.09754}, eprinttype = {arXiv}, eprint = {2011.09754}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-09754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14204, author = {Ayush Jaiswal and Yue Wu and Pradeep Natarajan and Premkumar Natarajan}, title = {Class-agnostic Object Detection}, journal = {CoRR}, volume = {abs/2011.14204}, year = {2020}, url = {https://arxiv.org/abs/2011.14204}, eprinttype = {arXiv}, eprint = {2011.14204}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-00001, author = {Lingjing Jiang and Niina Haiminen and Anna Paola Carrieri and Shi Huang and Yoshiki Vazquez{-}Baeza and Laxmi Parida and Ho{-}Cheol Kim and Austin D. Swafford and Rob Knight and Loki Natarajan}, title = {Utilizing stability criteria in choosing feature selection methods yields reproducible results in microbiome data}, journal = {CoRR}, volume = {abs/2012.00001}, year = {2020}, url = {https://arxiv.org/abs/2012.00001}, eprinttype = {arXiv}, eprint = {2012.00001}, timestamp = {Sun, 28 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-00001.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-00958, author = {Qing Ping and Feiyang Niu and Govind Thattai and Joel Chengottusseriyil and Qiaozi Gao and Aishwarya N. Reganti and Prashanth Rajagopal and G{\"{o}}khan T{\"{u}}r and Dilek Hakkani{-}T{\"{u}}r and Prem Natarajan}, title = {Interactive Teaching for Conversational {AI}}, journal = {CoRR}, volume = {abs/2012.00958}, year = {2020}, url = {https://arxiv.org/abs/2012.00958}, eprinttype = {arXiv}, eprint = {2012.00958}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-00958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-09220, author = {Ashutosh Kakadiya and Sriraam Natarajan and Balaraman Ravindran}, title = {Relational Boosted Bandits}, journal = {CoRR}, volume = {abs/2012.09220}, year = {2020}, url = {https://arxiv.org/abs/2012.09220}, eprinttype = {arXiv}, eprint = {2012.09220}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-09220.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/OberstallerADGKLLPSSWVDWA20, author = {Jenna Oberstaller and Swamy Rakesh Adapa and Guy W. Dayhoff II and Justin Gibbons and Thomas E. Keller and Chang Li and Shen Jean Lim and Minh Pham and Anujit Sarkar and Ravi Sharma and Agaz H. Wani and Andrea Vianello and Linh M. Duong and Chenggi Wang and Celine Grace F. Atkinson and Madeleine Barrow and Nathan W. Van Bibber and Jan Dahrendorff and David A. E. Dean and Omkar Dokur and Gloria C. Ferreira and Mitchell Hastings and Gregory S. Herbert and Khandaker Tasnim Huq and Youngchul Kim and Xiangyun Liao and XiaoMing Liu and Fahad Mansuri and Lynn B. Martin and Elizabeth M. Miller and Ojas Natarajan and Jinyong Pang and Francesca Prieto and Peter W. Radulovic and Vyoma Sheth and Matthew Sumpter and Desirae Sutherland and Nisha Vijayakumar and Rays H. Y. Jiang}, title = {Uncovering host-microbiome interactions in global systems with collaborative programming: a novel approach integrating social and data sciences}, journal = {F1000Research}, volume = {9}, pages = {1478}, year = {2020}, url = {https://doi.org/10.12688/f1000research.26459.1}, doi = {10.12688/F1000RESEARCH.26459.1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/OberstallerADGKLLPSSWVDWA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BarazidehNNN19, author = {Reza Barazideh and Solmaz Niknam and Balasubramaniam Natarajan and Alexei V. Nikitin}, title = {Intermittently Nonlinear Impulsive Noise Mitigation and Doppler Shift Compensation in {UWA-OFDM} Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {36590--36599}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2904010}, doi = {10.1109/ACCESS.2019.2904010}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BarazidehNNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/RajmohanN19, author = {Shathanaa Rajmohan and Ramasubramanian Natarajan}, title = {Group influence based improved firefly algorithm for Design Space Exploration of Datapath resource allocation}, journal = {Appl. Intell.}, volume = {49}, number = {6}, pages = {2084--2100}, year = {2019}, url = {https://doi.org/10.1007/s10489-018-1371-3}, doi = {10.1007/S10489-018-1371-3}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/RajmohanN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MaKAWRNSPRHHSGG19, author = {Jing Ma and Alla Karnovsky and Farsad Afshinnia and Janis E. Wigginton and Daniel J. Rader and Loki Natarajan and Kumar Sharma and Anna C. Porter and Mahboob Rahman and Jiang He and Lee Hamm and Tariq Shafi and Debbie S. Gipson and Crystal Gadegbeku and Harold Feldman and George Michailidis}, title = {Differential network enrichment analysis reveals novel lipid pathways in chronic kidney disease}, journal = {Bioinform.}, volume = {35}, number = {18}, pages = {3441--3452}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/btz114}, doi = {10.1093/BIOINFORMATICS/BTZ114}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MaKAWRNSPRHHSGG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/RaghuSTRHK19, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Yasin Temel and Shyam Vasudeva Rao and Alangar Satyaranjandas Hegde and Pieter Leonard Kubben}, title = {Performance evaluation of {DWT} based sigmoid entropy in time and frequency domains for automated detection of epileptic seizures using {SVM} classifier}, journal = {Comput. Biol. Medicine}, volume = {110}, pages = {127--143}, year = {2019}, url = {https://doi.org/10.1016/j.compbiomed.2019.05.016}, doi = {10.1016/J.COMPBIOMED.2019.05.016}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/RaghuSTRHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KumarBS19, author = {M. V. Ranjith Kumar and N. Bhalaji and Swathi Singh}, title = {An augmented approach for pseudo-free groups in smart cyber-physical system}, journal = {Clust. Comput.}, volume = {22}, number = {Suppl 1}, pages = {673--692}, year = {2019}, url = {https://doi.org/10.1007/s10586-018-2353-2}, doi = {10.1007/S10586-018-2353-2}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KumarBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/YuvarajS19, author = {Natarajan Yuvaraj and K. R. SriPreethaa}, title = {Diabetes prediction in healthcare systems using machine learning algorithms on Hadoop cluster}, journal = {Clust. Comput.}, volume = {22}, number = {Suppl 1}, pages = {1--9}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1532-x}, doi = {10.1007/S10586-017-1532-X}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/YuvarajS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/RM19, author = {Gayathiri N. R. and Natarajan A. M.}, title = {MapReduce-based storage and indexing for big health data}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {14}, year = {2019}, url = {https://doi.org/10.1002/cpe.4854}, doi = {10.1002/CPE.4854}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/RM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eecs/JagadeeshDBR19, author = {Kokila Jagadeesh and Arjun Murali Das and B. Shameedha Begum and Natarajan Ramasubramanian}, title = {Hardware Signature Generation Using a Hybrid {PUF} and {FSM} Model for an SoC Architecture}, journal = {Period. Polytech. Electr. Eng. Comput. Sci.}, volume = {63}, number = {4}, pages = {244--253}, year = {2019}, url = {https://doi.org/10.3311/ppee.13424}, doi = {10.3311/PPEE.13424}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eecs/JagadeeshDBR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/JagadeeshN19, author = {Kokila Jagadeesh and Ramasubramanian Natarajan}, title = {Lightweight signature scheme to protect intellectual properties of Internet of things applications in system on chip field-programmable gate arrays}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {27}, number = {5}, pages = {3500--3515}, year = {2019}, url = {https://doi.org/10.3906/elk-1811-97}, doi = {10.3906/ELK-1811-97}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/elektrik/JagadeeshN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/BankerNZ19, author = {Rajiv Banker and Ram Natarajan and Daqun Zhang}, title = {Two-stage estimation of the impact of contextual variables in stochastic frontier production function models using Data Envelopment Analysis: Second stage {OLS} versus bootstrap approaches}, journal = {Eur. J. Oper. Res.}, volume = {278}, number = {2}, pages = {368--384}, year = {2019}, url = {https://doi.org/10.1016/j.ejor.2018.10.050}, doi = {10.1016/J.EJOR.2018.10.050}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/BankerNZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/RaghuSHK19, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Alangar Sathyaranjan Hegde and Pieter Leonard Kubben}, title = {A novel approach for classification of epileptic seizures using matrix determinant}, journal = {Expert Syst. Appl.}, volume = {127}, pages = {323--341}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.03.021}, doi = {10.1016/J.ESWA.2019.03.021}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/RaghuSHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/KokilaR19, author = {Kokila Jagadeesh and N. Ramasubramanian}, title = {Enhanced Authentication Using Hybrid {PUF} with {FSM} for Protecting IPs of SoC FPGAs}, journal = {J. Electron. Test.}, volume = {35}, number = {4}, pages = {543--558}, year = {2019}, url = {https://doi.org/10.1007/s10836-019-05808-w}, doi = {10.1007/S10836-019-05808-W}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/KokilaR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/NSRPVR19, author = {Muthukumar Natarajan and Seshadhri Srinivasan and Kannan Ramkumar and Deepak Pal and Juri Vain and Srini Ramaswamy}, title = {A model-based approach for design and verification of Industrial Internet of Things}, journal = {Future Gener. Comput. Syst.}, volume = {95}, pages = {354--363}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.12.012}, doi = {10.1016/J.FUTURE.2018.12.012}, timestamp = {Tue, 09 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/NSRPVR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/RiguzziKLN19, author = {Fabrizio Riguzzi and Kristian Kersting and Marco Lippi and Sriraam Natarajan}, title = {Editorial: Statistical Relational Artificial Intelligence}, journal = {Frontiers Robotics {AI}}, volume = {6}, pages = {68}, year = {2019}, url = {https://doi.org/10.3389/frobt.2019.00068}, doi = {10.3389/FROBT.2019.00068}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/RiguzziKLN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-wss/ShankarJKPB19, author = {Achyut Shankar and Natarajan Jaisankar and Mohammed S. Khan and Rizwan Patan and Balamurugan Balusamy}, title = {Hybrid model for security-aware cluster head selection in wireless sensor networks}, journal = {{IET} Wirel. Sens. Syst.}, volume = {9}, number = {2}, pages = {68--76}, year = {2019}, url = {https://doi.org/10.1049/iet-wss.2018.5008}, doi = {10.1049/IET-WSS.2018.5008}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-wss/ShankarJKPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbis/Balasubramanian19, author = {Senthil Arasu Balasubramanian and G. S. Radhakrishna and P. Sridevi and Thamaraiselvan Natarajan}, title = {Business health characterisation of listed Indian companies using data mining techniques}, journal = {Int. J. Bus. Inf. Syst.}, volume = {32}, number = {3}, pages = {324--363}, year = {2019}, url = {https://doi.org/10.1504/IJBIS.2019.103079}, doi = {10.1504/IJBIS.2019.103079}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbis/Balasubramanian19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijehmc/SelvanambiN19, author = {Ramani Selvanambi and Jaisankar Natarajan}, title = {Healthcare: Prediction of Breast Cancer Stage Using Social Spider-Inspired Optimization Algorithm}, journal = {Int. J. {E} Health Medical Commun.}, volume = {10}, number = {2}, pages = {63--85}, year = {2019}, url = {https://doi.org/10.4018/IJEHMC.2019040104}, doi = {10.4018/IJEHMC.2019040104}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijehmc/SelvanambiN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/BegumR19, author = {B. Shameedha Begum and N. Ramasubramanian}, title = {Design of an Intelligent Data Cache with Replacement Policy}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {10}, number = {2}, pages = {87--107}, year = {2019}, url = {https://doi.org/10.4018/IJERTCS.2019040106}, doi = {10.4018/IJERTCS.2019040106}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/BegumR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitm/ThomasGN19, author = {Roney Thomas and Priya Govindaraj and Jaisankar Natarajan}, title = {A fuzzy inference-based trust model estimation system for service selection in cloud computing}, journal = {Int. J. Inf. Technol. Manag.}, volume = {18}, number = {2/3}, pages = {143--155}, year = {2019}, url = {https://doi.org/10.1504/IJITM.2019.099822}, doi = {10.1504/IJITM.2019.099822}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitm/ThomasGN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/GullapalliNAMGR19, author = {Bhanuteja Gullapalli and Annamalai Natarajan and Gustavo A. Angarita and Robert Malison and Deepak Ganesan and Tauhidur Rahman}, title = {On-body Sensing of Cocaine Craving, Euphoria and Drug-Seeking Behavior Using Cardiac and Respiratory Signals}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {3}, number = {2}, pages = {46:1--46:31}, year = {2019}, url = {https://doi.org/10.1145/3328917}, doi = {10.1145/3328917}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imwut/GullapalliNAMGR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/HripcsakSPRLBCC19, author = {George Hripcsak and Ning Shang and Peggy L. Peissig and Luke V. Rasmussen and Cong Liu and Barbara Benoit and Robert J. Carroll and David S. Carrell and Joshua C. Denny and Ozan Dikilitas and Vivian S. Gainer and Kayla Marie Howell and Jeffrey G. Klann and Iftikhar J. Kullo and Todd Lingren and Frank D. Mentch and Shawn N. Murphy and Karthik Natarajan and Chunhua Weng}, title = {Facilitating phenotype transfer using a common data model}, journal = {J. Biomed. Informatics}, volume = {96}, year = {2019}, url = {https://doi.org/10.1016/j.jbi.2019.103253}, doi = {10.1016/J.JBI.2019.103253}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/HripcsakSPRLBCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/MaroliKBJMKNK19, author = {Nikhil Maroli and Naveen Kumar Kalagatur and Balu Bhasuran and Achuth Jayakrishnan and Renuka Ramalingam Manoharan and Ponmalai Kolandaivel and Jeyakumar Natarajan and Krishna Kadirvelu}, title = {Molecular Mechanism of {T-2} Toxin-Induced Cerebral Edema by Aquaporin-4 Blocking and Permeation}, journal = {J. Chem. Inf. Model.}, volume = {59}, number = {11}, pages = {4942--4958}, year = {2019}, url = {https://doi.org/10.1021/acs.jcim.9b00711}, doi = {10.1021/ACS.JCIM.9B00711}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/MaroliKBJMKNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/RajamanySRN19, author = {Gayatridevi Rajamany and Sekar Srinivasan and Krishnan Rajamany and Ramesh K. Natarajan}, title = {Induction Motor Stator Interturn Short Circuit Fault Detection in Accordance with Line Current Sequence Components Using Artificial Neural Network}, journal = {J. Electr. Comput. Eng.}, volume = {2019}, pages = {4825787:1--4825787:11}, year = {2019}, url = {https://doi.org/10.1155/2019/4825787}, doi = {10.1155/2019/4825787}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/RajamanySRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/NatarajanVR19, author = {Sivaramakrishnan Natarajan and Subramaniyaswamy Vairavasundaram and Logesh Ravi}, title = {Optimized fuzzy-based group recommendation with parallel computation}, journal = {J. Intell. Fuzzy Syst.}, volume = {36}, number = {5}, pages = {4189--4199}, year = {2019}, url = {https://doi.org/10.3233/JIFS-169977}, doi = {10.3233/JIFS-169977}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/NatarajanVR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/KarnanSM19, author = {Hemalatha Karnan and Natarajan Sivakumaran and Rajajeyakumar Manivel}, title = {An Efficient Cardiac Arrhythmia Onset Detection Technique Using a Novel Feature Rank Score Algorithm}, journal = {J. Medical Syst.}, volume = {43}, number = {6}, pages = {167:1--167:8}, year = {2019}, url = {https://doi.org/10.1007/s10916-019-1312-7}, doi = {10.1007/S10916-019-1312-7}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/KarnanSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DastjerdiJRNK19, author = {Mahmood Baraani Dastjerdi and Sanket Jain and Negar Reiskarimian and Arun Natarajan and Harish Krishnaswamy}, title = {Analysis and Design of a Full-Duplex Two-Element {MIMO} Circulator-Receiver With High {TX} Power Handling Exploiting {MIMO} {RF} and Shared-Delay Baseband Self-Interference Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {12}, pages = {3525--3540}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2945303}, doi = {10.1109/JSSC.2019.2945303}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DastjerdiJRNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/DasOIDRN19, author = {Mayukh Das and Phillip Odom and Md. Rakibul Islam and Janardhan Rao Doppa and Dan Roth and Sriraam Natarajan}, title = {Planning with actively eliciting preferences}, journal = {Knowl. Based Syst.}, volume = {165}, pages = {219--227}, year = {2019}, url = {https://doi.org/10.1016/j.knosys.2018.11.028}, doi = {10.1016/J.KNOSYS.2018.11.028}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/DasOIDRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/Thirumaraiselvan19, author = {P. Thirumaraiselvan and K. Sakthidasan Sankaran and V. Beslin Geo and V. R. Prakash and Natarajan Vasudevan}, title = {A Statistical Lower {UWB} Channel Model for in Body Communications}, journal = {Mob. Networks Appl.}, volume = {24}, number = {6}, pages = {1814--1820}, year = {2019}, url = {https://doi.org/10.1007/s11036-019-01384-7}, doi = {10.1007/S11036-019-01384-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/Thirumaraiselvan19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/CVNBRK19, author = {Vinoth Kumar C. and Natarajan V. and K. Nirmala and T. Balasubramanian and K. Ramnarayan Rao and S. Krishnan}, title = {Encrypted separable reversible watermarking with authentication and error correction}, journal = {Multim. Tools Appl.}, volume = {78}, number = {6}, pages = {7005--7027}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6450-2}, doi = {10.1007/S11042-018-6450-2}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/CVNBRK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RiazAPCMN19, author = {Sidra Riaz and Zahid Ali and Unsang Park and Jongmoo Choi and Iacopo Masi and Prem Natarajan}, title = {Age-invariant face recognition using gender specific 3D aging modeling}, journal = {Multim. Tools Appl.}, volume = {78}, number = {17}, pages = {25163--25183}, year = {2019}, url = {https://doi.org/10.1007/s11042-019-7694-1}, doi = {10.1007/S11042-019-7694-1}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RiazAPCMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/RiazPCN19, author = {Sidra Riaz and Unsang Park and Jongmoo Choi and Prem Natarajan}, title = {Age progression by gender-specific 3D aging model}, journal = {Mach. Vis. Appl.}, volume = {30}, number = {1}, pages = {91--109}, year = {2019}, url = {https://doi.org/10.1007/s00138-018-0975-2}, doi = {10.1007/S00138-018-0975-2}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/RiazPCN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SoundiranRN19, author = {Revathi Soundiran and Thota K. Radhakrishnan and Sivakumaran Natarajan}, title = {Modeling of greenhouse agro-ecosystem using optimally designed bootstrapping artificial neural network}, journal = {Neural Comput. Appl.}, volume = {31}, number = {11}, pages = {7821--7836}, year = {2019}, url = {https://doi.org/10.1007/s00521-018-3598-7}, doi = {10.1007/S00521-018-3598-7}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/SoundiranRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/MasiCCHKKLRWHAM19, author = {Iacopo Masi and Feng{-}Ju Chang and Jongmoo Choi and Shai Harel and Jungyeon Kim and KangGeon Kim and Jatuporn Toy Leksut and Stephen Rawls and Yue Wu and Tal Hassner and Wael AbdAlmageed and G{\'{e}}rard G. Medioni and Louis{-}Philippe Morency and Prem Natarajan and Ram Nevatia}, title = {Learning Pose-Aware Models for Pose-Invariant Face Recognition in the Wild}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {41}, number = {2}, pages = {379--393}, year = {2019}, url = {https://doi.org/10.1109/TPAMI.2018.2792452}, doi = {10.1109/TPAMI.2018.2792452}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/MasiCCHKKLRWHAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VincentDESCI19, author = {P. M. Durai Raj Vincent and Natarajan Deepa and Dhivya Elavarasan and Kathiravan Srinivasan and Sajjad Hussain Chauhdary and Celestine Iwendi}, title = {Sensors Driven AI-Based Agriculture Recommendation Model for Assessing Land Suitability}, journal = {Sensors}, volume = {19}, number = {17}, pages = {3667}, year = {2019}, url = {https://doi.org/10.3390/s19173667}, doi = {10.3390/S19173667}, timestamp = {Tue, 28 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/VincentDESCI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/PriesterWKSZASR19, author = {Alan Priester and Holden H. Wu and Pooria Khoshnoodi and Douglas Schneider and Zhaohuan Zhang and Nazanin H. Asvadi and Anthony Sisk and Steven S. Raman and Robert E. Reiter and Warren S. Grundfest and Leonard S. Marks and Shyam Natarajan}, title = {Registration Accuracy of Patient-Specific, Three-Dimensional-Printed Prostate Molds for Correlating Pathology With Magnetic Resonance Imaging}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {1}, pages = {14--22}, year = {2019}, url = {https://doi.org/10.1109/TBME.2018.2828304}, doi = {10.1109/TBME.2018.2828304}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/PriesterWKSZASR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/RamachandranNA19, author = {Ashwin Ramachandran and Arun Natarajan and Tejasvi Anand}, title = {Line Coding Techniques for Channel Equalization: Integrated Pulse-Width Modulation and Consecutive Digit Chopping}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {3}, pages = {1192--1204}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2018.2879020}, doi = {10.1109/TCSI.2018.2879020}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/RamachandranNA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SaravananS19, author = {N. Saravanan and R. Sivaramakrishnan}, title = {Command and control of industrial manipulator through speech-based interfaces in Indic Languages}, journal = {J. Supercomput.}, volume = {75}, number = {8}, pages = {5106--5117}, year = {2019}, url = {https://doi.org/10.1007/s11227-019-02790-0}, doi = {10.1007/S11227-019-02790-0}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/SaravananS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KokilaRN19, author = {Kokila Jagadeesh and N. Ramasubramanian and Nagi Naganathan}, title = {Resource Efficient Metering Scheme for Protecting SoC {FPGA} Device and IPs in IoT Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {10}, pages = {2284--2295}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2926788}, doi = {10.1109/TVLSI.2019.2926788}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KokilaRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/BarazidehNNN19, author = {Reza Barazideh and Balasubramaniam Natarajan and Alexei V. Nikitin and Solmaz Niknam}, title = {Performance Analysis of Analog Intermittently Nonlinear Filter in the Presence of Impulsive Noise}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {4}, pages = {3565--3573}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2896924}, doi = {10.1109/TVT.2019.2896924}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/BarazidehNNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SambandhamSMATN19, author = {Padmathilagam Sambandham and Sangeetha Subbaraj and Kanagasabai Malathi and Mohammed Gulam Nabi Alsath and Deepa Thangarasu and Rajesh Natarajan and Sandeep Kumar Palaniswamy and Tippuraju Rama Rao}, title = {Integration of Slot Array with {MIMO} Antenna for 4G and 5G Applications}, journal = {Wirel. Pers. Commun.}, volume = {109}, number = {4}, pages = {2719--2731}, year = {2019}, url = {https://doi.org/10.1007/s11277-019-06705-3}, doi = {10.1007/S11277-019-06705-3}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/SambandhamSMATN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0001WNK0R19, author = {Vivek Gupta and Rahul Wadbude and Nagarajan Natarajan and Harish Karnick and Prateek Jain and Piyush Rai}, title = {Distributional Semantics Meets Multi-Label Learning}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {3747--3754}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33013747}, doi = {10.1609/AAAI.V33I01.33013747}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0001WNK0R19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiss/PhalRHNGN19, author = {Shubham Milind Phal and Yatish H. R and Tanmay Sanjay Hukkeri and Abhiram Natarajan and Prathika Gonchigar and Deepamala N}, editor = {Alexander Balinsky and Ah{-}Hwee Tan and Wernhuar Tarng}, title = {{ISQNL:} interpretable {SQL} query synthesizer from natural language input}, booktitle = {{AISS} 2019: 2019 International Conference on Advanced Information Science and System, Singapore, November 15-17, 2019}, pages = {1:1--1:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3373477.3373478}, doi = {10.1145/3373477.3373478}, timestamp = {Wed, 04 May 2022 13:03:26 +0200}, biburl = {https://dblp.org/rec/conf/aiss/PhalRHNGN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/NatarajanCCGV19, author = {Karthik Natarajan and Robert J. Carroll and Thomas R. Campion Jr. and Joan Grand and Shyam Visweswaran}, title = {Curating {EHR} data in the All of Us Research Program}, booktitle = {{AMIA} 2019, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2019}, publisher = {{AMIA}}, year = {2019}, url = {https://knowledge.amia.org/69862-amia-1.4570936/t002-1.4575206/t002-1.4575207/3200154-1.4575250/3203481-1.4575247}, timestamp = {Wed, 17 Apr 2024 11:47:08 +0200}, biburl = {https://dblp.org/rec/conf/amia/NatarajanCCGV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/NatarajanDKSB19, author = {Harikrishnan Natarajan and SuneelKumar Diggi and Madhan Raj Kanagarathinam and Sandesh Kumar Srivastava and Chhaya Bharti}, title = {D-VoWiFi - {A} Guaranteed Bit Rate Scheduling for VoWiFi in non Dedicated Channel}, booktitle = {16th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2019, Las Vegas, NV, USA, January 11-14, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCNC.2019.8651789}, doi = {10.1109/CCNC.2019.8651789}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/NatarajanDKSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/BarazidehNN19, author = {Reza Barazideh and Solmaz Niknam and Balasubramaniam Natarajan}, title = {Impulsive Noise Detection in OFDM-based Systems: {A} Deep Learning Perspective}, booktitle = {{IEEE} 9th Annual Computing and Communication Workshop and Conference, {CCWC} 2019, Las Vegas, NV, USA, January 7-9, 2019}, pages = {937--942}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCWC.2019.8666489}, doi = {10.1109/CCWC.2019.8666489}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/BarazidehNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/BarazidehSNNW19, author = {Reza Barazideh and Wensheng Sun and Balasubramaniam Natarajan and Alexei V. Nikitin and Zhaohui Wang}, title = {Impulsive Noise Mitigation in Underwater Acoustic Communication Systems: Experimental Studies}, booktitle = {{IEEE} 9th Annual Computing and Communication Workshop and Conference, {CCWC} 2019, Las Vegas, NV, USA, January 7-9, 2019}, pages = {880--885}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCWC.2019.8666616}, doi = {10.1109/CCWC.2019.8666616}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/BarazidehSNNW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/ChangRBVRNP19, author = {Yale Chang and Jonathan Rubin and Gregory Boverman and Shruti Vij and Asif Rahman and Annamalai Natarajan and Saman Parvaneh}, title = {A Multi-Task Imputation and Classification Neural Architecture for Early Prediction of Sepsis from Multivariate Clinical Time Series}, booktitle = {46th Computing in Cardiology, CinC 2019, Singapore, September 8-11, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.22489/CinC.2019.110}, doi = {10.22489/CINC.2019.110}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/ChangRBVRNP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0001AN19, author = {Yue Wu and Wael AbdAlmageed and Premkumar Natarajan}, title = {ManTra-Net: Manipulation Tracing Network for Detection and Localization of Image Forgeries With Anomalous Features}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {9543--9552}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Wu\_ManTra-Net\_Manipulation\_Tracing\_Network\_for\_Detection\_and\_Localization\_of\_Image\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00977}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0001AN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Cheng0AN19, author = {Jiaxin Cheng and Yue Wu and Wael AbdAlmageed and Premkumar Natarajan}, title = {{QATM:} Quality-Aware Template Matching for Deep Learning}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {11553--11562}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Cheng\_QATM\_Quality-Aware\_Template\_Matching\_for\_Deep\_Learning\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.01182}, timestamp = {Mon, 20 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/Cheng0AN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Jaiswal0AMN19, author = {Ayush Jaiswal and Yue Wu and Wael AbdAlmageed and Iacopo Masi and Premkumar Natarajan}, title = {{AIRD:} Adversarial Learning Framework for Image Repurposing Detection}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {11330--11339}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Jaiswal\_AIRD\_Adversarial\_Learning\_Framework\_for\_Image\_Repurposing\_Detection\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.01159}, timestamp = {Mon, 20 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/Jaiswal0AMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SinghNSJCBPR19, author = {Amanpreet Singh and Vivek Natarajan and Meet Shah and Yu Jiang and Xinlei Chen and Dhruv Batra and Devi Parikh and Marcus Rohrbach}, title = {Towards {VQA} Models That Can Read}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {8317--8326}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Singh\_Towards\_VQA\_Models\_That\_Can\_Read\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00851}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/SinghNSJCBPR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaRKSLN019, author = {Yuzhe Ma and Haoxing Ren and Brucek Khailany and Harbinder Sikka and Lijuan Luo and Karthikeyan Natarajan and Bei Yu}, title = {High Performance Graph Convolutional Networks with Applications in Testability Analysis}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {18}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317838}, doi = {10.1145/3316781.3317838}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MaRKSLN019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/RaghuSTRK19, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Yasin Temel and Shyam Vasudeva Rao and Pieter Leonard Kubben}, title = {A convolutional neural network based framework for classification of seizure types}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {2547--2550}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857359}, doi = {10.1109/EMBC.2019.8857359}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/RaghuSTRK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotcloud/KhaitzinSAJKNRS19, author = {Ety Khaitzin and Julian James Stephen and Maya Anderson and Hani Jamjoom and Ronen I. Kat and Arjun Natarajan and Roger Raphael and Roee Shlomo and Tomer Solomon}, editor = {Christina Delimitrou and Dan R. K. Ports}, title = {Deep Enforcement: Policy-based Data Transformations for Data in the Cloud}, booktitle = {11th {USENIX} Workshop on Hot Topics in Cloud Computing, HotCloud 2019, Renton, WA, USA, July 8, 2019}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/hotcloud19/presentation/khaitzin}, timestamp = {Tue, 09 Feb 2021 08:31:39 +0100}, biburl = {https://dblp.org/rec/conf/hotcloud/KhaitzinSAJKNRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/HrubesRRY19, author = {Pavel Hrubes and Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao and Amir Yehudayoff}, editor = {Christel Baier and Ioannis Chatzigiannakis and Paola Flocchini and Stefano Leonardi}, title = {Lower Bounds on Balancing Sets and Depth-2 Threshold Circuits}, booktitle = {46th International Colloquium on Automata, Languages, and Programming, {ICALP} 2019, July 9-12, 2019, Patras, Greece}, series = {LIPIcs}, volume = {132}, pages = {72:1--72:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {https://doi.org/10.4230/LIPIcs.ICALP.2019.72}, doi = {10.4230/LIPICS.ICALP.2019.72}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/icalp/HrubesRRY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Shi0CBN19, author = {Xiangyang Shi and Yue Wu and Huaigu Cao and Gully Burns and Prem Natarajan}, title = {Layout-aware Subfigure Decomposition for Complex Figures in the Biomedical Literature}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {1343--1347}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683824}, doi = {10.1109/ICASSP.2019.8683824}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Shi0CBN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbb/UmapathySNNR19, author = {Anjana Umapathy and Anusha Sreenivasan and Divya S. Nairy and S. Natarajan and B. Narasinga Rao}, title = {Image Processing, Textural Feature Extraction and Transfer Learning based detection of Diabetic Retinopathy}, booktitle = {Proceedings of the 9th International Conference on Bioscience, Biochemistry and Bioinformatics, {ICBBB} 2019, Singapore, January 7-9, 2019}, pages = {17--21}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3314367.3314376}, doi = {10.1145/3314367.3314376}, timestamp = {Fri, 26 Jan 2024 13:44:24 +0100}, biburl = {https://dblp.org/rec/conf/icbbb/UmapathySNNR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ArumugamDGNRKB19, author = {Chamundeswari Arumugam and Varsha Bhargavi Dwarakanathan and S. Gnanamary and Vishalraj Natarajan Neyveli and Rohit Kanakuppaliyalil Ramesh and Yeshwanthraa Kandhavel and Sadhanandhan Balakrishnan}, editor = {Sanjay Misra and Osvaldo Gervasi and Beniamino Murgante and Elena N. Stankova and Vladimir Korkhov and Carmelo Maria Torre and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan and Eufemia Tarantino}, title = {Prediction of {SQL} Injection Attacks in Web Applications}, booktitle = {Computational Science and Its Applications - {ICCSA} 2019 - 19th International Conference, Saint Petersburg, Russia, July 1-4, 2019, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {11622}, pages = {496--505}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24305-0\_37}, doi = {10.1007/978-3-030-24305-0\_37}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ArumugamDGNRKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/ChengG0N19, author = {Jiaxin Cheng and Achin Gupta and Yue Wu and Premkumar Natarajan}, title = {A Study of Script Language Effects in Deep Neural-Network-Based Scene Text Detection}, booktitle = {2019 International Conference on Document Analysis and Recognition, {ICDAR} 2019, Sydney, Australia, September 20-25, 2019}, pages = {1451--1457}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDAR.2019.00233}, doi = {10.1109/ICDAR.2019.00233}, timestamp = {Tue, 04 Feb 2020 12:42:03 +0100}, biburl = {https://dblp.org/rec/conf/icdar/ChengG0N19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icla/SinghN19, author = {Abhishek Kr Singh and Raja Natarajan}, editor = {Md. Aquil Khan and Amaldev Manuel}, title = {Towards a Constructive Formalization of Perfect Graph Theorems}, booktitle = {Logic and Its Applications - 8th Indian Conference, {ICLA} 2019, Delhi, India, March 1-5, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11600}, pages = {183--194}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-662-58771-3\_17}, doi = {10.1007/978-3-662-58771-3\_17}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icla/SinghN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/CecinatiALWGIN19, author = {Francesca Cecinati and Donato Amitrano and Lemia Benevides Leoncio and Elvis Walugendo and Raffaella Guida and Pasquale Iervolino and Sukumar Natarajan}, title = {Exploitation of {ESA} and {NASA} Heritage Remote Sensing Data for Monitoring the Heat Island Evolution in Chennai with the Google Earth Engine}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {6328--6331}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898040}, doi = {10.1109/IGARSS.2019.8898040}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/CecinatiALWGIN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenRN19, author = {Yuqiao Chen and Nicholas Ruozzi and Sriraam Natarajan}, editor = {Sarit Kraus}, title = {Lifted Message Passing for Hybrid Probabilistic Inference}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {5701--5707}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/790}, doi = {10.24963/IJCAI.2019/790}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChenRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DastjerdiJRNK19, author = {Mahmood Baraani Dastjerdi and Sanket Jain and Negar Reiskarimian and Arun Natarajan and Harish Krishnaswamy}, title = {Full-Duplex 2{\texttimes}2 {MIMO} Circulator-Receiver with High {TX} Power Handling Exploiting {MIMO} {RF} and Shared-Delay Baseband Self-Interference Cancellation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {448--450}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662515}, doi = {10.1109/ISSCC.2019.8662515}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DastjerdiJRNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/HuserLZJPBRLN19, author = {Vojtech Huser and Xiaochun Li and Zuoyi Zhang and Sungjae Jung and Rae Woong Park and Juan M. Banda and Hanieh Razzaghi and Ajit Londhe and Karthik Natarajan}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Extending Achilles Heel Data Quality Tool with New Rules Informed by Multi-Site Data Quality Comparison}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1488--1489}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190498}, doi = {10.3233/SHTI190498}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/HuserLZJPBRLN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SoundararajanGN19, author = {Niranjan Soundararajan and Saurabh Gupta and Ragavendra Natarajan and Jared Stark and Rahul Pal and Franck Sala and Lihu Rappoport and Adi Yoaz and Sreenivas Subramoney}, title = {Towards the adoption of Local Branch Predictors in Modern Out-of-Order Superscalar Processors}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {519--530}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358315}, doi = {10.1145/3352460.3358315}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SoundararajanGN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nldb/AshokEN19, author = {Aishwarya Ashok and Ramez Elmasri and Ganapathy S. Natarajan}, editor = {Elisabeth M{\'{e}}tais and Farid Meziane and Sunil Vadera and Vijayan Sugumaran and Mohamad Saraee}, title = {Comparing Different Word Embeddings for Multiword Expression Identification}, booktitle = {Natural Language Processing and Information Systems - 24th International Conference on Applications of Natural Language to Information Systems, {NLDB} 2019, Salford, UK, June 26-28, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11608}, pages = {295--302}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23281-8\_24}, doi = {10.1007/978-3-030-23281-8\_24}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nldb/AshokEN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/ChaudhuriNBC19, author = {Subhrojyoti Roy Chaudhuri and Swaminathan Natarajan and Amar Banerjee and Venkatesh Choppella}, editor = {Jeff Gray and Matti Rossi and Jonathan Sprinkle and Juha{-}Pekka Tolvanen}, title = {Methodology to develop domain specific modeling languages}, booktitle = {Proceedings of the 17th {ACM} {SIGPLAN} International Workshop on Domain-Specific Modeling, DSM@SPLASH 2019, Athens, Greece, October 20, 2019}, pages = {1--10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3358501.3361235}, doi = {10.1145/3358501.3361235}, timestamp = {Mon, 21 Jun 2021 12:26:28 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/ChaudhuriNBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/RanganathanS19, author = {Varun Ranganathan and Natarajan Subramanyam}, editor = {Peggy Cellier and Kurt Driessens}, title = {{SDE-KG:} {A} Stochastic Dynamic Environment for Knowledge Graphs}, booktitle = {Machine Learning and Knowledge Discovery in Databases - International Workshops of {ECML} {PKDD} 2019, W{\"{u}}rzburg, Germany, September 16-20, 2019, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1167}, pages = {483--488}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-43823-4\_39}, doi = {10.1007/978-3-030-43823-4\_39}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/RanganathanS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socs/NatarajanSALC19, author = {Ramkumar Natarajan and Muhammad Suhail Saleem and Sandip Aine and Maxim Likhachev and Howie Choset}, editor = {Pavel Surynek and William Yeoh}, title = {A-MHA*: Anytime Multi-Heuristic {A}}, booktitle = {Proceedings of the Twelfth International Symposium on Combinatorial Search, {SOCS} 2019, Napa, California, 16-17 July 2019}, pages = {192--193}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/socs.v10i1.18486}, doi = {10.1609/SOCS.V10I1.18486}, timestamp = {Mon, 18 Dec 2023 04:11:03 +0100}, biburl = {https://dblp.org/rec/conf/socs/NatarajanSALC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/t4e/GayathriFACVB19, author = {Manikutty Gayathri and Lisa M. Frey and Natarajan Amritha and Udayalakshmi Chilakapati and Vennila V and Rao R. Bhavani}, editor = {Maiga Chang and Ramkumar Rajendran and Kinshuk and Sahana Murthy and Venkatesh Kamat}, title = {I Can Also Make Robots! Inspiring Rural Indian Children to Learn Robotics}, booktitle = {Tenth {IEEE} International Conference on Technology for Education, {T4E} 2019, Goa, India, December 9-11, 2019}, pages = {78--85}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/T4E.2019.00022}, doi = {10.1109/T4E.2019.00022}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/t4e/GayathriFACVB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/PalanivelNGJ19, author = {Dhevendra Alagan Palanivel and Sivakumaran Natarajan and Sainarayanan Gopalakrishnan and Rachid Jennane}, title = {Trabecular Bone Texture Characterization Using Regularization Dimension and Box-counting Dimension}, booktitle = {{TENCON} 2019 - 2019 {IEEE} Region 10 Conference (TENCON), Kochi, India, October 17-20, 2019}, pages = {1047--1052}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TENCON.2019.8929524}, doi = {10.1109/TENCON.2019.8929524}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tencon/PalanivelNGJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/websci/RoyGSCN19, author = {Soumyadeep Roy and Niloy Ganguly and Shamik Sural and Niyati Chhaya and Anandhavelu Natarajan}, editor = {Paolo Boldi and Brooke Foucault Welles and Katharina Kinder{-}Kurlanda and Christo Wilson and Isabella Peters and Wagner Meira Jr.}, title = {Understanding Brand Consistency from Web Content}, booktitle = {Proceedings of the 11th {ACM} Conference on Web Science, WebSci 2019, Boston, MA, USA, June 30 - July 03, 2019}, pages = {245--253}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3292522.3326048}, doi = {10.1145/3292522.3326048}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/websci/RoyGSCN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-00788, author = {Ayush Jaiswal and Yue Wu and Wael AbdAlmageed and Iacopo Masi and Premkumar Natarajan}, title = {{AIRD:} Adversarial Learning Framework for Image Repurposing Detection}, journal = {CoRR}, volume = {abs/1903.00788}, year = {2019}, url = {http://arxiv.org/abs/1903.00788}, eprinttype = {arXiv}, eprint = {1903.00788}, timestamp = {Sat, 30 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-00788.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-07254, author = {Jiaxin Cheng and Yue Wu and Wael Abd{-}Almageed and Premkumar Natarajan}, title = {{QATM:} Quality-Aware Template Matching For Deep Learning}, journal = {CoRR}, volume = {abs/1903.07254}, year = {2019}, url = {http://arxiv.org/abs/1903.07254}, eprinttype = {arXiv}, eprint = {1903.07254}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-07254.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08749, author = {Hirshikesh and Sundararajan Natarajan and Ratna K. Annabattula and Emilio Mart{\'{\i}}nez{-}Pa{\~{n}}eda}, title = {Phase field modelling of crack propagation in functionally graded materials}, journal = {CoRR}, volume = {abs/1904.08749}, year = {2019}, url = {http://arxiv.org/abs/1904.08749}, eprinttype = {arXiv}, eprint = {1904.08749}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08920, author = {Amanpreet Singh and Vivek Natarajan and Meet Shah and Yu Jiang and Xinlei Chen and Dhruv Batra and Devi Parikh and Marcus Rohrbach}, title = {Towards {VQA} Models that can Read}, journal = {CoRR}, volume = {abs/1904.08920}, year = {2019}, url = {http://arxiv.org/abs/1904.08920}, eprinttype = {arXiv}, eprint = {1904.08920}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08920.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-03629, author = {Ayush Jaiswal and Rex Yue Wu and Wael AbdAlmageed and Premkumar Natarajan}, title = {Unified Adversarial Invariance}, journal = {CoRR}, volume = {abs/1905.03629}, year = {2019}, url = {http://arxiv.org/abs/1905.03629}, eprinttype = {arXiv}, eprint = {1905.03629}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-03629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-10955, author = {Vishesh Vatsal and C. Barath and J. Yogeshwaran and Deepana Gandhi and Chhavilata Sahu and Karthic Balasubramanian and Shyam Mohan and Midhun S. Menon and P. Natarajan and Vivek Raghavan}, title = {Overview of Guidance, Navigation and Control System of the TeamIndus lunar lander}, journal = {CoRR}, volume = {abs/1907.10955}, year = {2019}, url = {http://arxiv.org/abs/1907.10955}, eprinttype = {arXiv}, eprint = {1907.10955}, timestamp = {Thu, 01 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-10955.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02308, author = {Shih{-}Fu Chang and Alexander G. Hauptmann and Louis{-}Philippe Morency and Sameer K. Antani and Dick C. A. Bulterman and Carlos Busso and Joyce Yue Chai and Julia Hirschberg and Ramesh C. Jain and Ketan Mayer{-}Patel and Reuven Meth and Raymond J. Mooney and Klara Nahrstedt and Shrikanth S. Narayanan and Prem Natarajan and Sharon L. Oviatt and Balakrishnan Prabhakaran and Arnold W. M. Smeulders and Hari Sundaram and Zhengyou Zhang and Michelle X. Zhou}, title = {Report of 2017 {NSF} Workshop on Multimedia Challenges, Opportunities and Research Roadmaps}, journal = {CoRR}, volume = {abs/1908.02308}, year = {2019}, url = {http://arxiv.org/abs/1908.02308}, eprinttype = {arXiv}, eprint = {1908.02308}, timestamp = {Mon, 22 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02308.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-05944, author = {Talha Bin Masood and Tathagata Ray and Vijay Natarajan}, title = {Parallel Computation of Alpha Complex for Biomolecules}, journal = {CoRR}, volume = {abs/1908.05944}, year = {2019}, url = {http://arxiv.org/abs/1908.05944}, eprinttype = {arXiv}, eprint = {1908.05944}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-05944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-03902, author = {Kishor Chandra Joshi and Solmaz Niknam and R. Venkatesha Prasad and Balasubramaniam Natarajan}, title = {Analyzing the Trade-offs in Using Millimeter Wave Directional Links for High Data Rate Tactile Internet Applications}, journal = {CoRR}, volume = {abs/1909.03902}, year = {2019}, url = {http://arxiv.org/abs/1909.03902}, eprinttype = {arXiv}, eprint = {1909.03902}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-03902.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-05382, author = {Yuan Liu and Ayush Jain and Clara Eng and David H. Way and Kang Lee and Peggy Bui and Kimberly Kanada and Guilherme de Oliveira Marinho and Jessica Gallegos and Sara Gabriele and Vishakha Gupta and Nalini Singh and Vivek Natarajan and Rainer Hofmann{-}Wellenhof and Gregory S. Corrado and Lily H. Peng and Dale R. Webster and Dennis Ai and Susan Huang and Yun Liu and R. Carter Dunn and David Coz}, title = {A deep learning system for differential diagnosis of skin diseases}, journal = {CoRR}, volume = {abs/1909.05382}, year = {2019}, url = {http://arxiv.org/abs/1909.05382}, eprinttype = {arXiv}, eprint = {1909.05382}, timestamp = {Wed, 12 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-05382.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-11921, author = {Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian}, title = {Equivalence of Systematic Linear Data Structures and Matrix Rigidity}, journal = {CoRR}, volume = {abs/1910.11921}, year = {2019}, url = {http://arxiv.org/abs/1910.11921}, eprinttype = {arXiv}, eprint = {1910.11921}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-11921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-02479, author = {Brian Nord and Andrew J. Connolly and Jamie Kinney and Jeremy Kubica and Gautaum Narayan and Joshua E. G. Peek and Chad Schafer and Erik J. Tollerud and Camille Avestruz and Gutti Jogesh Babu and Simon Birrer and Douglas Burke and Jo{\~{a}}o Caldeira and Douglas A. Caldwell and Joleen K. Carlberg and Yen{-}Chi Chen and Chuanfei Dong and Eric D. Feigelson and V. Zach Golkhou and Vinay Kashyap and T. S. Li and Thomas Loredo and Luisa Lucie{-}Smith and Kaisey S. Mandel and J. R. Mart{\'{\i}}nez{-}Galarza and Adam A. Miller and Priyamvada Natarajan and Michelle Ntampaka and Andy Ptak and David Rapetti and Lior Shamir and Aneta Siemiginowska and Brigitta M. Sipocz and Arfon M. Smith and Nhan Tran and Ricardo Vilalta and Lucianne M. Walkowicz and John ZuHone}, title = {Algorithms and Statistical Models for Scientific Discovery in the Petabyte Era}, journal = {CoRR}, volume = {abs/1911.02479}, year = {2019}, url = {http://arxiv.org/abs/1911.02479}, eprinttype = {arXiv}, eprint = {1911.02479}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-02479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11728, author = {Sahil Bhatia and Saswat Padhi and Nagarajan Natarajan and Rahul Sharma and Prateek Jain}, title = {{OASIS:} ILP-Guided Synthesis of Loop Invariants}, journal = {CoRR}, volume = {abs/1911.11728}, year = {2019}, url = {http://arxiv.org/abs/1911.11728}, eprinttype = {arXiv}, eprint = {1911.11728}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-00646, author = {Ayush Jaiswal and Rob Brekelmans and Daniel Moyer and Greg Ver Steeg and Wael AbdAlmageed and Premkumar Natarajan}, title = {Discovery and Separation of Features for Invariant Representation Learning}, journal = {CoRR}, volume = {abs/1912.00646}, year = {2019}, url = {http://arxiv.org/abs/1912.00646}, eprinttype = {arXiv}, eprint = {1912.00646}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-00646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-02211, author = {Abhishek Kr Singh and Raja Natarajan}, title = {A Constructive Formalization of the Weak Perfect Graph Theorem}, journal = {CoRR}, volume = {abs/1912.02211}, year = {2019}, url = {http://arxiv.org/abs/1912.02211}, eprinttype = {arXiv}, eprint = {1912.02211}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-02211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-07060, author = {Mayukh Das and Nandini Ramanan and Janardhan Rao Doppa and Sriraam Natarajan}, title = {One-Shot Induction of Generalized Logical Concepts via Human Guidance}, journal = {CoRR}, volume = {abs/1912.07060}, year = {2019}, url = {http://arxiv.org/abs/1912.07060}, eprinttype = {arXiv}, eprint = {1912.07060}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-07060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/HrubesRRY19, author = {Pavel Hrubes and Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao and Amir Yehudayoff}, title = {Lower Bounds on Balancing Sets and Depth-2 Threshold Circuits}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR19-026}}, year = {2019}, url = {https://eccc.weizmann.ac.il/report/2019/026}, eprinttype = {ECCC}, eprint = {TR19-026}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/HrubesRRY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/RamamoorthyR19, author = {Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian}, title = {Equivalence of Systematic Linear Data Structures and Matrix Rigidity}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR19-143}}, year = {2019}, url = {https://eccc.weizmann.ac.il/report/2019/143}, eprinttype = {ECCC}, eprint = {TR19-143}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/RamamoorthyR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aai/RengeswaranNP18, author = {Balamurugan Rengeswaran and A. M. Natarajan and K. Premalatha}, title = {A New Hybrid Cuckoo Search Algorithm for Biclustering of Microarray Gene-Expression Data}, journal = {Appl. Artif. Intell.}, volume = {32}, number = {7-8}, pages = {644--659}, year = {2018}, url = {https://doi.org/10.1080/08839514.2018.1501918}, doi = {10.1080/08839514.2018.1501918}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aai/RengeswaranNP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimITTNWT18, author = {Wei Hong Lim and Nor Ashidi Mat Isa and Sew Sun Tiang and Teng Hwang Tan and Elango Natarajan and Chin Hong Wong and Jing Rui Tang}, title = {A Self-Adaptive Topologically Connected-Based Particle Swarm Optimization}, journal = {{IEEE} Access}, volume = {6}, pages = {65347--65366}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2878805}, doi = {10.1109/ACCESS.2018.2878805}, timestamp = {Wed, 26 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LimITTNWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NiknamNB18, author = {Solmaz Niknam and Balasubramaniam Natarajan and Reza Barazideh}, title = {Interference Analysis for Finite-Area 5-G mmWave Networks Considering Blockage Effect}, journal = {{IEEE} Access}, volume = {6}, pages = {23470--23479}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2829621}, doi = {10.1109/ACCESS.2018.2829621}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NiknamNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ReddyS18, author = {K. Jyotheeswara Reddy and Sudhakar Natarajan}, title = {High Voltage Gain Interleaved Boost Converter With Neural Network Based {MPPT} Controller for Fuel Cell Based Electric Vehicle Applications}, journal = {{IEEE} Access}, volume = {6}, pages = {3899--3908}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2785832}, doi = {10.1109/ACCESS.2017.2785832}, timestamp = {Mon, 20 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ReddyS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/KlavzarJRMP18, author = {Sandi Klavzar and D. Azubha Jemilet and Indra Rajasingh and Paul D. Manuel and N. Parthiban}, title = {General Transmission Lemma and Wiener complexity of triangular grids}, journal = {Appl. Math. Comput.}, volume = {338}, pages = {115--122}, year = {2018}, url = {https://doi.org/10.1016/j.amc.2018.05.056}, doi = {10.1016/J.AMC.2018.05.056}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/KlavzarJRMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/braininf/SriraamRTNKHK18, author = {Natarajan Sriraam and Shivarudhrappa Raghu and Kadeeja Tamanna and Leena Narayan and Mehraj Khanum and Alangar Satyaranjandas Hegde and Anjani Bhushan Kumar}, title = {Automated epileptic seizures detection using multi-features and multilayer perceptron neural network}, journal = {Brain Informatics}, volume = {5}, number = {2}, year = {2018}, url = {https://doi.org/10.1186/s40708-018-0088-8}, doi = {10.1186/S40708-018-0088-8}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/braininf/SriraamRTNKHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/NatarajanRGRTK18, author = {Valliyammai Natarajan and Priya Ramanathan and Gopal Gopisetty and Balaji Ramachandran and Rajkumar Thangarajan and Sabitha Kesavan}, title = {\emph{In silico} and \emph{in vitro} screening of small molecule Inhibitors against {SYT-SSX1} fusion protein in synovial sarcoma}, journal = {Comput. Biol. Chem.}, volume = {77}, pages = {36--43}, year = {2018}, url = {https://doi.org/10.1016/j.compbiolchem.2018.09.006}, doi = {10.1016/J.COMPBIOLCHEM.2018.09.006}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/NatarajanRGRTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/KabilanBSBR18, author = {K. Kabilan and N. Bhalaji and Chithra Selvaraj and Mahesh Kumaar B and Karthikeyan P. T. R}, title = {Performance analysis of IoT protocol under different mobility models}, journal = {Comput. Electr. Eng.}, volume = {72}, pages = {154--168}, year = {2018}, url = {https://doi.org/10.1016/j.compeleceng.2018.09.007}, doi = {10.1016/J.COMPELECENG.2018.09.007}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/KabilanBSBR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/RajaN18, author = {Kalpana Raja and Jeyakumar Natarajan}, title = {Mining protein phosphorylation information from biomedical literature using {NLP} parsing and Support Vector Machines}, journal = {Comput. Methods Programs Biomed.}, volume = {160}, pages = {57--64}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2018.03.022}, doi = {10.1016/J.CMPB.2018.03.022}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/RajaN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dmaa/JiangLPR18, author = {Xiaofang Jiang and Qinghui Liu and N. Parthiban and R. Sundara Rajan}, title = {A note on minimum linear arrangement for {BC} graphs}, journal = {Discret. Math. Algorithms Appl.}, volume = {10}, number = {2}, pages = {1850023:1--1850023:7}, year = {2018}, url = {https://doi.org/10.1142/S1793830918500234}, doi = {10.1142/S1793830918500234}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dmaa/JiangLPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/RaghuS18, author = {Shivarudhrappa Raghu and Natarajan Sriraam}, title = {Classification of focal and non-focal {EEG} signals using neighborhood component analysis and machine learning algorithms}, journal = {Expert Syst. Appl.}, volume = {113}, pages = {18--32}, year = {2018}, url = {https://doi.org/10.1016/j.eswa.2018.06.031}, doi = {10.1016/J.ESWA.2018.06.031}, timestamp = {Thu, 13 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/RaghuS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/YuvarajRGD18, author = {Natarajan Yuvaraj and Rajan Arshath Raja and Veerappan Ganesan and C. Suresh Gnana Dhas}, title = {Analysis on Improving the Response Time with {PIDSARSA-RAL} in ClowdFlows Mining Platform}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {5}, number = {20}, pages = {e2}, year = {2018}, url = {https://doi.org/10.4108/eai.12-9-2018.155557}, doi = {10.4108/EAI.12-9-2018.155557}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ew/YuvarajRGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/VasanthKGNI18, author = {Kishorebabu Vasanth and Karuppaiyan Kumar and Nagarajan Govindan and Ravi Natarajan and Godwin Immanual}, title = {Decision based detail preserving algorithm for the removal of equal and unequal probability salt and pepper noise in images and videos}, journal = {Int. Arab J. Inf. Technol.}, volume = {15}, number = {1}, pages = {148--156}, year = {2018}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=126\&Itemid=451}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/VasanthKGNI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/VenkateshMJ18, author = {R. Venkatesh and N. Uma Maheswari and S. Jeyanthi}, title = {Multiple Criteria Decision Analysis Based Overlapped Latent Fingerprint Recognition System Using fuzzy Sets}, journal = {Int. J. Fuzzy Syst.}, volume = {20}, number = {6}, pages = {2016--2042}, year = {2018}, url = {https://doi.org/10.1007/s40815-018-0487-2}, doi = {10.1007/S40815-018-0487-2}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/VenkateshMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijista/SelvanambiN18, author = {Ramani Selvanambi and Jaisankar Natarajan}, title = {Exploration on predicting breast cancer stage with the aid of redesigned {ANN} incorporated with enhanced social spider optimisation technique}, journal = {Int. J. Intell. Syst. Technol. Appl.}, volume = {17}, number = {4}, pages = {397--414}, year = {2018}, url = {https://doi.org/10.1504/IJISTA.2018.10015318}, doi = {10.1504/IJISTA.2018.10015318}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijista/SelvanambiN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/RamyaSLDS18, author = {L. Susana Ramya and Rathinasamy Sakthivel and A. Leelamani and P. Dhanalakshmi and Natarajan Sakthivel}, title = {Output tracking control of switched nonlinear systems with multiple time-varying delays}, journal = {Int. J. Syst. Sci.}, volume = {49}, number = {11}, pages = {2373--2384}, year = {2018}, url = {https://doi.org/10.1080/00207721.2018.1501831}, doi = {10.1080/00207721.2018.1501831}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/RamyaSLDS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/RaghuHKN18, author = {Dhanya Raghu and Apoorva K. H and Anjana Anil Kumar and S. Natarajan}, title = {Dynamic Models for Entity Trajectory Prediction Using Deep Learning}, journal = {J. Comput.}, volume = {13}, number = {9}, pages = {1127--1135}, year = {2018}, url = {https://doi.org/10.17706/jcp.13.9.1127-1135}, doi = {10.17706/JCP.13.9.1127-1135}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/RaghuHKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/ThirumalaisamyN18, author = {Ramakrishnan Thirumalaisamy and Ganesh Natarajan and Amaresh Dalal}, title = {Towards an improved conservative approach for simulating electrohydrodynamic two-phase flows using volume-of-fluid}, journal = {J. Comput. Phys.}, volume = {367}, pages = {391--398}, year = {2018}, url = {https://doi.org/10.1016/j.jcp.2018.04.024}, doi = {10.1016/J.JCP.2018.04.024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/ThirumalaisamyN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SadagopanKRN18, author = {Kamala Raghavan Sadagopan and Jian Kang and Yogesh Ramadass and Arun Natarajan}, title = {A cm-Scale 2.4-GHz Wireless Energy Harvester With NanoWatt Boost Converter and Antenna-Rectifier Resonance for WiFi Powering of Sensor Nodes}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {12}, pages = {3396--3406}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2875465}, doi = {10.1109/JSSC.2018.2875465}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SadagopanKRN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/KokilaRT18, author = {Kokila Jagadeesh and N. Ramasubramanian and Ravindra Thamma}, title = {Dynamic Estimation of Temporary Failure in SoC FPGAs for Heterogeneous Applications}, journal = {J. Univers. Comput. Sci.}, volume = {24}, number = {12}, pages = {1776--1799}, year = {2018}, url = {https://doi.org/10.3217/jucs-024-12-1776}, doi = {10.3217/JUCS-024-12-1776}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jucs/KokilaRT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/NatarajanR18, author = {Dheepikaa Natarajan and Sayan Ranu}, title = {Resling: a scalable and generic framework to mine top-k representative subgraph patterns}, journal = {Knowl. Inf. Syst.}, volume = {54}, number = {1}, pages = {123--149}, year = {2018}, url = {https://doi.org/10.1007/s10115-017-1129-y}, doi = {10.1007/S10115-017-1129-Y}, timestamp = {Thu, 25 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kais/NatarajanR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/MenonRN18, author = {Aditya Krishna Menon and Brendan van Rooyen and Nagarajan Natarajan}, title = {Learning from binary labels with instance-dependent noise}, journal = {Mach. Learn.}, volume = {107}, number = {8-10}, pages = {1561--1595}, year = {2018}, url = {https://doi.org/10.1007/s10994-018-5715-3}, doi = {10.1007/S10994-018-5715-3}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/MenonRN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WuHKMN18, author = {Yue Wu and Tal Hassner and KangGeon Kim and G{\'{e}}rard G. Medioni and Prem Natarajan}, title = {Facial Landmark Detection with Tweaked Convolutional Neural Networks}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {40}, number = {12}, pages = {3067--3074}, year = {2018}, url = {https://doi.org/10.1109/TPAMI.2017.2787130}, doi = {10.1109/TPAMI.2017.2787130}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/WuHKMN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/RaghuSKH18, author = {Shivarudhrappa Raghu and Natarajan Sriraam and Govindaiah Pradeep Kumar and Alangar Satyaranjandas Hegde}, title = {A Novel Approach for Real-Time Recognition of Epileptic Seizures Using Minimum Variance Modified Fuzzy Entropy}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {65}, number = {11}, pages = {2612--2621}, year = {2018}, url = {https://doi.org/10.1109/TBME.2018.2810942}, doi = {10.1109/TBME.2018.2810942}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/RaghuSKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/BjorkqvistGBCB18, author = {Mathias Bj{\"{o}}rkqvist and Natarajan Gautam and Robert Birke and Lydia Y. Chen and Walter Binder}, title = {Optimizing for Tail Sojourn Times of Cloud Clusters}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {6}, number = {1}, pages = {156--167}, year = {2018}, url = {https://doi.org/10.1109/TCC.2015.2474367}, doi = {10.1109/TCC.2015.2474367}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcc/BjorkqvistGBCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/VenkatachalamA18, author = {Natarajan Venkatachalam and R. Anitha}, title = {Steganalysis of {BCH} code based stego schemes}, journal = {Telecommun. Syst.}, volume = {69}, number = {2}, pages = {207--216}, year = {2018}, url = {https://doi.org/10.1007/s11235-018-0457-x}, doi = {10.1007/S11235-018-0457-X}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/VenkatachalamA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/TamizharasanR18, author = {P. S. Tamizharasan and N. Ramasubramanian}, title = {Analysis of large deviations behavior of multi-GPU memory access in deep learning}, journal = {J. Supercomput.}, volume = {74}, number = {5}, pages = {2199--2212}, year = {2018}, url = {https://doi.org/10.1007/s11227-018-2246-4}, doi = {10.1007/S11227-018-2246-4}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/TamizharasanR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/MalekpourPN18, author = {Ahmad Reza Malekpour and Anil Pahwa and Balasubramaniam Natarajan}, title = {Hierarchical Architecture for Integration of Rooftop {PV} in Smart Distribution Systems}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {3}, pages = {2019--2029}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2605502}, doi = {10.1109/TSG.2016.2605502}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/MalekpourPN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/AlsathHSMKSSPN18, author = {Mohammed Gulam Nabi Alsath and Arun Henridass and Yogeshwari Panneer Selvam and Kanagasabai Malathi and Saffrine Kingsly and Sangeetha Subbaraj and Ramprabhu Sivasamy and Sandeep Kumar Palaniswamy and Rajesh Natarajan}, title = {An Integrated Tri-Band/UWB Polarization Diversity Antenna for Vehicular Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {7}, pages = {5613--5620}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2806743}, doi = {10.1109/TVT.2018.2806743}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/AlsathHSMKSSPN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/Cheng0AN18, author = {Jiaxin Cheng and Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Image-to-GPS Verification Through a Bottom-Up Pattern Matching Network}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11365}, pages = {546--561}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20873-8\_35}, doi = {10.1007/978-3-030-20873-8\_35}, timestamp = {Mon, 27 May 2019 13:51:25 +0200}, biburl = {https://dblp.org/rec/conf/accv/Cheng0AN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/JaiswalA0N18, author = {Ayush Jaiswal and Wael AbdAlmageed and Yue Wu and Premkumar Natarajan}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Bidirectional Conditional Generative Adversarial Networks}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11363}, pages = {216--232}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20893-6\_14}, doi = {10.1007/978-3-030-20893-6\_14}, timestamp = {Wed, 29 May 2019 12:05:18 +0200}, biburl = {https://dblp.org/rec/conf/accv/JaiswalA0N18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/Li0AN18, author = {Zekun Li and Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Weighted Feature Pooling Network in Template-Based Recognition}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11365}, pages = {436--451}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20873-8\_28}, doi = {10.1007/978-3-030-20873-8\_28}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/accv/Li0AN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/Kalyanakrishnan18, author = {Shivaram Kalyanakrishnan and Rahul Alex Panicker and Sarayu Natarajan and Shreya Rao}, editor = {Jason Furman and Gary E. Marchant and Huw Price and Francesca Rossi}, title = {Opportunities and Challenges for Artificial Intelligence in India}, booktitle = {Proceedings of the 2018 {AAAI/ACM} Conference on AI, Ethics, and Society, {AIES} 2018, New Orleans, LA, USA, February 02-03, 2018}, pages = {164--170}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3278721.3278738}, doi = {10.1145/3278721.3278738}, timestamp = {Tue, 23 Jan 2024 13:25:45 +0100}, biburl = {https://dblp.org/rec/conf/aies/Kalyanakrishnan18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ChenRNFRVSH18, author = {Ruijun Chen and Patrick B. Ryan and Karthik Natarajan and Thomas Falconer and Christian G. Reich and Rohit Vashisht and Nigam Shah and George Hripcsak}, title = {Treatment Pathways in Patients with Cancer Using a Large-scale Observational Data Network}, booktitle = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, publisher = {{AMIA}}, year = {2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402/t007-1.4262189/t007-1.4262190/2976874-1.4263097/2976084-1.4263094}, timestamp = {Wed, 17 Apr 2024 11:47:15 +0200}, biburl = {https://dblp.org/rec/conf/amia/ChenRNFRVSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asar/RawlsCMN18, author = {Stephen Rawls and Huaigu Cao and Joe Mathai and Prem Natarajan}, title = {How To Efficiently Increase Resolution in Neural {OCR} Models}, booktitle = {{IEEE} 2nd International Workshop on Arabic and Derived Script Analysis and Recognition, {ASAR} 2018, London, UK, March 12-14, 2018}, pages = {140--144}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASAR.2018.8480182}, doi = {10.1109/ASAR.2018.8480182}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/asar/RawlsCMN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/DasOIDRN18, author = {Mayukh Das and Phillip Odom and Md. Rakibul Islam and Janardhan Rao Doppa and Dan Roth and Sriraam Natarajan}, editor = {Elisabeth Andr{\'{e}} and Sven Koenig and Mehdi Dastani and Gita Sukthankar}, title = {Preference-Guided Planning: An Active Elicitation Approach}, booktitle = {Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems, {AAMAS} 2018, Stockholm, Sweden, July 10-15, 2018}, pages = {1921--1923}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems Richland, SC, {USA} / {ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3238024}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/DasOIDRN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SrivastavaKDN18, author = {Sandesh Kumar Srivastava and Madhan Raj Kanagarathinam and SuneelKumar Diggi and Harikrishnan Natarajan}, title = {{CLEH} - Cross layer enhanced handover for {IMS} sessions}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319273}, doi = {10.1109/CCNC.2018.8319273}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/SrivastavaKDN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SadagopanKN18, author = {Kamala Raghavan Sadagopan and Jian Kang and Arun Natarajan}, title = {Education session 4 - Low Power IoT wireless powering for ultra low power batteryless IoT sensing and communication}, booktitle = {2018 {IEEE} Custom Integrated Circuits Conference, {CICC} 2018, San Diego, CA, USA, April 8-11, 2018}, pages = {1--40}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CICC.2018.8357116}, doi = {10.1109/CICC.2018.8357116}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/SadagopanKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coco/RamamoorthyR18, author = {Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao}, editor = {Rocco A. Servedio}, title = {Lower Bounds on Non-Adaptive Data Structures Maintaining Sets of Numbers, from Sunflowers}, booktitle = {33rd Computational Complexity Conference, {CCC} 2018, June 22-24, 2018, San Diego, CA, {USA}}, series = {LIPIcs}, volume = {102}, pages = {27:1--27:16}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2018}, url = {https://doi.org/10.4230/LIPIcs.CCC.2018.27}, doi = {10.4230/LIPICS.CCC.2018.27}, timestamp = {Thu, 02 Feb 2023 13:27:04 +0100}, biburl = {https://dblp.org/rec/conf/coco/RamamoorthyR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/JaiswalA0N18, author = {Ayush Jaiswal and Wael AbdAlmageed and Yue Wu and Premkumar Natarajan}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {CapsuleGAN: Generative Adversarial Capsule Network}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11131}, pages = {526--535}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11015-4\_38}, doi = {10.1007/978-3-030-11015-4\_38}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/JaiswalA0N18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WuAN18, author = {Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {BusterNet: Detecting Copy-Move Image Forgery with Source/Target Localization}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {11210}, pages = {170--186}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01231-1\_11}, doi = {10.1007/978-3-030-01231-1\_11}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WuAN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MowlaHNT18, author = {Md Rakibul Mowla and Jane E. Huggins and Balasubramaniam Natarajan and David E. Thompson}, title = {{P300} Latency Estimation Using Least Mean Squares Filter}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {1976--1979}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512644}, doi = {10.1109/EMBC.2018.8512644}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MowlaHNT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/formats/JhaR0S18, author = {Susmit Jha and Sunny Raj and Sumit Kumar Jha and Natarajan Shankar}, editor = {David N. Jansen and Pavithra Prabhakar}, title = {Duality-Based Nested Controller Synthesis from {STL} Specifications for Stochastic Linear Systems}, booktitle = {Formal Modeling and Analysis of Timed Systems - 16th International Conference, {FORMATS} 2018, Beijing, China, September 4-6, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11022}, pages = {235--251}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00151-3\_14}, doi = {10.1007/978-3-030-00151-3\_14}, timestamp = {Sat, 09 Apr 2022 12:48:56 +0200}, biburl = {https://dblp.org/rec/conf/formats/JhaR0S18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/BlaschCNRK18, author = {Erik Blasch and Robert Cruise and Sriraam Natarajan and Ali K. Raz and Tim Kelly}, title = {Control Diffusion of Information Collection for Situation Understanding Using Boosting MLNs}, booktitle = {21st International Conference on Information Fusion, {FUSION} 2018, Cambridge, UK, July 10-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICIF.2018.8455262}, doi = {10.23919/ICIF.2018.8455262}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fusion/BlaschCNRK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/NatarajanG18, author = {Ramkumar Natarajan and Michael A. Gennert}, title = {Efficient Factor Graph Fusion for Multi-Robot Mapping and Beyond}, booktitle = {21st International Conference on Information Fusion, {FUSION} 2018, Cambridge, UK, July 10-13, 2018}, pages = {1137--1145}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICIF.2018.8455502}, doi = {10.23919/ICIF.2018.8455502}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/NatarajanG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/BolarKSNN18, author = {Akarsh Bolar and Rohan N. Kanuri and Skanda Shrihari and S. Natarajan and Kannan Nagajothi}, title = {Classification of Urban Data using Satellite Imaging}, booktitle = {2018 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2018, Bangalore, India, September 19-22, 2018}, pages = {1843--1847}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICACCI.2018.8554734}, doi = {10.1109/ICACCI.2018.8554734}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icacci/BolarKSNN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/RamanathanMTS18, author = {Subhiksha Ramanathan and Sangeetha M and Saachi Talwai and Natarajan S.}, title = {Probabilistic Determination Of Down's Syndrome Using Machine Learning Techniques}, booktitle = {2018 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2018, Bangalore, India, September 19-22, 2018}, pages = {126--132}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICACCI.2018.8554392}, doi = {10.1109/ICACCI.2018.8554392}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/RamanathanMTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/AkshaySMAAB18, author = {Nagarajan Akshay and Nidhin Sugunan and Anirudh Muraleedharan and Natarajan Amritha and P. Aswathi and Rao R. Bhavani}, editor = {Maiga Chang and Nian{-}Shing Chen and Ronghuai Huang and Kinshuk and Kannan M. Moudgalya and Sahana Murthy and Demetrios G. Sampson}, title = {Design, Observe and Tinker: {A} Constructionist Approach to Introduce Maker Skills in Rural Schools}, booktitle = {18th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2018, Mumbai, India, July 9-13, 2018}, pages = {293--297}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICALT.2018.00074}, doi = {10.1109/ICALT.2018.00074}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/AkshaySMAAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/BarazidehNN18, author = {Reza Barazideh and Alexei V. Nikitin and Balasubramaniam Natarajan}, title = {Practical Implementation of Adaptive Analog Nonlinear Filtering for Impulsive Noise Mitigation}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422900}, doi = {10.1109/ICC.2018.8422900}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/BarazidehNN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/RajmohanN18, author = {Shathanaa Rajmohan and Ramasubramanian Natarajan}, title = {Improving Power {\&} Latency Metrics for Hardware Trojan Detection During High Level Synthesis}, booktitle = {9th International Conference on Computing, Communication and Networking Technologies, {ICCCNT} 2018, Bengaluru, India, July 10-12, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCCNT.2018.8494102}, doi = {10.1109/ICCCNT.2018.8494102}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/RajmohanN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/RajputJI18, author = {Vishal Rajput and Natarajan Jayanthi and S. Indu}, editor = {Suresh Sundaram and Gaurav Harit}, title = {An Efficient Character Segmentation Algorithm for Connected Handwritten Documents}, booktitle = {Document Analysis and Recognition - 4th Workshop, {DAR} 2018, Held in Conjunction with {ICVGIP} 2018, DAR@ICVGIP 2018, Hyderabad, India, December 18, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1020}, pages = {97--105}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-9361-7\_9}, doi = {10.1007/978-981-13-9361-7\_9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvgip/RajputJI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/NatarajanDRKR18, author = {Sriraam Natarajan and Srijita Das and Nandini Ramanan and Gautam Kunapuli and Predrag Radivojac}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {On Whom Should {I} Perform this Lab Test Next? An Active Feature Elicitation Approach}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {3498--3505}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/486}, doi = {10.24963/IJCAI.2018/486}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/NatarajanDRKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/BeameHRRS18, author = {Paul Beame and Sariel Har{-}Peled and Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian and Makrand Sinha}, editor = {Anna R. Karlin}, title = {Edge Estimation with Independent Set Oracles}, booktitle = {9th Innovations in Theoretical Computer Science Conference, {ITCS} 2018, January 11-14, 2018, Cambridge, MA, {USA}}, series = {LIPIcs}, volume = {94}, pages = {38:1--38:21}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2018}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2018.38}, doi = {10.4230/LIPICS.ITCS.2018.38}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/BeameHRRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SadagopanKRN18, author = {Kamala Raghavan Sadagopan and Jian Kang and Yogesh Ramadass and Arun Natarajan}, title = {A 960pW Co-Integrated-Antenna Wireless Energy Harvester for WiFi Backchannel Wireless Powering}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {136--138}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310221}, doi = {10.1109/ISSCC.2018.8310221}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SadagopanKRN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kr/RamananKKFK0KN18, author = {Nandini Ramanan and Gautam Kunapuli and Tushar Khot and Bahare Fatemi and Seyed Mehran Kazemi and David Poole and Kristian Kersting and Sriraam Natarajan}, editor = {Michael Thielscher and Francesca Toni and Frank Wolter}, title = {Structure Learning for Relational Logistic Regression: An Ensemble Approach}, booktitle = {Principles of Knowledge Representation and Reasoning: Proceedings of the Sixteenth International Conference, {KR} 2018, Tempe, Arizona, 30 October - 2 November 2018}, pages = {661--662}, publisher = {{AAAI} Press}, year = {2018}, url = {https://aaai.org/ocs/index.php/KR/KR18/paper/view/18055}, timestamp = {Tue, 09 Feb 2021 08:33:51 +0100}, biburl = {https://dblp.org/rec/conf/kr/RamananKKFK0KN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/SabirA0N18, author = {Ekraam Sabir and Wael AbdAlmageed and Yue Wu and Prem Natarajan}, editor = {Susanne Boll and Kyoung Mu Lee and Jiebo Luo and Wenwu Zhu and Hyeran Byun and Chang Wen Chen and Rainer Lienhart and Tao Mei}, title = {Deep Multimodal Image-Repurposing Detection}, booktitle = {2018 {ACM} Multimedia Conference on Multimedia Conference, {MM} 2018, Seoul, Republic of Korea, October 22-26, 2018}, pages = {1337--1345}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240508.3240707}, doi = {10.1145/3240508.3240707}, timestamp = {Mon, 18 Nov 2019 15:08:26 +0100}, biburl = {https://dblp.org/rec/conf/mm/SabirA0N18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JaiswalWAN18, author = {Ayush Jaiswal and Rex Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Unsupervised Adversarial Invariance}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {5097--5107}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/03e7ef47cee6fa4ae7567394b99912b7-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/JaiswalWAN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rait/VinaySBPRRMN18, author = {A. Vinay and Pratik Rajesh Sampat and Sagar V. Belavadi and R. Pratik and B. S. Nikitha Rao and Rahul Ragesh and K. N. Balasubramanya Murthy and S. Natarajan}, title = {Face recognition using interest points and ensemble of classifiers}, booktitle = {2018 4th International Conference on Recent Advances in Information Technology (RAIT), Dhanbad, India, March 15-17, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RAIT.2018.8389033}, doi = {10.1109/RAIT.2018.8389033}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rait/VinaySBPRRMN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/GuptaGSDPST18, author = {Anupam K. Gupta and Rohan Ghosh and Aravindh Natarajan Swaminathan and Balakrishna Deverakonda and Godwin Ponraj and Alcimar B. Soares and Nitish V. Thakor}, title = {A Neuromorphic Approach to Tactile Texture Recognition}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2018, Kuala Lumpur, Malaysia, December 12-15, 2018}, pages = {1322--1328}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ROBIO.2018.8665085}, doi = {10.1109/ROBIO.2018.8665085}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/GuptaGSDPST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scsc/KrammerBBAAKMRS18, author = {Martin Krammer and Martin Benedikt and Torsten Blochwitz and Khaled Alekeish and Nicolas Amringer and Christian Kater and Stefan Materne and Roberto Ruvalcaba and Klaus Schuch and Josef Zehetner and Micha Damm{-}Norwig and Viktor Schreiber and Natarajan Nagarajan and Isidro Corral and Tommy Sparber and Serge Klein and Jakob Andert}, title = {The distributed co-simulation protocol for the integration of real-time systems and simulation environments}, booktitle = {Proceedings of the 50th Computer Simulation Conference, SummerSim 2018, Bordeaux, France, July 09-12, 2018}, pages = {1:1--1:14}, publisher = {{ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3275383}, timestamp = {Thu, 06 Sep 2018 10:04:07 +0200}, biburl = {https://dblp.org/rec/conf/scsc/KrammerBBAAKMRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/BurnsS0CN18, author = {Gully Burns and Xiangyang Shi and Yue Wu and Huaigu Cao and Premkumar Natarajan}, editor = {Daniel Garijo and Natalia Villanueva{-}Rosales and Tobias Kuhn and Tomi Kauppinen and Michel Dumontier}, title = {Towards Evidence Extraction : Analysis of Scientific Figures from Studies of Molecular Interactions}, booktitle = {Proceedings of the Second Workshop on Enabling Open Semantic Science co-located with 17th International Semantic Web Conference, SemSci@ISWC 2018, Monterey, California, USA, October 8-12th, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2184}, pages = {34--42}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2184/paper-04.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:04 +0100}, biburl = {https://dblp.org/rec/conf/semweb/BurnsS0CN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/BurnsS0CN18a, author = {Gully Burns and Xiangyang Shi and Yue Wu and Huaigu Cao and Prem Natarajan}, editor = {Elena Demidova and Amrapali Zaveri and Elena Simperl}, title = {Towards Evidence Extraction: Analysis of Scientific Figures from Studies of Molecular Interactions}, booktitle = {Emerging Topics in Semantic Technologies - {ISWC} 2018 Satellite Events [best papers from 13 of the workshops co-located with the {ISWC} 2018 conference]}, series = {Studies on the Semantic Web}, volume = {36}, pages = {95--102}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-894-5-95}, doi = {10.3233/978-1-61499-894-5-95}, timestamp = {Wed, 31 Oct 2018 17:37:07 +0100}, biburl = {https://dblp.org/rec/conf/semweb/BurnsS0CN18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sibgrapi/Masi0HN18, author = {Iacopo Masi and Yue Wu and Tal Hassner and Prem Natarajan}, title = {Deep Face Recognition: {A} Survey}, booktitle = {31st {SIBGRAPI} Conference on Graphics, Patterns and Images, {SIBGRAPI} 2018, Paran{\'{a}}, Brazil, October 29 - Nov. 1, 2018}, pages = {471--478}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/SIBGRAPI.2018.00067}, doi = {10.1109/SIBGRAPI.2018.00067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sibgrapi/Masi0HN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NiknamBN18, author = {Solmaz Niknam and Reza Barazideh and Balasubramaniam Natarajan}, title = {Cross-Layer Interference Modeling for 5G mmWave Networks in the Presence of Blockage}, booktitle = {88th {IEEE} Vehicular Technology Conference, {VTC} Fall 2018, Chicago, IL, USA, August 27-30, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCFall.2018.8690830}, doi = {10.1109/VTCFALL.2018.8690830}, timestamp = {Mon, 20 Dec 2021 11:29:23 +0100}, biburl = {https://dblp.org/rec/conf/vtc/NiknamBN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/WuAN18, author = {Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, title = {Image Copy-Move Forgery Detection via an End-to-End Deep Neural Network}, booktitle = {2018 {IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2018, Lake Tahoe, NV, USA, March 12-15, 2018}, pages = {1907--1915}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/WACV.2018.00211}, doi = {10.1109/WACV.2018.00211}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/WuAN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-00027, author = {Varun Ranganathan and S. Natarajan}, title = {A New Backpropagation Algorithm without Gradient Descent}, journal = {CoRR}, volume = {abs/1802.00027}, year = {2018}, url = {http://arxiv.org/abs/1802.00027}, eprinttype = {arXiv}, eprint = {1802.00027}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-00027.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-07404, author = {Mayukh Das and Phillip Odom and Md. Rakibul Islam and Janardhan Rao Doppa and Dan Roth and Sriraam Natarajan}, title = {Preference-Guided Planning: An Active Elicitation Approach}, journal = {CoRR}, volume = {abs/1804.07404}, year = {2018}, url = {http://arxiv.org/abs/1804.07404}, eprinttype = {arXiv}, eprint = {1804.07404}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-07404.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-09441, author = {Ekraam Sabir and Stephen Rawls and Prem Natarajan}, title = {Implicit Language Model in {LSTM} for {OCR}}, journal = {CoRR}, volume = {abs/1805.09441}, year = {2018}, url = {http://arxiv.org/abs/1805.09441}, eprinttype = {arXiv}, eprint = {1805.09441}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-09441.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09956, author = {Yu Jiang and Vivek Natarajan and Xinlei Chen and Marcus Rohrbach and Dhruv Batra and Devi Parikh}, title = {Pythia v0.1: the Winning Entry to the {VQA} Challenge 2018}, journal = {CoRR}, volume = {abs/1807.09956}, year = {2018}, url = {http://arxiv.org/abs/1807.09956}, eprinttype = {arXiv}, eprint = {1807.09956}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09956.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-02123, author = {Nandini Ramanan and Gautam Kunapuli and Tushar Khot and Bahare Fatemi and Seyed Mehran Kazemi and David Poole and Kristian Kersting and Sriraam Natarajan}, title = {Structure Learning for Relational Logistic Regression: An Ensemble Approach}, journal = {CoRR}, volume = {abs/1808.02123}, year = {2018}, url = {http://arxiv.org/abs/1808.02123}, eprinttype = {arXiv}, eprint = {1808.02123}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-02123.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-06686, author = {Ekraam Sabir and Wael AbdAlmageed and Yue Wu and Prem Natarajan}, title = {Deep Multimodal Image-Repurposing Detection}, journal = {CoRR}, volume = {abs/1808.06686}, year = {2018}, url = {http://arxiv.org/abs/1808.06686}, eprinttype = {arXiv}, eprint = {1808.06686}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-06686.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-10083, author = {Ayush Jaiswal and Yue Wu and Wael AbdAlmageed and Premkumar Natarajan}, title = {Unsupervised Adversarial Invariance}, journal = {CoRR}, volume = {abs/1809.10083}, year = {2018}, url = {http://arxiv.org/abs/1809.10083}, eprinttype = {arXiv}, eprint = {1809.10083}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-10083.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-07288, author = {Jiaxin Cheng and Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, title = {Image-to-GPS Verification Through {A} Bottom-Up Pattern Matching Network}, journal = {CoRR}, volume = {abs/1811.07288}, year = {2018}, url = {http://arxiv.org/abs/1811.07288}, eprinttype = {arXiv}, eprint = {1811.07288}, timestamp = {Sun, 25 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-07288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-11108, author = {Abhishek Kr Singh and Raja Natarajan}, title = {Towards a constructive formalization of Perfect Graph Theorems}, journal = {CoRR}, volume = {abs/1812.11108}, year = {2018}, url = {http://arxiv.org/abs/1812.11108}, eprinttype = {arXiv}, eprint = {1812.11108}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-11108.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/McSkimmingRK17, author = {Daniel Ian McSkimming and Khaled Rasheed and Natarajan Kannan}, title = {Classifying kinase conformations using a machine learning approach}, journal = {{BMC} Bioinform.}, volume = {18}, number = {1}, pages = {86:1--86:15}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1506-2}, doi = {10.1186/S12859-017-1506-2}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/McSkimmingRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/LakshmiBB17, author = {N. V. S. Sree Rathna Lakshmi and S. Babu and N. Bhalaji}, title = {Analysis of clustered QoS routing protocol for distributed wireless sensor network}, journal = {Comput. Electr. Eng.}, volume = {64}, pages = {173--181}, year = {2017}, url = {https://doi.org/10.1016/j.compeleceng.2016.11.019}, doi = {10.1016/J.COMPELECENG.2016.11.019}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/LakshmiBB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JayapandianR17, author = {N. Jayapandian and A. M. J. Md. Zubair Rahman}, title = {Secure and efficient online data storage and sharing over cloud environment using probabilistic with homomorphic encryption}, journal = {Clust. Comput.}, volume = {20}, number = {2}, pages = {1561--1573}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0809-4}, doi = {10.1007/S10586-017-0809-4}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JayapandianR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/Rodriguez-Natal17a, author = {Alberto Rodr{\'{\i}}guez{-}Natal and Jordi Paillisse and Florin Coras and Albert Lopez{-}Bresco and Lor{\'{a}}nd Jakab and Marc Portoles{-}Comeras and Preethi Natarajan and Vina Ermagan and David Meyer and Dino Farinacci and Fabio Maino and Albert Cabellos{-}Aparicio}, title = {Programmable Overlays via OpenOverlayRouter}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {6}, pages = {32--38}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2017.1601056}, doi = {10.1109/MCOM.2017.1601056}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/Rodriguez-Natal17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/NatarajanSJ17, author = {Rajkiran Natarajan and Ian Stavness and William Pearson Jr}, title = {Semi-automatic tracking of hyolaryngeal coordinates in videofluoroscopic swallowing studies}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {5}, number = {6}, pages = {379--389}, year = {2017}, url = {https://doi.org/10.1080/21681163.2015.1046190}, doi = {10.1080/21681163.2015.1046190}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/NatarajanSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comgeo/RathodMN17, author = {Abhishek Rathod and Talha Bin Masood and Vijay Natarajan}, title = {Approximation algorithms for Max Morse Matching}, journal = {Comput. Geom.}, volume = {61}, pages = {1--23}, year = {2017}, url = {https://doi.org/10.1016/j.comgeo.2016.10.002}, doi = {10.1016/J.COMGEO.2016.10.002}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comgeo/RathodMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/RaghuS17, author = {Shivarudhrappa Raghu and Natarajan Sriraam}, title = {Optimal configuration of multilayer perceptron neural network classifier for recognition of intracranial epileptic seizures}, journal = {Expert Syst. Appl.}, volume = {89}, pages = {205--221}, year = {2017}, url = {https://doi.org/10.1016/j.eswa.2017.07.029}, doi = {10.1016/J.ESWA.2017.07.029}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/RaghuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/RengeswaranMP17, author = {Balamurugan Rengeswaran and Natarajan Mathaiyan and Kandhasamy Premalatha}, title = {Cuckoo search with mutation for biclustering of microarray gene expression data}, journal = {Int. Arab J. Inf. Technol.}, volume = {14}, number = {3}, pages = {300--306}, year = {2017}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=113\&Itemid=435}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/RengeswaranMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/NishaMVA17, author = {Usman Barakkath Nisha and Natarajan Uma Maheswari and Ramalingam Venkatesh and Rabi Yasir Abdullah}, title = {Fuzzy-Based Flat Anomaly Diagnosis and Relief Measures in Distributed Wireless Sensor Network}, journal = {Int. J. Fuzzy Syst.}, volume = {19}, number = {5}, pages = {1528--1545}, year = {2017}, url = {https://doi.org/10.1007/s40815-016-0253-2}, doi = {10.1007/S40815-016-0253-2}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/NishaMVA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijict/ChandrasekaranN17, author = {Srimathi Chandrasekaran and Rajesh Natarajan and Subaji Mohan}, title = {Analysis of sensor middleware using big data as a cloud computing utility}, journal = {Int. J. Inf. Commun. Technol.}, volume = {11}, number = {1}, pages = {62--80}, year = {2017}, url = {https://doi.org/10.1504/IJICT.2017.10006384}, doi = {10.1504/IJICT.2017.10006384}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijict/ChandrasekaranN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisp/VollalaBJR17, author = {Satyanarayana Vollala and B. Shameedha Begum and Amit D. Joshi and N. Ramasubramanian}, title = {Bit Forwarding 3-Bits Technique for Efficient Modular Exponentiation}, journal = {Int. J. Inf. Secur. Priv.}, volume = {11}, number = {2}, pages = {11--24}, year = {2017}, url = {https://doi.org/10.4018/IJISP.2017040102}, doi = {10.4018/IJISP.2017040102}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisp/VollalaBJR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnvo/ParthibanRRRR17, author = {N. Parthiban and Joe Ryan and Indra Rajasingh and R. Sundara Rajan and L. Nirmala Rani}, title = {Exact wirelength of embedding chord graph into tree-based architectures}, journal = {Int. J. Netw. Virtual Organisations}, volume = {17}, number = {1}, pages = {76--87}, year = {2017}, url = {https://doi.org/10.1504/IJNVO.2017.10004171}, doi = {10.1504/IJNVO.2017.10004171}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnvo/ParthibanRRRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/VollalaR17, author = {Satyanarayana Vollala and Natarajan Ramasubramanian}, title = {Energy efficient modular exponentiation for public-key cryptography based on bit forwarding techniques}, journal = {Inf. Process. Lett.}, volume = {119}, pages = {25--38}, year = {2017}, url = {https://doi.org/10.1016/j.ipl.2016.11.007}, doi = {10.1016/J.IPL.2016.11.007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/VollalaR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/NatarajanDRT17, author = {Nagarajan Natarajan and Inderjit S. Dhillon and Pradeep Ravikumar and Ambuj Tewari}, title = {Cost-Sensitive Learning with Noisy Labels}, journal = {J. Mach. Learn. Res.}, volume = {18}, pages = {155:1--155:33}, year = {2017}, url = {https://jmlr.org/papers/v18/15-226.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/NatarajanDRT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/SriraamR17, author = {Natarajan Sriraam and Shivarudhrappa Raghu}, title = {Classification of Focal and Non Focal Epileptic Seizures Using Multi-Features and {SVM} Classifier}, journal = {J. Medical Syst.}, volume = {41}, number = {10}, pages = {160:1--160:14}, year = {2017}, url = {https://doi.org/10.1007/s10916-017-0800-x}, doi = {10.1007/S10916-017-0800-X}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/SriraamR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/VollalaVGR17, author = {Satyanarayana Vollala and V. V. Varadhan and K. Geetha and N. Ramasubramanian}, title = {Design of {RSA} processor for concurrent cryptographic transformations}, journal = {Microelectron. J.}, volume = {63}, pages = {112--122}, year = {2017}, url = {https://doi.org/10.1016/j.mejo.2017.03.009}, doi = {10.1016/J.MEJO.2017.03.009}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/VollalaVGR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/VenkatachalamA17, author = {Natarajan Venkatachalam and R. Anitha}, title = {A multi-feature approach to detect Stegobot: a covert multimedia social network botnet}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6079--6096}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3555-3}, doi = {10.1007/S11042-016-3555-3}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/VenkatachalamA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChakrabartyABBC17, author = {Krishnendu Chakrabarty and Massimo Alioto and Bevan M. Baas and Chirn Chye Boon and Meng{-}Fan Chang and Naehyuck Chang and Yao{-}Wen Chang and Chip{-}Hong Chang and Shih{-}Chieh Chang and Poki Chen and Masud H. Chowdhury and Pasquale Corsonello and Ibrahim Abe M. Elfadel and Said Hamdioui and Masanori Hashimoto and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Rajiv V. Joshi and Tanay Karnik and Mehran Mozaffari Kermani and Chulwoo Kim and Tae{-}Hyoung Kim and Jaydeep P. Kulkarni and Eren Kursun and Erik Larsson and Hai (Helen) Li and Huawei Li and Patrick P. Mercier and Prabhat Mishra and Makoto Nagata and Arun S. Natarajan and Koji Nii and Partha Pratim Pande and Ioannis Savidis and Mingoo Seok and Sheldon X.{-}D. Tan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Miroslav N. Velev and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Stacey Weber Jackson}, title = {Editorial}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {1--20}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2638578}, doi = {10.1109/TVLSI.2016.2638578}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DasIDRN17, author = {Mayukh Das and Md. Rakibul Islam and Janardhan Rao Doppa and Dan Roth and Sriraam Natarajan}, title = {Active Preference Elicitation for Planning}, booktitle = {The Workshops of the The Thirty-First {AAAI} Conference on Artificial Intelligence, Saturday, February 4-9, 2017, San Francisco, California, {USA}}, series = {{AAAI} Technical Report}, volume = {{WS-17}}, publisher = {{AAAI} Press}, year = {2017}, url = {http://aaai.org/ocs/index.php/WS/AAAIW17/paper/view/15198}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DasIDRN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Narayan-ChenGDI17, author = {Anjali Narayan{-}Chen and Colin Graber and Mayukh Das and Md. Rakibul Islam and Soham Dan and Sriraam Natarajan and Janardhan Rao Doppa and Julia Hockenmaier and Martha Palmer and Dan Roth}, editor = {Mohit Bansal and Cynthia Matuszek and Jacob Andreas and Yoav Artzi and Yonatan Bisk}, title = {Towards Problem Solving Agents that Communicate and Learn}, booktitle = {Proceedings of the First Workshop on Language Grounding for Robotics, RoboNLP@ACL 2017, Vancouver, Canada, August 3, 2017}, pages = {95--103}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/w17-2812}, doi = {10.18653/V1/W17-2812}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Narayan-ChenGDI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/SKN17, author = {Revathi Soundiran and Thota K. Radhakrishnan and Sivakumaran Natarajan}, title = {Climate control in greenhouse using intelligent control algorithms}, booktitle = {2017 American Control Conference, {ACC} 2017, Seattle, WA, USA, May 24-26, 2017}, pages = {887--892}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/ACC.2017.7963065}, doi = {10.23919/ACC.2017.7963065}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/SKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Sankaranarayanan17, author = {S. Sankaranarayanan and Natarajan Sivakumaran and G. Swaminathan and Thota K. Radhakrishnan}, title = {Soft sensor based estimation of process parameters and states with Hybridized Grey Wolf Optimizer}, booktitle = {2017 American Control Conference, {ACC} 2017, Seattle, WA, USA, May 24-26, 2017}, pages = {1892--1897}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/ACC.2017.7963228}, doi = {10.23919/ACC.2017.7963228}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/Sankaranarayanan17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/CarrollMNSD17, author = {Robert J. Carroll and Joshua C. Mandel and Karthik Natarajan and Scott Sutherland and Joshua C. Denny}, title = {The Data and Research Center of the All of Us Research Program: Framework for a National Cohort Program and Research Opportunities}, booktitle = {{AMIA} 2017, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 4-8, 2017}, publisher = {{AMIA}}, year = {2017}, url = {https://knowledge.amia.org/65881-amiab-1.4254737/t002-1.4258887/f002-1.4258888/2730731-1.4259003/2730811-1.4259000}, timestamp = {Wed, 17 Apr 2024 11:47:24 +0200}, biburl = {https://dblp.org/rec/conf/amia/CarrollMNSD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asar/RawlsCSN17, author = {Stephen Rawls and Huaigu Cao and Ekraam Sabir and Prem Natarajan}, title = {Combining deep learning and language modeling for segmentation-free {OCR} from raw pixels}, booktitle = {1st International Workshop on Arabic Script Analysis and Recognition, {ASAR} 2017, Nancy, France, April 3-5, 2017}, pages = {119--123}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASAR.2017.8067772}, doi = {10.1109/ASAR.2017.8067772}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/asar/RawlsCSN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/RamananYGN17, author = {Nandini Ramanan and Shuo Yang and Shaun J. Grannis and Sriraam Natarajan}, editor = {Xiaohua Hu and Chi{-}Ren Shyu and Yana Bromberg and Jean Gao and Yang Gong and Dmitry Korkin and Illhoi Yoo and Huiru Jane Zheng}, title = {Discriminative boosted Bayes networks for learning multiple cardiovascular procedures}, booktitle = {2017 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2017, Kansas City, MO, USA, November 13-16, 2017}, pages = {870--873}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BIBM.2017.8217770}, doi = {10.1109/BIBM.2017.8217770}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/RamananYGN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/NatarajanPRBSC17, author = {Sriraam Natarajan and Annu Prabhakar and Nandini Ramanan and Anna N. Baglione and Katie A. Siek and Kay Connelly}, editor = {Paolo Bonato and Honggang Wang}, title = {Boosting for Postpartum Depression Prediction}, booktitle = {Proceedings of the Second {IEEE/ACM} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2017, Philadelphia, PA, USA, July 17-19, 2017}, pages = {232--240}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CHASE.2017.82}, doi = {10.1109/CHASE.2017.82}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chase/NatarajanPRBSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egovis/VasconcelosARRA17, author = {Pedro Arthur de Fernandes Vasconcelos and Wensttay de Sousa Alencar and Victor Hugo da Silva Ribeiro and Natarajan Ferreira Rodrigues and Fabio Gomes de Andrade}, editor = {Andrea Ko and Enrico Francesconi}, title = {Enabling Spatial Queries in Open Government Data Portals}, booktitle = {Electronic Government and the Information Systems Perspective - 6th International Conference, {EGOVIS} 2017, Lyon, France, August 28-31, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10441}, pages = {64--79}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64248-2\_6}, doi = {10.1007/978-3-319-64248-2\_6}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/egovis/VasconcelosARRA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-mobmu/MoralesEKNACRM17, author = {Jafet Morales and Rodrigo Escobar and Sahak Kaghyan and Girish Vaidyanathan Natarajan and David Akopian and Patricia Chalela and Amelie G. Ramirez and Alfred Mcalister}, editor = {David Akopian and Reiner Creutzburg}, title = {Two-Tier State-Machine Programming for Messaging Applications}, booktitle = {Mobile Devices and Multimedia: Enabling Technologies, Algorithms, and Applications 2017, Burlingame, CA, USA, January 29 - February 2, 2017}, pages = {155--163}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.6.MOBMU-315}, doi = {10.2352/ISSN.2470-1173.2017.6.MOBMU-315}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-mobmu/MoralesEKNACRM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/WuARN17, author = {Yue Wu and Wael Abd{-}Almageed and Stephen Rawls and Premkumar Natarajan}, title = {{EPAT:} Euclidean Perturbation Analysis and Transform - An Agnostic Data Adaptation Framework for Improving Facial Landmark Detectors}, booktitle = {12th {IEEE} International Conference on Automatic Face {\&} Gesture Recognition, {FG} 2017, Washington, DC, USA, May 30 - June 3, 2017}, pages = {222--229}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FG.2017.36}, doi = {10.1109/FG.2017.36}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgr/WuARN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/have/AmrithaJRB17, author = {Natarajan Amritha and Jose James and E. S. Rahul and Rao R. Bhavani}, title = {A tweezer haptic interface for training surface mount device assembly}, booktitle = {{IEEE} International Symposium on Haptic, Audio and Visual Environments and Games, {HAVE} 2017, Abu Dhabi, United Arab Emirates, October 22-23, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HAVE.2017.8240356}, doi = {10.1109/HAVE.2017.8240356}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/have/AmrithaJRB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WuN17, author = {Yue Wu and Prem Natarajan}, title = {Self-Organized Text Detection with Minimal Post-processing via Border Learning}, booktitle = {{IEEE} International Conference on Computer Vision, {ICCV} 2017, Venice, Italy, October 22-29, 2017}, pages = {5010--5019}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCV.2017.535}, doi = {10.1109/ICCV.2017.535}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/WuN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/CaoRN17, author = {Huaigu Cao and Stephen Rawls and Prem Natarajan}, title = {1990 {US} Census Form Recognition Using {CTC} Network, {WFST} Language Model, and Surname Correction}, booktitle = {14th {IAPR} International Conference on Document Analysis and Recognition, {ICDAR} 2017, Kyoto, Japan, November 9-15, 2017}, pages = {977--982}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICDAR.2017.163}, doi = {10.1109/ICDAR.2017.163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/CaoRN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/RawlsCKN17, author = {Stephen Rawls and Huaigu Cao and Senthil Kumar and Prem Natarajan}, title = {Combining Convolutional Neural Networks and LSTMs for Segmentation-Free {OCR}}, booktitle = {14th {IAPR} International Conference on Document Analysis and Recognition, {ICDAR} 2017, Kyoto, Japan, November 9-15, 2017}, pages = {155--160}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICDAR.2017.34}, doi = {10.1109/ICDAR.2017.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/RawlsCKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/SabirRN17, author = {Ekraam Sabir and Stephen Rawls and Prem Natarajan}, title = {Implicit Language Model in {LSTM} for {OCR}}, booktitle = {6th International Workshop on Multilingual OCR, 14th {IAPR} International Conference on Document Analysis and Recognition, MOCR@ICDAR 2017, Kyoto, Japan, November 9-15, 2017}, pages = {27--31}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICDAR.2017.361}, doi = {10.1109/ICDAR.2017.361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/SabirRN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iml/KarnanSk17, author = {Hemalatha Karnan and Natarajan Sivakumaran and M. Rajajeya kumar}, editor = {Hani Hamdan and Djallel Eddine Boubiche and Fanny Klett}, title = {Classification of ventricular arrhythmias using feature ranking score algorithm}, booktitle = {Proceedings of the 1st International Conference on Internet of Things and Machine Learning, {IML} 2017, Liverpool, United Kingdom, October 17-18, 2017}, pages = {54:1--54:7}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3109761.3109801}, doi = {10.1145/3109761.3109801}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iml/KarnanSk17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iml/VollalaSBR17, author = {Satyanarayana Vollala and Indrajeet S and B. Shameedha Begum and N. Ramasubramanian}, editor = {Hani Hamdan and Djallel Eddine Boubiche and Fanny Klett}, title = {Evaluation of password encrypted key exchange authentication techniques: design approach perspective: evaluation of {PAKE} protocol}, booktitle = {Proceedings of the 1st International Conference on Internet of Things and Machine Learning, {IML} 2017, Liverpool, United Kingdom, October 17-18, 2017}, pages = {16:1--16:9}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3109761.3109777}, doi = {10.1145/3109761.3109777}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iml/VollalaSBR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/RajasekaranNT17, author = {Siddharthan Rajasekaran and Ramkumar Natarajan and Jonathan D. Taylor}, title = {Towards planning and control of hybrid systems with limit cycle using {LQR} trees}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {5196--5203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206409}, doi = {10.1109/IROS.2017.8206409}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/RajasekaranNT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RamachandranNA17, author = {Ashwin Ramachandran and Arun Natarajan and Tejasvi Anand}, title = {29.4 {A} 16Gb/s 3.6pJ/b wireline transceiver with phase domain equalization scheme: Integrated pulse width modulation (iPWM) in 65nm {CMOS}}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {488--489}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870474}, doi = {10.1109/ISSCC.2017.7870474}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RamachandranNA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latincom/BarazidehNND17, author = {Reza Barazideh and Balasubramaniam Natarajan and Alexei V. Nikitin and Ruslan L. Davidchack}, title = {Performance of analog nonlinear filtering for impulsive noise mitigation in OFDM-based {PLC} systems}, booktitle = {9th {IEEE} Latin-American Conference on Communications, {LATINCOM} 2017, Guatemala City, Guatemala, November 8-10, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LATINCOM.2017.8240158}, doi = {10.1109/LATINCOM.2017.8240158}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/latincom/BarazidehNND17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/KumarNRBGC17, author = {Tushar Kumar and Aravind Natarajan and Wenjia Ruan and Mario Badr and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Calin Cascaval}, editor = {Lawrence Rauchwerger}, title = {Abstract Representation of Shared Data for Heterogeneous Computing}, booktitle = {Languages and Compilers for Parallel Computing - 30th International Workshop, {LCPC} 2017, College Station, TX, USA, October 11-13, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11403}, pages = {145--162}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-35225-7\_11}, doi = {10.1007/978-3-030-35225-7\_11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/KumarNRBGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WuAN17, author = {Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, editor = {Qiong Liu and Rainer Lienhart and Haohong Wang and Sheng{-}Wei "Kuan{-}Ta" Chen and Susanne Boll and Yi{-}Ping Phoebe Chen and Gerald Friedland and Jia Li and Shuicheng Yan}, title = {Deep Matching and Validation Network: An End-to-End Solution to Constrained Image Splicing Localization and Detection}, booktitle = {Proceedings of the 2017 {ACM} on Multimedia Conference, {MM} 2017, Mountain View, CA, USA, October 23-27, 2017}, pages = {1480--1502}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123266.3123411}, doi = {10.1145/3123266.3123411}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/WuAN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/WangZKKLN17, author = {Guangxin Wang and Kai Zhan and Telesphor Kamgaing and Rahul Khanna and Huaping Liu and Arun Natarajan}, title = {Measurement-based channel modeling for mmWave wireless links in enclosed server platforms}, booktitle = {2017 {IEEE} Radio and Wireless Symposium, {RWS} 2017, Phoenix, AZ, USA, January 15-18, 2017}, pages = {141--143}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RWS.2017.7885969}, doi = {10.1109/RWS.2017.7885969}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rws/WangZKKLN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/BrahmacharyNKSN17, author = {Shuvayan Brahmachary and Ganesh Natarajan and Vinayak Kulkarni and Niranjan Sahoo and Soumya Ranjan Nanda}, editor = {Jagdish Chand Bansal and Kedar Nath Das and Atulya Nagar and Kusum Deep and Akshay Kumar Ojha}, title = {Application of Greedy and Heuristic Algorithm-Based Optimisation Methods Towards Aerodynamic Shape Optimisation}, booktitle = {Soft Computing for Problem Solving - SocProS 2017, Volume 1, Bhubaneswar, India, December 23-24, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {816}, pages = {937--948}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-1592-3\_75}, doi = {10.1007/978-981-13-1592-3\_75}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpros/BrahmacharyNKSN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/RohitDS17, author = {G. Rohit and Ekta Gautam Dharamshi and Natarajan Subramanyam}, editor = {Jagdish Chand Bansal and Kedar Nath Das and Atulya Nagar and Kusum Deep and Akshay Kumar Ojha}, title = {Approaches to Question Answering Using {LSTM} and Memory Networks}, booktitle = {Soft Computing for Problem Solving - SocProS 2017, Volume 1, Bhubaneswar, India, December 23-24, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {816}, pages = {199--209}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-1592-3\_15}, doi = {10.1007/978-981-13-1592-3\_15}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpros/RohitDS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/RajendranSN17, author = {Jeyavijayan (JV) Rajendran and Peilin Song and Suriya Natarajan}, title = {Innovative practices session 3C hardware security}, booktitle = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA, April 9-12, 2017}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/VTS.2017.7928931}, doi = {10.1109/VTS.2017.7928931}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/RajendranSN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JiangLPR17, author = {Xiaofang Jiang and Qinghui Liu and Natarajan Parthiban and R. Sundara Rajan}, title = {A note on minimum linear arrangement for {BC} graphs}, journal = {CoRR}, volume = {abs/1703.01149}, year = {2017}, url = {http://arxiv.org/abs/1703.01149}, eprinttype = {arXiv}, eprint = {1703.01149}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JiangLPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SekharanNR17, author = {Sri Ramana Sekharan and Ramkumar Natarajan and Siddharthan Rajasekaran}, title = {Transfer from Multiple Linear Predictive State Representations {(PSR)}}, journal = {CoRR}, volume = {abs/1702.02184}, year = {2017}, url = {http://arxiv.org/abs/1702.02184}, eprinttype = {arXiv}, eprint = {1702.02184}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SekharanNR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SinghN17, author = {Abhishek Kr Singh and Raja Natarajan}, title = {An Outline of Separation Logic}, journal = {CoRR}, volume = {abs/1703.10994}, year = {2017}, url = {http://arxiv.org/abs/1703.10994}, eprinttype = {arXiv}, eprint = {1703.10994}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SinghN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuAN17, author = {Yue Wu and Wael Abd{-}Almageed and Prem Natarajan}, title = {Deep Matching and Validation Network - An End-to-End Solution to Constrained Image Splicing Localization and Detection}, journal = {CoRR}, volume = {abs/1705.09765}, year = {2017}, url = {http://arxiv.org/abs/1705.09765}, eprinttype = {arXiv}, eprint = {1705.09765}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuAN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-05976, author = {Rahul Wadbude and Vivek Gupta and Piyush Rai and Nagarajan Natarajan and Harish Karnick}, title = {Leveraging Distributional Semantics for Multi-Label Learning}, journal = {CoRR}, volume = {abs/1709.05976}, year = {2017}, url = {http://arxiv.org/abs/1709.05976}, eprinttype = {arXiv}, eprint = {1709.05976}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-05976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-04063, author = {Ramkumar Natarajan and Siddharthan Rajasekaran and Jonathan D. Taylor}, title = {Towards Planning and Control of Hybrid Systems with Limit Cycle using {LQR} Trees}, journal = {CoRR}, volume = {abs/1711.04063}, year = {2017}, url = {http://arxiv.org/abs/1711.04063}, eprinttype = {arXiv}, eprint = {1711.04063}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-04063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-07461, author = {Ayush Jaiswal and Wael Abd{-}Almageed and Yue Wu and Premkumar Natarajan}, title = {Bidirectional Conditional Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1711.07461}, year = {2017}, url = {http://arxiv.org/abs/1711.07461}, eprinttype = {arXiv}, eprint = {1711.07461}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-07461.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-07567, author = {Paul Beame and Sariel Har{-}Peled and Sivaramakrishnan Natarajan Ramamoorthy and Cyrus Rashtchian and Makrand Sinha}, title = {Edge Estimation with Independent Set Oracles}, journal = {CoRR}, volume = {abs/1711.07567}, year = {2017}, url = {http://arxiv.org/abs/1711.07567}, eprinttype = {arXiv}, eprint = {1711.07567}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-07567.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/RamamoorthyR17, author = {Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao}, title = {Non-Adaptive Data Structure Lower Bounds for Median and Predecessor Search from Sunflowers}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR17-040}}, year = {2017}, url = {https://eccc.weizmann.ac.il/report/2017/040}, eprinttype = {ECCC}, eprint = {TR17-040}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/RamamoorthyR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc8033, author = {Rong Pan and Preethi Natarajan and Fred Baker and Greg White}, title = {Proportional Integral Controller Enhanced {(PIE):} {A} Lightweight Control Scheme to Address the Bufferbloat Problem}, journal = {{RFC}}, volume = {8033}, pages = {1--30}, year = {2017}, url = {https://doi.org/10.17487/RFC8033}, doi = {10.17487/RFC8033}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rfc/rfc8033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/synthesis/2016Raedt, author = {Luc De Raedt and Kristian Kersting and Sriraam Natarajan and David Poole}, title = {Statistical Relational Artificial Intelligence: Logic, Probability, and Computation}, series = {Synthesis Lectures on Artificial Intelligence and Machine Learning}, publisher = {Morgan {\&} Claypool Publishers}, year = {2016}, url = {https://doi.org/10.2200/S00692ED1V01Y201601AIM032}, doi = {10.2200/S00692ED1V01Y201601AIM032}, isbn = {978-3-031-00022-5}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/synthesis/2016Raedt.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/WangAAABBCCCCCD16, author = {Qinghua Wang and Shabbir Syed{-}Abdul and Lara Almeida and Sophia Ananiadou and Yalbi I. Balderas{-}Mart{\'{\i}}nez and Riza Batista{-}Navarro and David Campos and Lucy Chilton and Hui{-}Jou Chou and Gabriela Contreras and Laurel Cooper and Hong{-}Jie Dai and Barbra Ferrell and Juliane Fluck and Socorro Gama{-}Castro and Nancy George and Georgios V. Gkoutos and Afroza Khanam Irin and Lars Juhl Jensen and Silvia Jimenez and Toni Rose Jue and Ingrid M. Keseler and Sumit Madan and S{\'{e}}rgio Matos and Peter McQuilton and Marija Milacic and Matthew E. Mort and Jeyakumar Natarajan and Evangelos Pafilis and Emiliano Pereira and Shruti Rao and Fabio Rinaldi and Karen Rothfels and David Salgado and Raquel M. Silva and Onkar Singh and Raymund Stefancsik and Chu{-}Hsien Su and Suresh Subramani and Hamsa D. Tadepally and Loukia Tsaprouni and Nicole A. Vasilevsky and Xiaodong Wang and Andrew Chatr{-}aryamontri and Stanley J. F. Laulederkind and Sherri Matis{-}Mitchell and Johanna R. McEntyre and Sandra E. Orchard and Sangya Pundir and Raul Rodriguez{-}Esteban and Kimberly Van Auken and Zhiyong Lu and Mary L. Schaeffer and Cathy H. Wu and Lynette Hirschman and Cecilia N. Arighi}, title = {Overview of the interactive task in BioCreative {V}}, journal = {Database J. Biol. Databases Curation}, volume = {2016}, year = {2016}, url = {https://doi.org/10.1093/database/baw119}, doi = {10.1093/DATABASE/BAW119}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/WangAAABBCCCCCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/RodriguezPPLHIJ16, author = {Nicolas Rodriguez and Jean{-}Baptiste Pettit and Piero Dalle Pezze and Lu Li and Arnaud Henry and Martijn P. van Iersel and Ga{\"{e}}l Jalowicki and Martina Kutmon and Kedar Nath Natarajan and David Tolnay and Melanie I. Stefan and Chris T. A. Evelo and Nicolas Le Nov{\`{e}}re}, title = {The systems biology format converter}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {154}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1000-2}, doi = {10.1186/S12859-016-1000-2}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/RodriguezPPLHIJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ShivashankarPBC16, author = {Nithin Shivashankar and Sonali Patil and Amrisha Bhosle and Nagasuma Chandra and Vijay Natarajan}, title = {{MS3ALIGN:} an efficient molecular surface aligner using the topology of surface curvature}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {26}, year = {2016}, url = {https://doi.org/10.1186/s12859-015-0874-8}, doi = {10.1186/S12859-015-0874-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ShivashankarPBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/NatarajanSP16, author = {Rajathi Natarajan and Jayashree Subramanian and Elpiniki I. Papageorgiou}, title = {Hybrid learning of fuzzy cognitive maps for sugarcane yield classification}, journal = {Comput. Electron. Agric.}, volume = {127}, pages = {147--157}, year = {2016}, url = {https://doi.org/10.1016/j.compag.2016.05.016}, doi = {10.1016/J.COMPAG.2016.05.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/NatarajanSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/RajasinghMPJR16, author = {Indra Rajasingh and Paul D. Manuel and N. Parthiban and D. Azubha Jemilet and R. Sundara Rajan}, title = {Transmission in Butterfly Networks}, journal = {Comput. J.}, volume = {59}, number = {8}, pages = {1174--1179}, year = {2016}, url = {https://doi.org/10.1093/comjnl/bxv127}, doi = {10.1093/COMJNL/BXV127}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/RajasinghMPJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChintaluriNNR16, author = {Ashwin Chintaluri and Helia Naeimi and Suriyaprakash Natarajan and Arijit Raychowdhury}, title = {Analysis of Defects and Variations in Embedded Spin Transfer Torque {(STT)} {MRAM} Arrays}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {6}, number = {3}, pages = {319--329}, year = {2016}, url = {https://doi.org/10.1109/JETCAS.2016.2547779}, doi = {10.1109/JETCAS.2016.2547779}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChintaluriNNR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/RoseveareAN16, author = {Nicholas J. Roseveare and S. M. Shafiul Alam and Balasubramaniam Natarajan}, title = {Distribution of decentralized optimization convergence bounds in energy harvesting wireless sensor networks}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {27}, number = {11}, pages = {1580--1592}, year = {2016}, url = {https://doi.org/10.1002/ett.3099}, doi = {10.1002/ETT.3099}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/RoseveareAN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/NatarajanBFHMR16, author = {R. Natarajan and Robert C. Baker and R. M. Ford and Mary E. Helander and Janusz Marecki and B. K. Ray}, title = {Mixed-effects models and tolerance limits for mycotoxin measurements in food-stock lots}, journal = {{IBM} J. Res. Dev.}, volume = {60}, number = {5/6}, pages = {4:1--4:11}, year = {2016}, url = {https://doi.org/10.1147/JRD.2016.2586238}, doi = {10.1147/JRD.2016.2586238}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/NatarajanBFHMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/WitternMLVSRJN16, author = {Erik Wittern and Vinod Muthusamy and Jim Laredo and Maja Vukovic and Aleksander Slominski and Shriram Rajagopalan and Hani Jamjoom and Arjun Natarajan}, title = {{API} Harmony: Graph-based search and selection of APIs in the cloud}, journal = {{IBM} J. Res. Dev.}, volume = {60}, number = {2-3}, year = {2016}, url = {https://doi.org/10.1147/JRD.2016.2518818}, doi = {10.1147/JRD.2016.2518818}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/WitternMLVSRJN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/BalamuruganNP16, author = {Balamurugan Rengeswaran and A. M. Natarajan and K. Premalatha}, title = {A modified harmony search method for biclustering microarray gene expression data}, journal = {Int. J. Data Min. Bioinform.}, volume = {16}, number = {4}, pages = {269--289}, year = {2016}, url = {https://doi.org/10.1504/IJDMB.2016.10003170}, doi = {10.1504/IJDMB.2016.10003170}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/BalamuruganNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebr/JagannathanBN16, author = {Veeraraghavan Jagannathan and Senthilarasu Balasubramanian and Thamaraiselvan Natarajan}, title = {A Modified Approach For Information Systems Success In The Context Of Internet Banking Using Structural Equation Modelling with {R:} An Empirical Study From India}, journal = {Int. J. {E} Bus. Res.}, volume = {12}, number = {3}, pages = {26--43}, year = {2016}, url = {https://doi.org/10.4018/IJEBR.2016070103}, doi = {10.4018/IJEBR.2016070103}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijebr/JagannathanBN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/JeyanthiMV16, author = {S. Jeyanthi and N. Uma Maheswari and R. Venkatesh}, title = {An Efficient Automatic Overlapped Fingerprint Identification and Recognition Using {ANFIS} Classifier}, journal = {Int. J. Fuzzy Syst.}, volume = {18}, number = {3}, pages = {478--491}, year = {2016}, url = {https://doi.org/10.1007/s40815-015-0053-0}, doi = {10.1007/S40815-015-0053-0}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/JeyanthiMV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijict/BalamuruganNP16, author = {Balamurugan Rengeswaran and A. M. Natarajan and K. Premalatha}, title = {Biclustering microarray gene expression data using modified Nelder-Mead method}, journal = {Int. J. Inf. Commun. Technol.}, volume = {9}, number = {1}, pages = {43--63}, year = {2016}, url = {https://doi.org/10.1504/IJICT.2016.077686}, doi = {10.1504/IJICT.2016.077686}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijict/BalamuruganNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscires/VelmuruganR16, author = {Chandran Velmurugan and Natarajan Radhakrishnan}, title = {Malaysian Journal of Library and Information Science: {A} Scientometric Profile}, journal = {J. Sci. Res.}, volume = {5}, number = {1}, pages = {62--70}, year = {2016}, url = {https://doi.org/10.5530/jscires.5.1.9}, doi = {10.5530/JSCIRES.5.1.9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jscires/VelmuruganR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paapp/NatarajanR16, author = {Dhanalakshmi Natarajan and Alli P. Rajendran}, title = {Efficient energy conservation in {MANET} using Energy Conserving Advanced Optimised Link State Routing model}, journal = {Int. J. Parallel Emergent Distributed Syst.}, volume = {31}, number = {5}, pages = {469--480}, year = {2016}, url = {https://doi.org/10.1080/17445760.2015.1103850}, doi = {10.1080/17445760.2015.1103850}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/paapp/NatarajanR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/VollalaGR16, author = {Satyanarayana Vollala and Krishnan Geetha and Natarajan Ramasubramanian}, title = {Efficient modular exponential algorithms compatible with hardware implementation of public-key cryptography}, journal = {Secur. Commun. Networks}, volume = {9}, number = {16}, pages = {3105--3115}, year = {2016}, url = {https://doi.org/10.1002/sec.1511}, doi = {10.1002/SEC.1511}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/VollalaGR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KarthickLKNS16, author = {Subbiah Karthick and Hanseung Lee and Seung{-}Jun Kwon and Rethinam Natarajan and Velu Saraswathy}, title = {Standardization, Calibration, and Evaluation of Tantalum-Nano rGO-SnO\({}_{\mbox{2}}\) Composite as a Possible Candidate Material in Humidity Sensors}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2079}, year = {2016}, url = {https://doi.org/10.3390/s16122079}, doi = {10.3390/S16122079}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KarthickLKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/SanatkarWNSG16, author = {Mohammad Reza Sanatkar and Warren N. White and Balasubramaniam Natarajan and Caterina M. Scoglio and Karen A. Garrett}, title = {Epidemic Threshold of an {SIS} Model in Dynamic Switching Networks}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {46}, number = {3}, pages = {345--355}, year = {2016}, url = {https://doi.org/10.1109/TSMC.2015.2448061}, doi = {10.1109/TSMC.2015.2448061}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/SanatkarWNSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ShivashankarPNW16, author = {Nithin Shivashankar and Pratyush Pranav and Vijay Natarajan and Rien van de Weygaert and E. G. Patrick Bos and Steven Rieder}, title = {Felix: {A} Topology Based Framework for Visual Exploration of Cosmic Filaments}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {22}, number = {6}, pages = {1745--1759}, year = {2016}, url = {https://doi.org/10.1109/TVCG.2015.2452919}, doi = {10.1109/TVCG.2015.2452919}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/ShivashankarPNW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LakshmiBS16, author = {N. V. S. Sree Rathna Lakshmi and N. Bhalaji and B. Sivakumar}, title = {On the Construction of QoS Based Overlay Architecture for Wireless Local Area Network}, journal = {Wirel. Pers. Commun.}, volume = {90}, number = {2}, pages = {817--829}, year = {2016}, url = {https://doi.org/10.1007/s11277-016-3225-9}, doi = {10.1007/S11277-016-3225-9}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LakshmiBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmidc/UnnikrishnanAMB16, author = {R. Unnikrishnan and Natarajan Amritha and Alexander Muir and Rao R. Bhavani}, editor = {Janet C. Read and Phil Stenton}, title = {Of Elephants and Nested Loops: How to Introduce Computing to Youth in Rural India}, booktitle = {Proceedings of the The 15th International Conference on Interaction Design and Children, {IDC} '16, Manchester, United Kingdom, June 21-24, 2016}, pages = {137--146}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2930674.2930678}, doi = {10.1145/2930674.2930678}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acmidc/UnnikrishnanAMB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/MasiRMN16, author = {Iacopo Masi and Stephen Rawls and G{\'{e}}rard G. Medioni and Prem Natarajan}, title = {Pose-Aware Face Recognition in the Wild}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {4838--4846}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.523}, doi = {10.1109/CVPR.2016.523}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/MasiRMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WarrenPTNCFBXPK16, author = {Steve Warren and Punit Prakash and David E. Thompson and Bala Natarajan and Charles Carlson and Kim R. Fowler and Ed Brokesh and Jack Xin and Wayne Piersel and Janine Kesterson and Steve Stoffregen}, title = {Design projects motivated and informed by the needs of severely disabled autistic children}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {3015--3018}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591364}, doi = {10.1109/EMBC.2016.7591364}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/WarrenPTNCFBXPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/financecom/BehnazNRP16, author = {Ali Behnaz and Aarthi Natarajan and Fethi A. Rabhi and Maurice Peat}, editor = {Stefan Feuerriegel and Dirk Neumann}, title = {A Semantic-Based Analytics Architecture and Its Application to Commodity Pricing}, booktitle = {Enterprise Applications, Markets and Services in the Finance Industry - 8th International Workshop, FinanceCom 2016, Frankfurt, Germany, December 8, 2016, Revised Papers}, series = {Lecture Notes in Business Information Processing}, volume = {276}, pages = {17--31}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-52764-2\_2}, doi = {10.1007/978-3-319-52764-2\_2}, timestamp = {Thu, 14 Oct 2021 10:20:58 +0200}, biburl = {https://dblp.org/rec/conf/financecom/BehnazNRP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/NatarajanAGMGM16, author = {Annamalai Natarajan and Gustavo Angarita and Edward Gaiser and Robert Malison and Deepak Ganesan and Benjamin M. Marlin}, editor = {Paul Lukowicz and Antonio Kr{\"{u}}ger and Andreas Bulling and Youn{-}Kyung Lim and Shwetak N. Patel}, title = {Domain adaptation methods for improving lab-to-field generalization of cocaine detection using wearable {ECG}}, booktitle = {Proceedings of the 2016 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp 2016, Heidelberg, Germany, September 12-16, 2016}, pages = {875--885}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2971648.2971666}, doi = {10.1145/2971648.2971666}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/NatarajanAGMGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/AmrithaMNUHRB16, author = {Natarajan Amritha and Menon M. Mahima and K. Namitha and R. Unnikrishnan and Mohan T. Harish and M. D. Sankaran Ravi and Rao R. Bhavani}, title = {Design and development of balance training platform and games for people with balance impairments}, booktitle = {2016 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2016, Jaipur, India, September 21-24, 2016}, pages = {960--966}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICACCI.2016.7732169}, doi = {10.1109/ICACCI.2016.7732169}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/AmrithaMNUHRB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/AswathiANABB16, author = {P. Aswathi and Natarajan Amritha and K. Namitha and Nagarajan Akshay and Menon M. Balu and Rao R. Bhavani}, title = {Bridging the skill gap using vocational training simulators: Validating skill assessment metrics}, booktitle = {2016 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2016, Jaipur, India, September 21-24, 2016}, pages = {645--651}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICACCI.2016.7732119}, doi = {10.1109/ICACCI.2016.7732119}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icacci/AswathiANABB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/PalaiahPAN16, author = {Aishwarya Palaiah and Akshata H. Prabhu and Reetika Agrawal and S. Natarajan}, title = {Clustering using Cuckoo search levy flight}, booktitle = {2016 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2016, Jaipur, India, September 21-24, 2016}, pages = {567--572}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICACCI.2016.7732106}, doi = {10.1109/ICACCI.2016.7732106}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/PalaiahPAN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/NatarajanR16, author = {Dheepikaa Natarajan and Sayan Ranu}, editor = {Francesco Bonchi and Josep Domingo{-}Ferrer and Ricardo Baeza{-}Yates and Zhi{-}Hua Zhou and Xindong Wu}, title = {A Scalable and Generic Framework to Mine Top-k Representative Subgraph Patterns}, booktitle = {{IEEE} 16th International Conference on Data Mining, {ICDM} 2016, December 12-15, 2016, Barcelona, Spain}, pages = {370--379}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDM.2016.0048}, doi = {10.1109/ICDM.2016.0048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/NatarajanR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icia2/PriyaJR16, author = {Bhukya Krishna Priya and Amit D. Joshi and N. Ramasubramanian}, editor = {V. Akila and N. Sivakumar and K. Saruladha and G. Zayaraz and E. Ilavarasan}, title = {A Survey on Performance of On-Chip Cache for Multi-core Architectures}, booktitle = {Proceedings of the International Conference on Informatics and Analytics, {ICIA} 2016, Pondicherry, India, August 25-26, 2016}, pages = {35:1--35:7}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2980258.2980336}, doi = {10.1145/2980258.2980336}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icia2/PriyaJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WuNRA16, author = {Yue Wu and Premkumar Natarajan and Stephen Rawls and Wael Abd{-}Almageed}, title = {Learning document image binarization from data}, booktitle = {2016 {IEEE} International Conference on Image Processing, {ICIP} 2016, Phoenix, AZ, USA, September 25-28, 2016}, pages = {3763--3767}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIP.2016.7533063}, doi = {10.1109/ICIP.2016.7533063}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/WuNRA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/NatarajanKRD16, author = {Nagarajan Natarajan and Oluwasanmi Koyejo and Pradeep Ravikumar and Inderjit S. Dhillon}, editor = {Maria{-}Florina Balcan and Kilian Q. Weinberger}, title = {Optimal Classification with Multivariate Losses}, booktitle = {Proceedings of the 33nd International Conference on Machine Learning, {ICML} 2016, New York City, NY, USA, June 19-24, 2016}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {48}, pages = {1530--1538}, publisher = {JMLR.org}, year = {2016}, url = {http://proceedings.mlr.press/v48/natarajan16.html}, timestamp = {Wed, 29 May 2019 08:41:46 +0200}, biburl = {https://dblp.org/rec/conf/icml/NatarajanKRD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/WuARN16, author = {Yue Wu and Wael Abd{-}Almageed and Stephen Rawls and Prem Natarajan}, title = {Computationally efficient template-based face recognition}, booktitle = {23rd International Conference on Pattern Recognition, {ICPR} 2016, Canc{\'{u}}n, Mexico, December 4-8, 2016}, pages = {1424--1429}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICPR.2016.7899837}, doi = {10.1109/ICPR.2016.7899837}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/WuARN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/NatarajanZN16, author = {Radhakrishnan Natarajan and Pouria Zand and Majid Nabi}, title = {Analysis of coexistence between {IEEE} 802.15.4, {BLE} and {IEEE} 802.11 in the 2.4 GHz {ISM} band}, booktitle = {{IECON} 2016 - 42nd Annual Conference of the {IEEE} Industrial Electronics Society, Florence, Italy, October 23-26, 2016}, pages = {6025--6032}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IECON.2016.7793984}, doi = {10.1109/IECON.2016.7793984}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/NatarajanZN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ilp/OdomKKN16, author = {Phillip Odom and Raksha Kumaraswamy and Kristian Kersting and Sriraam Natarajan}, editor = {James Cussens and Alessandra Russo}, title = {Learning Through Advice-Seeking via Transfer}, booktitle = {Inductive Logic Programming - 26th International Conference, {ILP} 2016, London, UK, September 4-6, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10326}, pages = {40--51}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-63342-8\_4}, doi = {10.1007/978-3-319-63342-8\_4}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/ilp/OdomKKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indiaSE/PatwariBCN16, author = {Puneet Patwari and Amar Banerjee and Subhrojyoti Roy Chaudhuri and Swaminathan Natarajan}, editor = {Santonu Sarkar and Ashish Sureka and Domenico Cotroneo and Nishant Sinha and Vibha Singhal Sinha and Radhika Venkatasubramanyam and Padmaja Joshi and R. D. Naik and Pushpendra Singh and JayPrakash Lalchandani}, title = {Learning's from Developing a Domain Specific Engineering Environment for Control Systems}, booktitle = {Proceedings of the 9th India Software Engineering Conference, Goa, India, February 18-20, 2016}, pages = {177--183}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2856636.2856653}, doi = {10.1145/2856636.2856653}, timestamp = {Thu, 28 Mar 2019 11:58:08 +0100}, biburl = {https://dblp.org/rec/conf/indiaSE/PatwariBCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isse2/PatwariCBNP16, author = {Puneet Patwari and Subhrojyoti Roy Chaudhuri and Amar Banerjee and Swaminathan Natarajan and Shreya Pandey}, title = {A complementary domain specific design environment aiding SysML}, booktitle = {{IEEE} International Symposium on Systems Engineering, {ISSE} 2016, Edinburgh, United Kingdom, October 3-5, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SysEng.2016.7753164}, doi = {10.1109/SYSENG.2016.7753164}, timestamp = {Thu, 04 Nov 2021 10:21:44 +0100}, biburl = {https://dblp.org/rec/conf/isse2/PatwariCBNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/syscon/RoseveareAN16, author = {Nicholas J. Roseveare and S. M. Shafiul Alam and Balasubramaniam Natarajan}, title = {Bounds on decentralized concave optimization in energy harvesting wireless sensor networks}, booktitle = {Annual {IEEE} Systems Conference, SysCon 2016, Orlando, FL, USA, April 18-21, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SYSCON.2016.7490592}, doi = {10.1109/SYSCON.2016.7490592}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/syscon/RoseveareAN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/GulatiNKA16, author = {Shalini Gulati and Balamurali Natarajan and Suresh Kalyanasundaram and Rajeev Agrawal}, title = {Performance Analysis of Centralized {RAN} Deployment with Non-Ideal Fronthaul in LTE-Advanced Networks}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VTCSpring.2016.7504400}, doi = {10.1109/VTCSPRING.2016.7504400}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/GulatiNKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/GuptaKNS16, author = {Richa Gupta and Suresh Kalyanasundaram and Balamurali Natarajan and Moushumi Sen}, title = {Performance Analysis of Enhanced Dynamic Point Selection CoMP Scheme for Heterogeneous Networks}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VTCSpring.2016.7504405}, doi = {10.1109/VTCSPRING.2016.7504405}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/GuptaKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WangBNANCS16, author = {Ran Wang and Bonita Bhaskaran and Karthikeyan Natarajan and Ayub Abdollahian and Kaushik Narayanun and Krishnendu Chakrabarty and Amit Sanghani}, title = {A programmable method for low-power scan shift in SoC integrated circuits}, booktitle = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA, April 25-27, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VTS.2016.7477289}, doi = {10.1109/VTS.2016.7477289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/WangBNANCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/Abd-AlmageedWRH16, author = {Wael Abd{-}Almageed and Yue Wu and Stephen Rawls and Shai Harel and Tal Hassner and Iacopo Masi and Jongmoo Choi and Jatuporn Toy Leksut and Jungyeon Kim and Prem Natarajan and Ram Nevatia and G{\'{e}}rard G. Medioni}, title = {Face recognition using deep multi-pose representations}, booktitle = {2016 {IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2016, Lake Placid, NY, USA, March 7-10, 2016}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WACV.2016.7477555}, doi = {10.1109/WACV.2016.7477555}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/Abd-AlmageedWRH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/NguyenANM16, author = {Thai Nguyen and Roy J. Adams and Annamalai Natarajan and Benjamin M. Marlin}, title = {Parsing wireless electrocardiogram signals with context free grammar conditional random fields}, booktitle = {2016 {IEEE} Wireless Health, {WH} 2016, Bethesda, MD, USA, October 25-27, 2016}, pages = {149--156}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WH.2016.7764570}, doi = {10.1109/WH.2016.7764570}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wh/NguyenANM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisnet/0007RCN16, author = {Jian Kang and Sujaya Rao and Patrick Chiang and Arun Natarajan}, title = {Area-constrained wirelessly-powered {UWB} SoC design for small insect localization}, booktitle = {{IEEE} Topical Conference on Wireless Sensors and Sensor Networks, WiSNet 2016, Austin, TX, USA, January 24-27, 2016}, pages = {18--20}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WISNET.2016.7444310}, doi = {10.1109/WISNET.2016.7444310}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisnet/0007RCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/16/SridharamurthyMDPVN16, author = {Raghavendra Sridharamurthy and Talha Bin Masood and Harish Doraiswamy and Siddharth Patel and Raghavan Varadarajan and Vijay Natarajan}, editor = {Lars Linsen and Bernd Hamann and Hans{-}Christian Hege}, title = {Extraction of Robust Voids and Pockets in Proteins}, booktitle = {Visualization in Medicine and Life Sciences III, Towards Making an Impact}, series = {Mathematics and Visualization}, pages = {329--349}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-24523-2\_15}, doi = {10.1007/978-3-319-24523-2\_15}, timestamp = {Wed, 08 Feb 2023 10:32:17 +0100}, biburl = {https://dblp.org/rec/books/sp/16/SridharamurthyMDPVN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AbdAlmageedWRHH16, author = {Wael Abd{-}Almageed and Yue Wu and Stephen Rawls and Shai Harel and Tal Hassner and Iacopo Masi and Jongmoo Choi and Jatuporn Toy Leksut and Jungyeon Kim and Prem Natarajan and Ram Nevatia and G{\'{e}}rard G. Medioni}, title = {Face Recognition Using Deep Multi-Pose Representations}, journal = {CoRR}, volume = {abs/1603.07388}, year = {2016}, url = {http://arxiv.org/abs/1603.07388}, eprinttype = {arXiv}, eprint = {1603.07388}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AbdAlmageedWRHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MenonRN16, author = {Aditya Krishna Menon and Brendan van Rooyen and Nagarajan Natarajan}, title = {Learning from Binary Labels with Instance-Dependent Corruption}, journal = {CoRR}, volume = {abs/1605.00751}, year = {2016}, url = {http://arxiv.org/abs/1605.00751}, eprinttype = {arXiv}, eprint = {1605.00751}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MenonRN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/RathodMN16, author = {Abhishek Rathod and Talha Bin Masood and Vijay Natarajan}, title = {Approximation Algorithms for Max-Morse Matching}, journal = {CoRR}, volume = {abs/1604.04707}, year = {2016}, url = {http://arxiv.org/abs/1604.04707}, eprinttype = {arXiv}, eprint = {1604.04707}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/RathodMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/RamamoorthyR16, author = {Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao}, title = {New Randomized Data Structure Lower Bounds for Dynamic Graph Connectivity}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR16-167}}, year = {2016}, url = {https://eccc.weizmann.ac.il/report/2016/167}, eprinttype = {ECCC}, eprint = {TR16-167}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/RamamoorthyR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc7928, author = {Nicolas Kuhn and Preethi Natarajan and Naeem Khademi and David Ros}, title = {Characterization Guidelines for Active Queue Management {(AQM)}}, journal = {{RFC}}, volume = {7928}, pages = {1--37}, year = {2016}, url = {https://doi.org/10.17487/RFC7928}, doi = {10.17487/RFC7928}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rfc/rfc7928.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aai/BalamuruganNP15, author = {Balamurugan Rengeswaran and A. M. Natarajan and K. Premalatha}, title = {Stellar-Mass Black Hole Optimization for Biclustering Microarray Gene Expression Data}, journal = {Appl. Artif. Intell.}, volume = {29}, number = {4}, pages = {353--381}, year = {2015}, url = {https://doi.org/10.1080/08839514.2015.1016391}, doi = {10.1080/08839514.2015.1016391}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aai/BalamuruganNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/AlbrechtBBBCDEF15, author = {Stefano V. Albrecht and Andr{\'{e}} da Motta Salles Barreto and Darius Braziunas and David L. Buckeridge and Heriberto Cuay{\'{a}}huitl and Nina Dethlefs and Markus Endres and Amir{-}massoud Farahmand and Mark Fox and Lutz Frommberger and Sam Ganzfried and Yolanda Gil and S{\'{e}}bastien Guillet and Lawrence E. Hunter and Arnav Jhala and Kristian Kersting and George Dimitri Konidaris and Freddy L{\'{e}}cu{\'{e}} and Sheila A. McIlraith and Sriraam Natarajan and Zeinab Noorian and David Poole and R{\'{e}}mi Ronfard and Alessandro Saffiotti and Arash Shaban{-}Nejad and Biplav Srivastava and Gerald Tesauro and Rosario Uceda{-}Sosa and Guy Van den Broeck and Martijn van Otterlo and Byron C. Wallace and Paul Weng and Jenna Wiens and Jie Zhang}, title = {Reports of the {AAAI} 2014 Conference Workshops}, journal = {{AI} Mag.}, volume = {36}, number = {1}, pages = {87--98}, year = {2015}, url = {https://doi.org/10.1609/aimag.v36i1.2575}, doi = {10.1609/AIMAG.V36I1.2575}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aim/AlbrechtBBBCDEF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/MorrisBCdFHKKKL15, author = {Robert Morris and Blai Bonet and Marc Cavazza and Marie desJardins and Ariel Felner and Nick Hawes and Brad Knox and Sven Koenig and George Dimitri Konidaris and J{\'{e}}r{\^{o}}me Lang and Carlos Linares L{\'{o}}pez and Daniele Magazzeni and Amy McGovern and Sriraam Natarajan and Nathan R. Sturtevant and Michael Thielscher and William Yeoh and Sebastian Sardi{\~{n}}a and Kiri Wagstaff}, title = {A Summary of the Twenty-Ninth {AAAI} Conference on Artificial Intelligence}, journal = {{AI} Mag.}, volume = {36}, number = {3}, pages = {99--106}, year = {2015}, url = {https://doi.org/10.1609/aimag.v36i3.2606}, doi = {10.1609/AIMAG.V36I3.2606}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aim/MorrisBCdFHKKKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WeissKGON15, author = {Sholom M. Weiss and Casimir A. Kulikowski and Robert S. Galen and Peder A. Olsen and Ramesh Natarajan}, title = {Managing healthcare costs by peer-group modeling}, journal = {Appl. Intell.}, volume = {43}, number = {4}, pages = {752--759}, year = {2015}, url = {https://doi.org/10.1007/s10489-015-0685-7}, doi = {10.1007/S10489-015-0685-7}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/WeissKGON15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/MasoodSCN15, author = {Talha Bin Masood and Sankaran Sandhya and Nagasuma R. Chandra and Vijay Natarajan}, title = {{CHEXVIS:} a tool for molecular channel extraction and visualization}, journal = {{BMC} Bioinform.}, volume = {16}, pages = {119:1--119:19}, year = {2015}, url = {https://doi.org/10.1186/s12859-015-0545-9}, doi = {10.1186/S12859-015-0545-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/MasoodSCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/SeversonVNATB15, author = {Kristen A. Severson and Jeremy G. VanAntwerp and Venkatesh Natarajan and Chris Antoniou and J{\"{o}}rg Th{\"{o}}mmes and Richard D. Braatz}, title = {Elastic net with Monte Carlo sampling for data-based modeling in biopharmaceutical manufacturing facilities}, journal = {Comput. Chem. Eng.}, volume = {80}, pages = {30--36}, year = {2015}, url = {https://doi.org/10.1016/j.compchemeng.2015.05.006}, doi = {10.1016/J.COMPCHEMENG.2015.05.006}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/SeversonVNATB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/MillerRPR15, author = {Mirka Miller and R. Sundara Rajan and N. Parthiban and Indra Rajasingh}, title = {Minimum Linear Arrangement of Incomplete Hypercubes}, journal = {Comput. J.}, volume = {58}, number = {2}, pages = {331--337}, year = {2015}, url = {https://doi.org/10.1093/comjnl/bxu031}, doi = {10.1093/COMJNL/BXU031}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/MillerRPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/NatarajanS015, author = {V. Natarajan and Shina Sheen and R. Anitha}, title = {Multilevel Analysis to Detect Covert Social Botnet in Multimedia Social Networks}, journal = {Comput. J.}, volume = {58}, number = {4}, pages = {679--687}, year = {2015}, url = {https://doi.org/10.1093/comjnl/bxu063}, doi = {10.1093/COMJNL/BXU063}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/NatarajanS015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/RajanMRPM15, author = {R. Sundara Rajan and Paul D. Manuel and Indra Rajasingh and N. Parthiban and Mirka Miller}, title = {A Lower Bound for Dilation of an Embedding}, journal = {Comput. J.}, volume = {58}, number = {12}, pages = {3271--3278}, year = {2015}, url = {https://doi.org/10.1093/comjnl/bxv021}, doi = {10.1093/COMJNL/BXV021}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/RajanMRPM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/GuVNSLR15, author = {Xiaoxiong Gu and Alberto Valdes{-}Garcia and Arun Natarajan and Bodhisatwa Sadhu and Duixian Liu and Scott K. Reynolds}, title = {W-band scalable phased arrays for imaging and communications}, journal = {{IEEE} Commun. Mag.}, volume = {53}, number = {4}, pages = {196--204}, year = {2015}, url = {https://doi.org/10.1109/MCOM.2015.7081095}, doi = {10.1109/MCOM.2015.7081095}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/GuVNSLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/RakkiyappanS15, author = {Rajan Rakkiyappan and Natarajan Sakthivel}, title = {Cluster synchronization for {T-S} fuzzy complex networks using pinning control with probabilistic time-varying delays}, journal = {Complex.}, volume = {21}, number = {1}, pages = {59--77}, year = {2015}, url = {https://doi.org/10.1002/cplx.21543}, doi = {10.1002/CPLX.21543}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/RakkiyappanS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/SakthivelRP15, author = {Natarajan Sakthivel and Rajan Rakkiyappan and Ju H. Park}, title = {Non-fragile synchronization control for complex networks with additive time-varying delays}, journal = {Complex.}, volume = {21}, number = {1}, pages = {296--321}, year = {2015}, url = {https://doi.org/10.1002/cplx.21565}, doi = {10.1002/CPLX.21565}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/SakthivelRP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/RakkiyappanS15, author = {R. Rakkiyappan and Natarajan Sakthivel}, title = {Stochastic Sampled-Data Control for Exponential Synchronization of Markovian Jumping Complex Dynamical Networks with Mode-Dependent Time-Varying Coupling Delay}, journal = {Circuits Syst. Signal Process.}, volume = {34}, number = {1}, pages = {153--183}, year = {2015}, url = {https://doi.org/10.1007/s00034-014-9854-x}, doi = {10.1007/S00034-014-9854-X}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/RakkiyappanS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/RakkiyappanS15, author = {R. Rakkiyappan and Natarajan Sakthivel}, title = {Pinning sampled-data control for synchronization of complex networks with probabilistic time-varying delays using quadratic convex approach}, journal = {Neurocomputing}, volume = {162}, pages = {26--40}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2015.04.010}, doi = {10.1016/J.NEUCOM.2015.04.010}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/RakkiyappanS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/Sheen0N15, author = {Shina Sheen and R. Anitha and V. Natarajan}, title = {Android based malware detection using a multifeature collaborative decision fusion approach}, journal = {Neurocomputing}, volume = {151}, pages = {905--912}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2014.10.004}, doi = {10.1016/J.NEUCOM.2014.10.004}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/Sheen0N15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/RajalakshmiP15, author = {Natarajan Rajalakshmi and Viswanathan Lakshmi Prabha}, title = {{MRI} brain image classification - a hybrid approach}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {3}, pages = {226--244}, year = {2015}, url = {https://doi.org/10.1002/ima.22140}, doi = {10.1002/IMA.22140}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/RajalakshmiP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/NishaMVA15, author = {Usman Barakkath Nisha and N. Uma Maheswari and R. Venkatesh and Rabi Yasir Abdullah}, title = {Improving Data Accuracy Using Proactive Correlated Fuzzy System in Wireless Sensor Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {9}, number = {9}, pages = {3515--3538}, year = {2015}, url = {https://doi.org/10.3837/tiis.2015.09.013}, doi = {10.3837/TIIS.2015.09.013}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/NishaMVA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/SubramaniKMN15, author = {Suresh Subramani and Raja Kalpana and Pankaj Moses Monickaraj and Jeyakumar Natarajan}, title = {HPIminer: {A} text mining system for building and visualizing human protein interaction networks and pathways}, journal = {J. Biomed. Informatics}, volume = {54}, pages = {121--131}, year = {2015}, url = {https://doi.org/10.1016/j.jbi.2015.01.006}, doi = {10.1016/J.JBI.2015.01.006}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/SubramaniKMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jda/RajasinghRPR15, author = {Indra Rajasingh and R. Sundara Rajan and N. Parthiban and T. M. Rajalaxmi}, title = {Bothway embedding of circulant network into grid}, journal = {J. Discrete Algorithms}, volume = {33}, pages = {2--9}, year = {2015}, url = {https://doi.org/10.1016/j.jda.2015.01.001}, doi = {10.1016/J.JDA.2015.01.001}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jda/RajasinghRPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/JeyanthiMV15, author = {S. Jeyanthi and N. Uma Maheswari and R. Venkatesh}, title = {Neural network based automatic fingerprint recognition system for overlapped latent images}, journal = {J. Intell. Fuzzy Syst.}, volume = {28}, number = {6}, pages = {2889--2899}, year = {2015}, url = {https://doi.org/10.3233/IFS-151583}, doi = {10.3233/IFS-151583}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/JeyanthiMV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChanZNNVTG15, author = {Wai Hong Ronald Chan and Pengfei Zhang and Ido Nevat and Sai Ganesh Nagarajan and Alvin C. Valera and Hwee{-}Xian Tan and Natarajan Gautam}, title = {Adaptive Duty Cycling in Sensor Networks With Energy Harvesting Using Continuous-Time Markov Chain and Fluid Models}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {33}, number = {12}, pages = {2687--2700}, year = {2015}, url = {https://doi.org/10.1109/JSAC.2015.2478717}, doi = {10.1109/JSAC.2015.2478717}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/ChanZNNVTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mics/RajanPR15, author = {R. Sundara Rajan and N. Parthiban and T. M. Rajalaxmi}, title = {Embedding of Recursive Circulants into Certain Necklace Graphs}, journal = {Math. Comput. Sci.}, volume = {9}, number = {2}, pages = {253--263}, year = {2015}, url = {https://doi.org/10.1007/s11786-015-0232-2}, doi = {10.1007/S11786-015-0232-2}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mics/RajanPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChelliahJAADGHJKKLNPRSWZHNL15, author = {Vijayalakshmi Chelliah and Nick S. Juty and Ishan Ajmera and Raza Ali and Marine Dumousseau and Mihai Glont and Michael Hucka and Ga{\"{e}}l Jalowicki and Sarah M. Keating and Vincent Knight{-}Schrijver and Audald Lloret{-}Villas and Kedar Nath Natarajan and Jean{-}Baptiste Pettit and Nicolas Rodriguez and Michael Schubert and Sarala M. Wimalaratne and Yangyang Zhao and Henning Hermjakob and Nicolas Le Nov{\`{e}}re and Camille Laibe}, title = {BioModels: ten-year anniversary}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {542--548}, year = {2015}, url = {https://doi.org/10.1093/nar/gku1181}, doi = {10.1093/NAR/GKU1181}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChelliahJAADGHJKKLNPRSWZHNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/RakkiyappanSC15, author = {R. Rakkiyappan and Natarajan Sakthivel and Jinde Cao}, title = {Stochastic sampled-data control for synchronization of complex dynamical networks with control packet loss and additive time-varying delays}, journal = {Neural Networks}, volume = {66}, pages = {46--63}, year = {2015}, url = {https://doi.org/10.1016/j.neunet.2015.02.011}, doi = {10.1016/J.NEUNET.2015.02.011}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/RakkiyappanSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/YingBWCG15, author = {Yijun Ying and Robert Birke and Cheng Wang and Lydia Y. Chen and Natarajan Gautam}, title = {On Energyaware Allocation and Execution for Batch and Interactive MapReduce}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {42}, number = {4}, pages = {22--30}, year = {2015}, url = {https://doi.org/10.1145/2788402.2788407}, doi = {10.1145/2788402.2788407}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/YingBWCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/NatarajanZ15, author = {Ragavendra Natarajan and Antonia Zhai}, title = {Leveraging Transactional Execution for Memory Consistency Model Emulation}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {3}, pages = {29:1--29:24}, year = {2015}, url = {https://doi.org/10.1145/2786980}, doi = {10.1145/2786980}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/NatarajanZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/PahwaDNDMAC15, author = {Anil Pahwa and Scott A. DeLoach and Bala Natarajan and Sanjoy Das and Ahmad Reza Malekpour and S. M. Shafiul Alam and Denise M. Case}, title = {Goal-Based Holonic Multiagent System for Operation of Power Distribution Systems}, journal = {{IEEE} Trans. Smart Grid}, volume = {6}, number = {5}, pages = {2510--2518}, year = {2015}, url = {https://doi.org/10.1109/TSG.2015.2404334}, doi = {10.1109/TSG.2015.2404334}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/PahwaDNDMAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEants/SNSNSP15, author = {Anjana S and Sahana M. N and Ankith S and K. Natarajan and K. R. Shobha and Arumugam Paventhan}, title = {An IoT based 6LoWPAN enabled experiment for water management}, booktitle = {2015 {IEEE} International Conference on Advanced Networks and Telecommuncations Systems, {ANTS} 2015, Kolkata, India, December 15-18, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ANTS.2015.7413654}, doi = {10.1109/ANTS.2015.7413654}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEants/SNSNSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/OdomKPN15, author = {Phillip Odom and Tushar Khot and Reid B. Porter and Sriraam Natarajan}, editor = {Blai Bonet and Sven Koenig}, title = {Knowledge-Based Probabilistic Logic Learning}, booktitle = {Proceedings of the Twenty-Ninth {AAAI} Conference on Artificial Intelligence, January 25-30, 2015, Austin, Texas, {USA}}, pages = {3564--3570}, publisher = {{AAAI} Press}, year = {2015}, url = {https://doi.org/10.1609/aaai.v29i1.9690}, doi = {10.1609/AAAI.V29I1.9690}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/OdomKPN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/RamamoorthyS15, author = {Sivaramakrishnan Natarajan Ramamoorthy and Makrand Sinha}, title = {On the communication complexity of greater-than}, booktitle = {53rd Annual Allerton Conference on Communication, Control, and Computing, Allerton 2015, Allerton Park {\&} Retreat Center, Monticello, IL, USA, September 29 - October 2, 2015}, pages = {442--444}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ALLERTON.2015.7447037}, doi = {10.1109/ALLERTON.2015.7447037}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/allerton/RamamoorthyS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ChintaluriPNNR15, author = {Ashwin Chintaluri and Abhinav Parihar and Suriyaprakash Natarajan and Helia Naeimi and Arijit Raychowdhury}, title = {A Model Study of Defects and Faults in Embedded Spin Transfer Torque {(STT)} {MRAM} Arrays}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {187--192}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.39}, doi = {10.1109/ATS.2015.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ChintaluriPNNR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caldam/ManuelRRPR15, author = {Paul D. Manuel and Indra Rajasingh and R. Sundara Rajan and N. Parthiban and T. M. Rajalaxmi}, editor = {Sumit Ganguly and Ramesh Krishnamurti}, title = {A Tight Bound for Congestion of an Embedding}, booktitle = {Algorithms and Discrete Applied Mathematics - First International Conference, {CALDAM} 2015, Kanpur, India, February 8-10, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8959}, pages = {229--237}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-14974-5\_22}, doi = {10.1007/978-3-319-14974-5\_22}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/caldam/ManuelRRPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camsap/NatarajanRD15, author = {Nagarajan Natarajan and Nikhil Rao and Inderjit S. Dhillon}, title = {{PU} matrix completion with graph information}, booktitle = {6th {IEEE} International Workshop on Computational Advances in Multi-Sensor Adaptive Processing, {CAMSAP} 2015, Cancun, Mexico, December 13-16, 2015}, pages = {37--40}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CAMSAP.2015.7383730}, doi = {10.1109/CAMSAP.2015.7383730}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/camsap/NatarajanRD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coco/RamamoorthyR15, author = {Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao}, editor = {David Zuckerman}, title = {How to Compress Asymmetric Communication}, booktitle = {30th Conference on Computational Complexity, {CCC} 2015, June 17-19, 2015, Portland, Oregon, {USA}}, series = {LIPIcs}, volume = {33}, pages = {102--123}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2015}, url = {https://doi.org/10.4230/LIPIcs.CCC.2015.102}, doi = {10.4230/LIPICS.CCC.2015.102}, timestamp = {Thu, 02 Feb 2023 13:27:06 +0100}, biburl = {https://dblp.org/rec/conf/coco/RamamoorthyR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cods/SrinivasanNSS15, author = {Balaji Vasan Srinivasan and Anandhavelu Natarajan and Ritwik Sinha and Moumita Sinha}, editor = {Manish Gupta and Y. Narahari and V. S. Subrahmanian and Indrajit Bhattacharya}, title = {Community reaction: from blogs to Facebook}, booktitle = {Proceedings of the Second {ACM} {IKDD} Conference on Data Sciences, Bangalore, CoDS 2015, India, March 18-21, 2015}, pages = {69--74}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2732587.2732596}, doi = {10.1145/2732587.2732596}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cods/SrinivasanNSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/flairs/KumaraswamyWKSN15, author = {Raksha Kumaraswamy and Anurag Wazalwar and Tushar Khot and Jude W. Shavlik and Sriraam Natarajan}, editor = {Ingrid Russell and William Eberle}, title = {Anomaly Detection in Text: The Value of Domain Knowledge}, booktitle = {Proceedings of the Twenty-Eighth International Florida Artificial Intelligence Research Society Conference, {FLAIRS} 2015, Hollywood, Florida, USA, May 18-20, 2015}, pages = {225--228}, publisher = {{AAAI} Press}, year = {2015}, url = {http://www.aaai.org/ocs/index.php/FLAIRS/FLAIRS15/paper/view/10397}, timestamp = {Wed, 26 Oct 2022 08:35:13 +0200}, biburl = {https://dblp.org/rec/conf/flairs/KumaraswamyWKSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/YingBWCG15, author = {Yijun Ying and Robert Birke and Cheng Wang and Lydia Y. Chen and Natarajan Gautam}, title = {Optimizing Energy, Locality and Priority in a MapReduce Cluster}, booktitle = {2015 {IEEE} International Conference on Autonomic Computing, Grenoble, France, July 7-10, 2015}, pages = {21--30}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICAC.2015.30}, doi = {10.1109/ICAC.2015.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icac/YingBWCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChanZZNVTG15, author = {Wai Hong Ronald Chan and Pengfei Zhang and Wenyu Zhang and Ido Nevat and Alvin C. Valera and Hwee{-}Xian Tan and Natarajan Gautam}, title = {Adaptive duty cycling in sensor networks via Continuous Time Markov Chain modelling}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {6669--6674}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249388}, doi = {10.1109/ICC.2015.7249388}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChanZZNVTG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NatalJENMC15, author = {Alberto Rodr{\'{\i}}guez{-}Natal and Lor{\'{a}}nd Jakab and Vina Ermagan and Preethi Natarajan and Fabio Maino and Albert Cabellos{-}Aparicio}, title = {Location and identity privacy for {LISP-MN}}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {5260--5265}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249159}, doi = {10.1109/ICC.2015.7249159}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/NatalJENMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ViswanathanHLK15, author = {Natarajan Viswanathan and Shih{-}Hsu Huang and Rung{-}Bin Lin and Myung{-}Chul Kim}, editor = {Diana Marculescu and Frank Liu}, title = {Overview of the 2015 {CAD} Contest at {ICCAD}}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {910--911}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372668}, doi = {10.1109/ICCAD.2015.7372668}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ViswanathanHLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccct/VinaySCRSM015, author = {A. Vinay and Vinay S. Shekhar and Akshay Kumar C. and Avani S. Rao and Gaurav R. Shenoy and K. N. Balasubramanya Murthy and S. Natarajan}, title = {Face Recognition using {VLAD} and its Variants}, booktitle = {Proceedings of the Sixth International Conference on Computer and Communication Technology 2015, {ICCCT} 2015, Allahabad, India, September 25-27, 2015}, pages = {233--238}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818567.2818649}, doi = {10.1145/2818567.2818649}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccct/VinaySCRSM015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/KumaraswamyOKLN15, author = {Raksha Kumaraswamy and Phillip Odom and Kristian Kersting and David Leake and Sriraam Natarajan}, editor = {Charu C. Aggarwal and Zhi{-}Hua Zhou and Alexander Tuzhilin and Hui Xiong and Xindong Wu}, title = {Transfer Learning via Relational Type Matching}, booktitle = {2015 {IEEE} International Conference on Data Mining, {ICDM} 2015, Atlantic City, NJ, USA, November 14-17, 2015}, pages = {811--816}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICDM.2015.138}, doi = {10.1109/ICDM.2015.138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/KumaraswamyOKLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LiNS15, author = {Chong Li and Suriyaprakash Natarajan and Chuanjin Richard Shi}, title = {Identifying {DC} bias conditions for maximum {DC} current in digitally-assisted analog design}, booktitle = {2015 {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015}, pages = {478--481}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICECS.2015.7440352}, doi = {10.1109/ICECS.2015.7440352}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/LiNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icl/Natarajan15, author = {R. Natarajan}, title = {The current status of engineering education in India}, booktitle = {International Conference on Interactive Collaborative Learning, {ICL} 2015, Firenze, Italy, September 20-24, 2015}, pages = {1112--1116}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICL.2015.7318187}, doi = {10.1109/ICL.2015.7318187}, timestamp = {Fri, 21 Jul 2023 09:56:11 +0200}, biburl = {https://dblp.org/rec/conf/icl/Natarajan15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/RavichanderVRN15, author = {Abhilasha Ravichander and Supriya Vijay and Varshini Ramaseshan and S. Natarajan}, editor = {Tao Li and Lukasz A. Kurgan and Vasile Palade and Randy Goebel and Andreas Holzinger and Karin Verspoor and M. Arif Wani}, title = {{VISAGE:} {A} Support Vector Machine Approach to Group Dynamic Analysis}, booktitle = {14th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2015, Miami, FL, USA, December 9-11, 2015}, pages = {640--643}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICMLA.2015.146}, doi = {10.1109/ICMLA.2015.146}, timestamp = {Thu, 23 Feb 2023 11:36:05 +0100}, biburl = {https://dblp.org/rec/conf/icmla/RavichanderVRN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NovakPBLACPNRN15, author = {Steven R. Novak and C. Parker and D. Becher and M. Liu and Marty Agostinelli and M. Chahal and P. Packan and P. Nayak and Stephen Ramey and S. Natarajan}, title = {Transistor aging and reliability in 14nm tri-gate technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112692}, doi = {10.1109/IRPS.2015.7112692}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/NovakPBLACPNRN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isplc/NikitinSND15, author = {Alexei V. Nikitin and Dale Scutti and Balasubramaniam Natarajan and Ruslan L. Davidchack}, title = {Blind adaptive analog nonlinear filters for noise mitigation in powerline communication systems}, booktitle = {{IEEE} International Symposium on Power Line Communications and Its Applications, {ISPLC} 2015, Austin, TX, USA, March 29 - April 1, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISPLC.2015.7147580}, doi = {10.1109/ISPLC.2015.7147580}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isplc/NikitinSND15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KoyejoNRD15, author = {Oluwasanmi Koyejo and Nagarajan Natarajan and Pradeep Ravikumar and Inderjit S. Dhillon}, editor = {Corinna Cortes and Neil D. Lawrence and Daniel D. Lee and Masashi Sugiyama and Roman Garnett}, title = {Consistent Multilabel Classification}, booktitle = {Advances in Neural Information Processing Systems 28: Annual Conference on Neural Information Processing Systems 2015, December 7-12, 2015, Montreal, Quebec, Canada}, pages = {3321--3329}, year = {2015}, url = {https://proceedings.neurips.cc/paper/2015/hash/85f007f8c50dd25f5a45fca73cad64bd-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/KoyejoNRD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/SzekelyKSPSYKNM15, author = {Pedro A. Szekely and Craig A. Knoblock and Jason Slepicka and Andrew Philpot and Amandeep Singh and Chengye Yin and Dipsy Kapoor and Prem Natarajan and Daniel Marcu and Kevin Knight and David Stallard and Subessware S. Karunamoorthy and Rajagopal Bojanapalli and Steven Minton and Brian Amanatullah and Todd Hughes and Mike Tamayo and David Flynt and Rachel Artiss and Shih{-}Fu Chang and Tao Chen and Gerald Hiebel and Lidia Silva Ferreira}, editor = {Marcelo Arenas and {\'{O}}scar Corcho and Elena Simperl and Markus Strohmaier and Mathieu d'Aquin and Kavitha Srinivas and Paul Groth and Michel Dumontier and Jeff Heflin and Krishnaprasad Thirunarayan and Steffen Staab}, title = {Building and Using a Knowledge Graph to Combat Human Trafficking}, booktitle = {The Semantic Web - {ISWC} 2015 - 14th International Semantic Web Conference, Bethlehem, PA, USA, October 11-15, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9367}, pages = {205--221}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25010-6\_12}, doi = {10.1007/978-3-319-25010-6\_12}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semweb/SzekelyKSPSYKNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/SzekelyKSYPSKNM15, author = {Pedro A. Szekely and Craig A. Knoblock and Jason Slepicka and Chengye Yin and Andrew Philpot and Amandeep Singh and Dipsy Kapoor and Prem Natarajan and Daniel Marcu and Kevin Knight and David Stallard and Subessware S. Karunamoorthy and Rajagopal Bojanapalli and Steven Minton and Brian Amanatullah and Todd Hughes and Mike Tamayo and David Flynt and Rachel Artiss and Shih{-}Fu Chang and Tao Chen and Gerald Hiebel and Lidia Silva Ferreira}, editor = {Serena Villata and Jeff Z. Pan and Mauro Dragoni}, title = {Using a Knowledge Graph to Combat Human Trafficking}, booktitle = {Proceedings of the {ISWC} 2015 Posters {\&} Demonstrations Track co-located with the 14th International Semantic Web Conference (ISWC-2015), Bethlehem, PA, USA, October 11, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1486}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1486/paper\_88.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:04 +0100}, biburl = {https://dblp.org/rec/conf/semweb/SzekelyKSYPSKNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simutools/AddieN15, author = {Ronald G. Addie and Joshua Pravin Raj Natarajan}, editor = {Georgios Theodoropoulos}, title = {Netml-ns3-click: modeling of routers in Netml/ns3 by means of the click modular router}, booktitle = {Proceedings of the 8th International Conference on Simulation Tools and Techniques, Athens, Greece, August 24-26, 2015}, pages = {293--295}, publisher = {{ICST/ACM}}, year = {2015}, url = {https://doi.org/10.4108/eai.24-8-2015.2260965}, doi = {10.4108/EAI.24-8-2015.2260965}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/simutools/AddieN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/BujackKNSJ15, author = {Roxana Bujack and Jens Kasten and Vijay Natarajan and Gerik Scheuermann and Kenneth I. Joy}, editor = {Enrico Bertini and Jessie Kennedy and Enrico Puppo}, title = {Clustering Moment Invariants to Identify Similarity within 2D Flow Fields}, booktitle = {17th Eurographics Conference on Visualization, EuroVis 2015 - Short Papers, Cagliari, Sardinia, Italy, May 25-29, 2015}, pages = {31--35}, publisher = {Eurographics Association}, year = {2015}, url = {https://doi.org/10.2312/eurovisshort.20151121}, doi = {10.2312/EUROVISSHORT.20151121}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vissym/BujackKNSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/GulatiKNNAB15, author = {Shalini Gulati and Suresh Kalyanasundaram and Prakhar Nashine and Balamurali Natarajan and Rajeev Agrawal and Anand S. Bedekar}, title = {Performance Analysis of Distributed Multi-Cell Coordinated Scheduler}, booktitle = {{IEEE} 82nd Vehicular Technology Conference, {VTC} Fall 2015, Boston, MA, USA, September 6-9, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCFall.2015.7391069}, doi = {10.1109/VTCFALL.2015.7391069}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/GulatiKNNAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/GuptaKN15, author = {Richa Gupta and Suresh Kalyanasundaram and Balamurali Natarajan}, title = {Dynamic Point Selection Schemes for {LTE-A} Networks with Load Imbalance}, booktitle = {{IEEE} 82nd Vehicular Technology Conference, {VTC} Fall 2015, Boston, MA, USA, September 6-9, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCFall.2015.7390905}, doi = {10.1109/VTCFALL.2015.7390905}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/GuptaKN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/FrancisKNAM15, author = {Jobin Francis and Suresh Kalyanasundaram and Balamurali Natarajan and Rajeev Agrawal and Neelesh B. Mehta}, title = {Downlink interference penalty algorithm for power control, scheduling, and user association}, booktitle = {13th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, WiOpt 2015, Mumbai, India, May 25-29, 2015}, pages = {506--512}, publisher = {{IEEE}}, year = {2015}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2015/FrancisKNAM15.pdf}, doi = {10.1109/WIOPT.2015.7151112}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/FrancisKNAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icacci/2015, editor = {Jaime Lloret Mauri and Sabu M. Thampi and Michal Wozniak and Oge Marques and Dilip Krishnaswamy and Sartaj Sahni and Christian Callegari and Hideyuki Takagi and Zoran S. Bojkovic and Vinod M. and Neeli R. Prasad and Jos{\'{e}} M. Alcaraz Calero and Joal Rodrigues and Xinyu Que and Natarajan Meghanathan and Ravi S. Sandhu and Edward Au}, title = {2015 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2015, Kochi, India, August 10-13, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7259950/proceeding}, isbn = {978-1-4799-8790-0}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcit/2015, editor = {Raja Natarajan and Gautam Barua and Manas Ranjan Patra}, title = {Distributed Computing and Internet Technology - 11th International Conference, {ICDCIT} 2015, Bhubaneswar, India, February 5-8, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8956}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-14977-6}, doi = {10.1007/978-3-319-14977-6}, isbn = {978-3-319-14976-9}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/NatarajanKRD15, author = {Nagarajan Natarajan and Oluwasanmi Koyejo and Pradeep Ravikumar and Inderjit S. Dhillon}, title = {Optimal Decision-Theoretic Classification Using Non-Decomposable Performance Metrics}, journal = {CoRR}, volume = {abs/1505.01802}, year = {2015}, url = {http://arxiv.org/abs/1505.01802}, eprinttype = {arXiv}, eprint = {1505.01802}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/NatarajanKRD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/OrdCEPWCTABBBBB15, author = {Stephen M. Ord and Brian Crosse and David Emrich and Dave Pallot and Randall B. Wayth and Michael A. Clark and Steven E. Tremblay and Wayne Arcus and David Barnes and Martin E. Bell and Gianni Bernardi and N. D. Ramesh Bhat and Julie D. Bowman and Frank H. Briggs and John D. Bunton and Roger J. Cappallo and Brian E. Corey and Avinash A. Deshpande and Ludi deSouza and Aaron Ewell{-}Wice and Lu Feng and Robert F. Goeke and Lincoln J. Greenhill and Bryna J. Hazelton and David Edwin Herne and Jacqueline N. Hewitt and Luke Hindson and Natasha Hurley{-}Walker and Daniel C. Jacobs and Melanie Johnston{-}Hollitt and David L. Kaplan and Justin C. Kasper and Barton B. Kincaid and Ronald Koenig and Eric Kratzenberg and Nadia Kudryavtseva and E. Lenc and Colin J. Lonsdale and Mervyn J. Lynch and Benjamin McKinley and Stephen R. McWhirter and Daniel A. Mitchell and Miguel F. Morales and Edward H. Morgan and Divya Oberoi and Andr{\'{e}} R. Offringa and Joseph Pathikulangara and Bart Pindor and Thiagaraj Prabu and Pietro Procopio and Ronald A. Remillard and J. Riding and Alan E. E. Rogers and Anish A. Roshi and Joseph E. Salah and Robert J. Sault and Udaya Shankar Natarajan and K. S. Srivani and James Stevens and Ravi Subrahmanyan and Steven J. Tingay and Mark F. Waterson and Rachel Lindsey Webster and Alan R. Whitney and Andrew J. Williams and Christopher L. Williams and J. Stuart B. Wyithe}, title = {The Murchison Widefield Array Correlator}, journal = {CoRR}, volume = {abs/1501.05992}, year = {2015}, url = {http://arxiv.org/abs/1501.05992}, eprinttype = {arXiv}, eprint = {1501.05992}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/OrdCEPWCTABBBBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SanatkarWNSG15, author = {Mohammad Reza Sanatkar and Warren N. White and Balasubramaniam Natarajan and Caterina M. Scoglio and Karen A. Garrett}, title = {Epidemic Threshold in Dynamic Switching Networks}, journal = {CoRR}, volume = {abs/1501.02472}, year = {2015}, url = {http://arxiv.org/abs/1501.02472}, eprinttype = {arXiv}, eprint = {1501.02472}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SanatkarWNSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuRAN15, author = {Yue Wu and Stephen Rawls and Wael Abd{-}Almageed and Premkumar Natarajan}, title = {Learning Document Image Binarization from Data}, journal = {CoRR}, volume = {abs/1505.00529}, year = {2015}, url = {http://arxiv.org/abs/1505.00529}, eprinttype = {arXiv}, eprint = {1505.00529}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuRAN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/RamamoorthyR15, author = {Sivaramakrishnan Natarajan Ramamoorthy and Anup Rao}, title = {How to Compress Asymmetric Communication}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR15-055}}, year = {2015}, url = {https://eccc.weizmann.ac.il/report/2015/055}, eprinttype = {ECCC}, eprint = {TR15-055}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/RamamoorthyR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/AnbumalarNR14, author = {S. Anbumalar and R. Ananda Natarajan and P. Rameshbabu}, title = {Non-negative matrix factorization algorithm for the deconvolution of one dimensional chromatograms}, journal = {Appl. Math. Comput.}, volume = {241}, pages = {242--258}, year = {2014}, url = {https://doi.org/10.1016/j.amc.2014.05.022}, doi = {10.1016/J.AMC.2014.05.022}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/AnbumalarNR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/NatarajanS14, author = {Sathish Natarajan and Rajagopalan Srinivasan}, title = {Implementation of multi agents based system for process supervision in large-scale chemical plants}, journal = {Comput. Chem. Eng.}, volume = {60}, pages = {182--196}, year = {2014}, url = {https://doi.org/10.1016/j.compchemeng.2013.08.012}, doi = {10.1016/J.COMPCHEMENG.2013.08.012}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/NatarajanS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/PrathapN014, author = {Ivy Prathap and V. Natarajan and R. Anitha}, title = {Hybrid robust watermarking for color images}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {3}, pages = {920--930}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.01.006}, doi = {10.1016/J.COMPELECENG.2014.01.006}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/PrathapN014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NatarajanR14, author = {Dhanalakshmi Natarajan and Alli P. Rajendran}, title = {{AOLSR:} hybrid ad hoc routing protocol based on a modified Dijkstra's algorithm}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2014}, pages = {90}, year = {2014}, url = {https://doi.org/10.1186/1687-1499-2014-90}, doi = {10.1186/1687-1499-2014-90}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/NatarajanR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/RajaSN14, author = {Kalpana Raja and Suresh Subramani and Jeyakumar Natarajan}, title = {A hybrid named entity tagger for tagging human proteins/genes}, journal = {Int. J. Data Min. Bioinform.}, volume = {10}, number = {3}, pages = {315--328}, year = {2014}, url = {https://doi.org/10.1504/IJDMB.2014.064545}, doi = {10.1504/IJDMB.2014.064545}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/RajaSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChandrasekaranCAN14, author = {Srimathi Chandrasekaran and Eunmi Choi and Jemal H. Abawajy and Rajesh Natarajan}, title = {Sensor Grid Middleware Metamodeling and Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/805708}, doi = {10.1155/2014/805708}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChandrasekaranCAN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SubasriSN14, author = {R. Subasri and S. Suresh and A. M. Natarajan}, title = {Discrete direct adaptive {ELM} controller for active vibration control of nonlinear base isolation buildings}, journal = {Neurocomputing}, volume = {129}, pages = {246--256}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2013.09.035}, doi = {10.1016/J.NEUCOM.2013.09.035}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SubasriSN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/PerottePNWWE14, author = {Adler J. Perotte and Rimma Pivovarov and Karthik Natarajan and Nicole Gray Weiskopf and Frank D. Wood and Noemie Elhadad}, title = {Diagnosis code assignment: models and evaluation metrics}, journal = {J. Am. Medical Informatics Assoc.}, volume = {21}, number = {2}, pages = {231--237}, year = {2014}, url = {https://doi.org/10.1136/amiajnl-2013-002159}, doi = {10.1136/AMIAJNL-2013-002159}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/PerottePNWWE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/SubramaniRN14, author = {Suresh Subramani and Kalpana Raja and Jeyakumar Natarajan}, title = {ProNormz - An integrated approach for human proteins and protein kinases normalization}, journal = {J. Biomed. Informatics}, volume = {47}, pages = {131--138}, year = {2014}, url = {https://doi.org/10.1016/j.jbi.2013.10.003}, doi = {10.1016/J.JBI.2013.10.003}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/SubramaniRN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/NatarajanAS14, author = {Krishnamoorthy Natarajan and Ramasamy Asokan and Sangeetha Subramanian}, title = {Quantitative Evaluation of Job and Resources for Better Selection to Improve makespan in Grid Scheduling}, journal = {J. Comput. Sci.}, volume = {10}, number = {5}, pages = {774--782}, year = {2014}, url = {https://doi.org/10.3844/jcssp.2014.774.782}, doi = {10.3844/JCSSP.2014.774.782}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/NatarajanAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/EichHKNW14, author = {Markus Eich and Ronny Hartanto and Sebastian Kasperski and Sankaranarayanan Natarajan and Johannes Wollenberg}, title = {Towards Coordinated Multirobot Missions for Lunar Sample Collection in an Unknown Environment}, journal = {J. Field Robotics}, volume = {31}, number = {1}, pages = {35--74}, year = {2014}, url = {https://doi.org/10.1002/rob.21491}, doi = {10.1002/ROB.21491}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/EichHKNW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/DasMKSMNBPNN14, author = {Saptarsi Das and Kavitha T. Madhu and Madhav Krishna and Nalesh Sivanandan and Farhad Merchant and Santhi Natarajan and Ipsita Biswas and Adithya Pulli and S. K. Nandy and Ranjani Narayan}, title = {A framework for post-silicon realization of arbitrary instruction extensions on reconfigurable data-paths}, journal = {J. Syst. Archit.}, volume = {60}, number = {7}, pages = {592--614}, year = {2014}, url = {https://doi.org/10.1016/j.sysarc.2014.06.002}, doi = {10.1016/J.SYSARC.2014.06.002}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/DasMKSMNBPNN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GangopadhyayADNGA14, author = {Daibashish Gangopadhyay and Emily G. Allstot and Anna M. R. Dixon and Karthik Natarajan and Subhanshu Gupta and David J. Allstot}, title = {Compressed Sensing Analog Front-End for Bio-Sensor Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {2}, pages = {426--438}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2284673}, doi = {10.1109/JSSC.2013.2284673}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GangopadhyayADNGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/UTKRK14, author = {Man Chon U and Eric Talevich and Samiksha Katiyar and Khaled Rasheed and Natarajan Kannan}, title = {Prediction and Prioritization of Rare Oncogenic Mutations in the Cancer Kinome Using Novel Features and Multiple Classifiers}, journal = {PLoS Comput. Biol.}, volume = {10}, number = {4}, year = {2014}, url = {https://doi.org/10.1371/journal.pcbi.1003545}, doi = {10.1371/JOURNAL.PCBI.1003545}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/UTKRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenGIZYJCZ14, author = {Fulong Chen and Huadong Guo and Natarajan Ishwaran and Wei Zhou and Ruixia Yang and Linhai Jing and Fang Chen and Hongcheng Zeng}, title = {Synthetic Aperture Radar {(SAR)} Interferometry for Assessing Wenchuan Earthquake {(2008)} Deforestation in the Sichuan Giant Panda Site}, journal = {Remote. Sens.}, volume = {6}, number = {7}, pages = {6283--6299}, year = {2014}, url = {https://doi.org/10.3390/rs6076283}, doi = {10.3390/RS6076283}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenGIZYJCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/TraceyMWNN14, author = {Brian H. Tracey and Eric L. Miller and Yue Wu and Pradeep Natarajan and Joseph P. Noonan}, title = {A constrained optimization approach to combining multiple non-local means denoising estimates}, journal = {Signal Process.}, volume = {103}, pages = {60--68}, year = {2014}, url = {https://doi.org/10.1016/j.sigpro.2013.12.021}, doi = {10.1016/J.SIGPRO.2013.12.021}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/TraceyMWNN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/WuTNN14, author = {Yue Wu and Brian H. Tracey and Premkumar Natarajan and Joseph P. Noonan}, title = {Fast blockwise {SURE} shrinkage for image denoising}, journal = {Signal Process.}, volume = {103}, pages = {45--59}, year = {2014}, url = {https://doi.org/10.1016/j.sigpro.2014.01.007}, doi = {10.1016/J.SIGPRO.2014.01.007}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/WuTNN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/RajanRNM14, author = {R. Sundara Rajan and Indra Rajasingh and N. Parthiban and T. M. Rajalaxmi}, title = {A linear time algorithm for embedding hypercube into cylinder and torus}, journal = {Theor. Comput. Sci.}, volume = {542}, pages = {108--115}, year = {2014}, url = {https://doi.org/10.1016/j.tcs.2014.05.007}, doi = {10.1016/J.TCS.2014.05.007}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/RajanRNM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WeiSVLARHTKS14, author = {Yaoguang Wei and Cliff C. N. Sze and Natarajan Viswanathan and Zhuo Li and Charles J. Alpert and Lakshmi N. Reddy and Andrew D. Huber and Gustavo E. T{\'{e}}llez and Douglas Keller and Sachin S. Sapatnekar}, title = {Techniques for scalable and effective routability evaluation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {19}, number = {2}, pages = {17:1--17:37}, year = {2014}, url = {https://doi.org/10.1145/2566663}, doi = {10.1145/2566663}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WeiSVLARHTKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/RamaswamyRSSG14, author = {Vinod Ramaswamy and Vinith Reddy and Srinivas Shakkottai and Alexander Sprintson and Natarajan Gautam}, title = {Multipath Wireless Network Coding: An Augmented Potential Game Perspective}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {22}, number = {1}, pages = {217--229}, year = {2014}, url = {https://doi.org/10.1109/TNET.2013.2262772}, doi = {10.1109/TNET.2013.2262772}, timestamp = {Fri, 09 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/RamaswamyRSSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/RoseveareN14, author = {Nicholas Roseveare and Balasubramaniam Natarajan}, title = {An Alternative Perspective on Utility Maximization in Energy-Harvesting Wireless Sensor Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {1}, pages = {344--356}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2272245}, doi = {10.1109/TVT.2013.2272245}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/RoseveareN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/NatarajanGAMGM14, author = {Annamalai Natarajan and Edward Gaiser and Gustavo Angarita and Robert Malison and Deepak Ganesan and Benjamin M. Marlin}, editor = {Pierre Baldi and Wei Wang}, title = {Conditional random fields for morphological analysis of wireless {ECG} signals}, booktitle = {Proceedings of the 5th {ACM} Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} '14, Newport Beach, California, USA, September 20-23, 2014}, pages = {370--379}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2649387.2649414}, doi = {10.1145/2649387.2649414}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcb/NatarajanGAMGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciasg/MalekpourPN14, author = {Ahmad Reza Malekpour and Anil Pahwa and Balasubramaniam Natarajan}, title = {Distributed volt/var control in unbalanced distribution systems with distributed generation}, booktitle = {2014 {IEEE} Symposium on Computational Intelligence Applications in Smart Grid, {CIASG} 2014, Orlando, FL, USA, December 9-12, 2014}, pages = {103--108}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CIASG.2014.7011559}, doi = {10.1109/CIASG.2014.7011559}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ciasg/MalekpourPN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drr/WuZCLN14, author = {Yue Wu and Shengxin Zha and Huaigu Cao and Daben Liu and Premkumar Natarajan}, editor = {Bertrand Co{\"{u}}asnon and Eric K. Ringger}, title = {A Markov chain based line segmentation framework for handwritten character recognition}, booktitle = {Document Recognition and Retrieval XXI, San Francisco, California, USA, February 5-6, 2014}, series = {{SPIE} Proceedings}, volume = {9021}, pages = {90210C--90210C--12}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2042600}, doi = {10.1117/12.2042600}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drr/WuZCLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/AbdelhamidAAABBBBEEFFHHKKMMMQRSSSVW14, author = {Sherif Hanie El Meligy Abdelhamid and Md. Maksudul Alam and Richard A. Al{\'{o}} and Shaikh Arifuzzaman and Peter H. Beckman and Tirtha Bhattacharjee and Md Hasanuzzaman Bhuiyan and Keith R. Bisset and Stephen G. Eubank and Albert C. Esterline and Edward A. Fox and Geoffrey C. Fox and S. M. Shamimul Hasan and Harshal Hayatnagarkar and Maleq Khan and Chris J. Kuhlman and Madhav V. Marathe and Natarajan Meghanathan and Henning S. Mortveit and Judy Qiu and S. S. Ravi and Zalia Shams and Ongard Sirisaengtaksin and Samarth Swarup and Anil Kumar S. Vullikanti and Tak{-}Lon Wu}, title = {{CINET} 2.0: {A} CyberInfrastructure for Network Science}, booktitle = {10th {IEEE} International Conference on e-Science, eScience 2014, Sao Paulo, Brazil, October 20-24, 2014}, pages = {324--331}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/eScience.2014.21}, doi = {10.1109/ESCIENCE.2014.21}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/AbdelhamidAAABBBBEEFFHHKKMMMQRSSSVW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ChenWCN14, author = {Jinying Chen and Yue Wu and Huaigu Cao and Prem Natarajan}, title = {Confusion Network Based Recurrent Neural Network Language Modeling for Chinese {OCR} Error Detection}, booktitle = {22nd International Conference on Pattern Recognition, {ICPR} 2014, Stockholm, Sweden, August 24-28, 2014}, pages = {1266--1271}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICPR.2014.227}, doi = {10.1109/ICPR.2014.227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ChenWCN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/JayanthiTRIC14, author = {Natarajan Jayanthi and Ayush Tomar and Aman Raj and S. Indu and Santanu Chaudhury}, editor = {A. G. Ramakrishnan and Jitendra Malik and Alexei A. Efros and C. V. Jawahar and Manik Varma}, title = {Digitization of Historic Inscription Images using Cumulants based Simultaneous Blind Source Extraction}, booktitle = {Proceedings of the 2014 Indian Conference on Computer Vision, Graphics and Image Processing, ICVGIP'14, Bangalore, India, December 14-18, 2014}, pages = {51:1--51:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2683483.2683534}, doi = {10.1145/2683483.2683534}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvgip/JayanthiTRIC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/RautPSV14, author = {Sumit Raut and Kishore Padmanabhan and Muralidharan Somasundaram and Natarajan Vijayarangan}, title = {Workforce planning for Global Network Delivery Model}, booktitle = {2014 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2014, Selangor Darul Ehsan, Malaysia, December 9-12, 2014}, pages = {164--168}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IEEM.2014.7058621}, doi = {10.1109/IEEM.2014.7058621}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ieem/RautPSV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ilp/NatarajanPKKRS14, author = {Sriraam Natarajan and Jose Picado and Tushar Khot and Kristian Kersting and Christopher R{\'{e}} and Jude W. Shavlik}, editor = {Jesse Davis and Jan Ramon}, title = {Effectively Creating Weakly Labeled Training Examples via Approximate Domain Knowledge}, booktitle = {Inductive Logic Programming - 24th International Conference, {ILP} 2014, Nancy, France, September 14-16, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9046}, pages = {92--107}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-23708-4\_7}, doi = {10.1007/978-3-319-23708-4\_7}, timestamp = {Sat, 05 Sep 2020 18:04:48 +0200}, biburl = {https://dblp.org/rec/conf/ilp/NatarajanPKKRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwoca/RajanRMRP14, author = {R. Sundara Rajan and Indra Rajasingh and Paul D. Manuel and T. M. Rajalaxmi and N. Parthiban}, editor = {Jan Kratochv{\'{\i}}l and Mirka Miller and Dalibor Froncek}, title = {Embedding Circulant Networks into Butterfly and Benes Networks}, booktitle = {Combinatorial Algorithms - 25th International Workshop, {IWOCA} 2014, Duluth, MN, USA, October 15-17, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8986}, pages = {298--306}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-19315-1\_26}, doi = {10.1007/978-3-319-19315-1\_26}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwoca/RajanRMRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/LarrieuS14, author = {Robin Larrieu and Natarajan Shankar}, title = {A framework for high-assurance quasi-synchronous systems}, booktitle = {Twelfth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2014, Lausanne, Switzerland, October 19-21, 2014}, pages = {72--83}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MEMCOD.2014.6961845}, doi = {10.1109/MEMCOD.2014.6961845}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/LarrieuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nfm/ArltRRSS14, author = {Stephan Arlt and Cindy Rubio{-}Gonz{\'{a}}lez and Philipp R{\"{u}}mmer and Martin Sch{\"{a}}f and Natarajan Shankar}, editor = {Julia M. Badger and Kristin Yvonne Rozier}, title = {The Gradual Verifier}, booktitle = {{NASA} Formal Methods - 6th International Symposium, {NFM} 2014, Houston, TX, USA, April 29 - May 1, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8430}, pages = {313--327}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-06200-6\_27}, doi = {10.1007/978-3-319-06200-6\_27}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/nfm/ArltRRSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KoyejoNRD14, author = {Oluwasanmi Koyejo and Nagarajan Natarajan and Pradeep Ravikumar and Inderjit S. Dhillon}, editor = {Zoubin Ghahramani and Max Welling and Corinna Cortes and Neil D. Lawrence and Kilian Q. Weinberger}, title = {Consistent Binary Classification with Generalized Performance Metrics}, booktitle = {Advances in Neural Information Processing Systems 27: Annual Conference on Neural Information Processing Systems 2014, December 8-13 2014, Montreal, Quebec, Canada}, pages = {2744--2752}, year = {2014}, url = {https://proceedings.neurips.cc/paper/2014/hash/30c8e1ca872524fbf7ea5c519ca397ee-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/KoyejoNRD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/RazifFBNNY14, author = {Muhammad Rusydi Muhammad Razif and Ahmad Athif Mohd Faudzi and Mahrokh Bavandi and Ili Najaa Aimi Mohd Nordin and Elango Natarajan and Omar Yaakob}, title = {Two chambers soft actuator realizing robotic gymnotiform swimmers fin}, booktitle = {2014 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2014, Bali, Indonesia, December 5-10, 2014}, pages = {15--20}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ROBIO.2014.7090300}, doi = {10.1109/ROBIO.2014.7090300}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/RazifFBNNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/OlsenNW14, author = {Peder A. Olsen and Ramesh Natarajan and Sholom M. Weiss}, editor = {Mohammed Javeed Zaki and Zoran Obradovic and Pang{-}Ning Tan and Arindam Banerjee and Chandrika Kamath and Srinivasan Parthasarathy}, title = {Graphical Models for Identifying Fraud and Waste in Healthcare Claims}, booktitle = {Proceedings of the 2014 {SIAM} International Conference on Data Mining, Philadelphia, Pennsylvania, USA, April 24-26, 2014}, pages = {569--577}, publisher = {{SIAM}}, year = {2014}, url = {https://doi.org/10.1137/1.9781611973440.66}, doi = {10.1137/1.9781611973440.66}, timestamp = {Sun, 06 Oct 2024 21:13:56 +0200}, biburl = {https://dblp.org/rec/conf/sdm/OlsenNW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/ZhangNHBM14, author = {Ying Zhang and Sriram Natarajan and Xin Huang and Neda Beheshti and Ravi Manghirmalani}, editor = {Aditya Akella and Albert G. Greenberg}, title = {A compressive method for maintaining forwarding states in {SDN} controller}, booktitle = {Proceedings of the third workshop on Hot topics in software defined networking, HotSDN '14, Chicago, Illinois, USA, August 22, 2014}, pages = {139--144}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2620728.2620759}, doi = {10.1145/2620728.2620759}, timestamp = {Thu, 28 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/ZhangNHBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DuaKN14, author = {Raj S. Dua and Siddharth Katare and Narayanan Natarajan}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Resistorless on-die high voltage power supply noise measurement}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {390--392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948960}, doi = {10.1109/SOCC.2014.6948960}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/DuaKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/NatarajanMR14, author = {Suriya Natarajan and Amitava Majumdar and Jeyavijayan Rajendran}, title = {Hot topic session 9C: Test and fault tolerance for emerging memory technologies}, booktitle = {32nd {IEEE} {VLSI} Test Symposium, {VTS} 2014, Napa, CA, USA, April 13-17, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VTS.2014.6818788}, doi = {10.1109/VTS.2014.6818788}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/NatarajanMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/AgrawalBGKKN14, author = {Rajeev Agrawal and Anand S. Bedekar and Richa Gupta and Suresh Kalyanasundaram and Hans Kroener and Balamurali Natarajan}, title = {Dynamic point selection for LTE-advanced: Algorithms and performance}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2014, Istanbul, Turkey, April 6-9, 2014}, pages = {1392--1397}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WCNC.2014.6952393}, doi = {10.1109/WCNC.2014.6952393}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/AgrawalBGKKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/PolanskySG15, author = {Ronny J. Polansky and Samyukta Sethuraman and Natarajan Gautam}, editor = {Jeremy T. Bradley and William J. Knottenbelt and Nigel Thomas}, title = {Obtaining Optimal Thresholds for Processors with Speed-Scaling}, booktitle = {Proceedings of the Seventh International Workshop on the Practical Application of Stochastic Modelling, {PASM} 2014, Newcastle-upon-Tyne, UK, May 2014}, series = {Electronic Notes in Theoretical Computer Science}, volume = {310}, pages = {135--155}, publisher = {Elsevier}, year = {2014}, url = {https://doi.org/10.1016/j.entcs.2014.12.016}, doi = {10.1016/J.ENTCS.2014.12.016}, timestamp = {Thu, 10 Nov 2022 14:15:00 +0100}, biburl = {https://dblp.org/rec/journals/entcs/PolanskySG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/14/LarameeC0HLMNOPZ14, author = {Robert S. Laramee and Hamish A. Carr and Min Chen and Helwig Hauser and Lars Linsen and Klaus Mueller and Vijay Natarajan and Harald Obermaier and Ronald Peikert and Eugene Zhang}, editor = {Charles D. Hansen and Min Chen and Christopher R. Johnson and Arie E. Kaufman and Hans Hagen}, title = {Future Challenges and Unsolved Problems in Multi-field Visualization}, booktitle = {Scientific Visualization}, series = {Mathematics and Visualization}, pages = {205--211}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4471-6497-5\_19}, doi = {10.1007/978-1-4471-6497-5\_19}, timestamp = {Wed, 08 Feb 2023 10:32:17 +0100}, biburl = {https://dblp.org/rec/books/sp/14/LarameeC0HLMNOPZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/BonomiMNZ14, author = {Flavio Bonomi and Rodolfo A. Milito and Preethi Natarajan and Jiang Zhu}, editor = {Nik Bessis and Ciprian Dobre}, title = {Fog Computing: {A} Platform for Internet of Things and Analytics}, booktitle = {Big Data and Internet of Things: {A} Roadmap for Smart Environments}, series = {Studies in Computational Intelligence}, volume = {546}, pages = {169--186}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-05029-4\_7}, doi = {10.1007/978-3-319-05029-4\_7}, timestamp = {Sat, 05 Sep 2020 17:42:18 +0200}, biburl = {https://dblp.org/rec/series/sci/BonomiMNZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icdcit/2014, editor = {Raja Natarajan}, title = {Distributed Computing and Internet Technology - 10th International Conference, {ICDCIT} 2014, Bhubaneswar, India, February 6-9, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8337}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-04483-5}, doi = {10.1007/978-3-319-04483-5}, isbn = {978-3-319-04482-8}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/AgrawalABBCCGGGJJKKKMNOPPPSSS13, author = {Vikas Agrawal and Christopher Archibald and Mehul Bhatt and Hung Bui and Diane J. Cook and Juan Cort{\'{e}}s and Christopher W. Geib and Vibhav Gogate and Hans W. Guesgen and Dietmar Jannach and Michael Johanson and Kristian Kersting and George Dimitri Konidaris and Lars Kotthoff and Martin Michalowski and Sriraam Natarajan and Barry O'Sullivan and Marc Pickett and Vedran Podobnik and David Poole and Lokendra Shastri and Amarda Shehu and Gita Sukthankar}, title = {The {AAAI-13} Conference Workshops}, journal = {{AI} Mag.}, volume = {34}, number = {4}, pages = {9}, year = {2013}, url = {https://doi.org/10.1609/aimag.v34i4.2511}, doi = {10.1609/AIMAG.V34I4.2511}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aim/AgrawalABBCCGGGJJKKKMNOPPPSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/RakkiyappanSPK13, author = {R. Rakkiyappan and Natarajan Sakthivel and Ju H. Park and O. M. Kwon}, title = {Sampled-data state estimation for Markovian jumping fuzzy cellular neural networks with mode-dependent probabilistic time-varying delays}, journal = {Appl. Math. Comput.}, volume = {221}, pages = {741--769}, year = {2013}, url = {https://doi.org/10.1016/j.amc.2013.07.007}, doi = {10.1016/J.AMC.2013.07.007}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/RakkiyappanSPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ArighiCCKWFDCSDMLHGJRMBDBLCSPHALCMCBWLWTRSNCDW13, author = {Cecilia N. Arighi and Ben Carterette and K. Bretonnel Cohen and Martin Krallinger and W. John Wilbur and Petra Fey and Robert J. Dodson and Laurel Cooper and Ceri E. Van Slyke and Wasila M. Dahdul and Paula M. Mabee and Donghui Li and Bethany R. Harris and Marc Gillespie and Silvia Jimenez and Phoebe M. Roberts and Lisa Matthews and Kevin G. Becker and Harold J. Drabkin and Susan M. Bello and Luana Licata and Andrew Chatr{-}aryamontri and Mary L. Schaeffer and Julie Park and Melissa A. Haendel and Kimberly Van Auken and Yuling Li and Juancarlos Chan and Hans{-}Michael M{\"{u}}ller and Hong Cui and James P. Balhoff and Chi{-}Yang Wu and Zhiyong Lu and Chih{-}Hsuan Wei and Catalina O. Tudor and Kalpana Raja and Suresh Subramani and Jeyakumar Natarajan and Juan Miguel Cejuela and Pratibha Dubey and Cathy H. Wu}, title = {An overview of the BioCreative 2012 Workshop Track {III:} interactive text mining task}, journal = {Database J. Biol. Databases Curation}, volume = {2013}, year = {2013}, url = {https://doi.org/10.1093/database/bas056}, doi = {10.1093/DATABASE/BAS056}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ArighiCCKWFDCSDMLHGJRMBDBLCSPHALCMCBWLWTRSNCDW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/RajaSN13, author = {Kalpana Raja and Suresh Subramani and Jeyakumar Natarajan}, title = {PPInterFinder - a mining tool for extracting causal relations on human proteins from literature}, journal = {Database J. Biol. Databases Curation}, volume = {2013}, year = {2013}, url = {https://doi.org/10.1093/database/bas052}, doi = {10.1093/DATABASE/BAS052}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/RajaSN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KarpagamSSRSKY13, author = {Veerappan Karpagam and Natarajan Sathishkumar and Subramaniyam Sathiyamoorthy and Periannan Rasappan and Samuel Shila and Yeon{-}Ju Kim and Deok{-}Chun Yang}, title = {Identification of {BACE1} inhibitors from Panax ginseng saponins - An Insilco approach}, journal = {Comput. Biol. Medicine}, volume = {43}, number = {8}, pages = {1037--1044}, year = {2013}, url = {https://doi.org/10.1016/j.compbiomed.2013.05.009}, doi = {10.1016/J.COMPBIOMED.2013.05.009}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KarpagamSSRSKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorics/BohmanHN13, author = {Tom Bohman and Ron Holzman and Venkatesh Natarajan}, title = {On the Independence Numbers of the Cubes of Odd Cycles}, journal = {Electron. J. Comb.}, volume = {20}, number = {3}, pages = {10}, year = {2013}, url = {https://doi.org/10.37236/2598}, doi = {10.37236/2598}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorics/BohmanHN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/AnanthakrishnanPSN13, author = {Sankaranarayanan Ananthakrishnan and Rohit Prasad and David Stallard and Prem Natarajan}, title = {Batch-mode semi-supervised active learning for statistical machine translation}, journal = {Comput. Speech Lang.}, volume = {27}, number = {2}, pages = {397--406}, year = {2013}, url = {https://doi.org/10.1016/j.csl.2011.10.001}, doi = {10.1016/J.CSL.2011.10.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csl/AnanthakrishnanPSN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/PrasadNSSATKCMRDKC13, author = {Rohit Prasad and Prem Natarajan and David Stallard and Shirin Saleem and Shankar Ananthakrishnan and Stavros Tsakalidis and Chia{-}Lin Kao and Fred Choi and Ralf Meermeier and Mark Rawls and Jacob Devlin and Kriste Krstovski and Aaron Challenner}, title = {{BBN} TransTalk: Robust multilingual two-way speech-to-speech translation for mobile platforms}, journal = {Comput. Speech Lang.}, volume = {27}, number = {2}, pages = {475--491}, year = {2013}, url = {https://doi.org/10.1016/j.csl.2011.10.003}, doi = {10.1016/J.CSL.2011.10.003}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csl/PrasadNSSATKCMRDKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/NatarajanN13, author = {Pradeep Natarajan and Ramakant Nevatia}, title = {Hierarchical multi-channel hidden semi Markov graphical models for activity recognition}, journal = {Comput. Vis. Image Underst.}, volume = {117}, number = {10}, pages = {1329--1344}, year = {2013}, url = {https://doi.org/10.1016/j.cviu.2012.08.011}, doi = {10.1016/J.CVIU.2012.08.011}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/NatarajanN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iee/RajarathinamN13, author = {Kavitha Rajarathinam and Sureshkumar Natarajan}, title = {Test suite prioritisation using trace events technique}, journal = {{IET} Softw.}, volume = {7}, number = {2}, year = {2013}, url = {https://doi.org/10.1049/iet-sen.2011.0203}, doi = {10.1049/IET-SEN.2011.0203}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iee/RajarathinamN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaisc/SujathaPNKD13, author = {K. Sujatha and N. Pappa and U. Siddharth Nambi and K. Senthil Kumar and C. R. Raja Dinakaran}, title = {Automation of combustion monitoring in boilers using discriminant radial basis network}, journal = {Int. J. Artif. Intell. Soft Comput.}, volume = {3}, number = {3}, pages = {257--275}, year = {2013}, url = {https://doi.org/10.1504/IJAISC.2013.053406}, doi = {10.1504/IJAISC.2013.053406}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaisc/SujathaPNKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WuZSANN13, author = {Yue Wu and Yicong Zhou and George Saveriades and Sos S. Agaian and Joseph P. Noonan and Premkumar Natarajan}, title = {Local Shannon entropy measure with statistical tests for image randomness}, journal = {Inf. Sci.}, volume = {222}, pages = {323--342}, year = {2013}, url = {https://doi.org/10.1016/j.ins.2012.07.049}, doi = {10.1016/J.INS.2012.07.049}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WuZSANN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/RaghiniMV13, author = {M. Raghini and N. Uma Maheswari and R. Venkatesh}, title = {Overview on Key Distribution Primitives in Wireless Sensor Network}, journal = {J. Comput. Sci.}, volume = {9}, number = {5}, pages = {543--550}, year = {2013}, url = {https://doi.org/10.3844/jcssp.2013.543.550}, doi = {10.3844/JCSSP.2013.543.550}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/RaghiniMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FerrissPNRPTBYVSF13, author = {Mark A. Ferriss and Jean{-}Olivier Plouchart and Arun Natarajan and Alexander V. Rylyakov and Benjamin D. Parker and Jos{\'{e}} A. Tierno and Aydin Babakhani and Soner Yaldiz and Alberto Valdes{-}Garcia and Bodhisatwa Sadhu and Daniel J. Friedman}, title = {An Integral Path Self-Calibration Scheme for a Dual-Loop {PLL}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {996--1008}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2239114}, doi = {10.1109/JSSC.2013.2239114}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FerrissPNRPTBYVSF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SadhuFNYPRVPBRLPHTF13, author = {Bodhisatwa Sadhu and Mark A. Ferriss and Arun Natarajan and Soner Yaldiz and Jean{-}Olivier Plouchart and Alexander V. Rylyakov and Alberto Valdes{-}Garcia and Benjamin D. Parker and Aydin Babakhani and Scott K. Reynolds and Xin Li and Lawrence T. Pileggi and Ramesh Harjani and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A linearized, low-phase-noise VCO-based 25-GHz {PLL} with autonomic biasing}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {5}, pages = {1138--1150}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2252513}, doi = {10.1109/JSSC.2013.2252513}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SadhuFNYPRVPBRLPHTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SadhuFNYPRVPBRLPHTF13a, author = {Bodhisatwa Sadhu and Mark A. Ferriss and Arun S. Natarajan and Soner Yaldiz and Jean{-}Olivier Plouchart and Alexander V. Rylyakov and Alberto Valdes{-}Garcia and Benjamin D. Parker and Aydin Babakhani and Scott K. Reynolds and Xin Li and Lawrence T. Pillage and Ramesh Harjani and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {Correction to "A Linearized, Low Phase Noise {VCO} Based 25 GHz {PLL} With Autonomic Biasing"}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {6}, pages = {1539}, year = {2013}, url = {https://doi.org/10.1109/jssc.2013.2263875}, doi = {10.1109/JSSC.2013.2263875}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SadhuFNYPRVPBRLPHTF13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/MalakarG0MNSSV13, author = {Preeti Malakar and Thomas George and Sameer Kumar and Rashmi Mittal and Vijay Natarajan and Yogish Sabharwal and Vaibhav Saxena and Sathish S. Vadhiyar}, title = {A divide and conquer strategy for scaling weather simulations with multiple regions of interest}, journal = {Sci. Program.}, volume = {21}, number = {3-4}, pages = {93--107}, year = {2013}, url = {https://doi.org/10.3233/SPR-130367}, doi = {10.3233/SPR-130367}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/MalakarG0MNSSV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/WuTNN13, author = {Yue Wu and Brian Tracey and Premkumar Natarajan and Joseph P. Noonan}, title = {James-Stein Type Center Pixel Weights for Non-Local Means Image Denoising}, journal = {{IEEE} Signal Process. Lett.}, volume = {20}, number = {4}, pages = {411--414}, year = {2013}, url = {https://doi.org/10.1109/LSP.2013.2247755}, doi = {10.1109/LSP.2013.2247755}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/WuTNN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/WuTNN13a, author = {Yue Wu and Brian Tracey and Premkumar Natarajan and Joseph P. Noonan}, title = {Probabilistic Non-Local Means}, journal = {{IEEE} Signal Process. Lett.}, volume = {20}, number = {8}, pages = {763--766}, year = {2013}, url = {https://doi.org/10.1109/LSP.2013.2263135}, doi = {10.1109/LSP.2013.2263135}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/WuTNN13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PlouchartFNVSRPBBYPHRTF13, author = {Jean{-}Olivier Plouchart and Mark A. Ferriss and Arun Natarajan and Alberto Valdes{-}Garcia and Bodhisatwa Sadhu and Alexander V. Rylyakov and Benjamin D. Parker and Michael P. Beakes and Aydin Babakhani and Soner Yaldiz and Larry T. Pileggi and Ramesh Harjani and Scott K. Reynolds and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 23.5 GHz {PLL} With an Adaptively Biased {VCO} in 32 nm {SOI-CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {8}, pages = {2009--2017}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2265961}, doi = {10.1109/TCSI.2013.2265961}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PlouchartFNVSRPBBYPHRTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/NatarajanR13, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {Generalized Distributive Law for {ML} Decoding of Space-Time Block Codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {59}, number = {5}, pages = {2914--2935}, year = {2013}, url = {https://doi.org/10.1109/TIT.2013.2242956}, doi = {10.1109/TIT.2013.2242956}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/NatarajanR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/NatarajanSR13, author = {Lakshmi Prasad Natarajan and K. Pavan Srinath and B. Sundar Rajan}, title = {On the Sphere Decoding Complexity of High-Rate Multigroup Decodable STBCs in Asymmetric {MIMO} Systems}, journal = {{IEEE} Trans. Inf. Theory}, volume = {59}, number = {9}, pages = {5959--5965}, year = {2013}, url = {https://doi.org/10.1109/TIT.2013.2266925}, doi = {10.1109/TIT.2013.2266925}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/NatarajanSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/HarshaSNG13, author = {Pavithra Harsha and Mayank Sharma and Ramesh Natarajan and Soumyadip Ghosh}, title = {A Framework for the Analysis of Probabilistic Demand Response Schemes}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {4}, pages = {2274--2284}, year = {2013}, url = {https://doi.org/10.1109/TSG.2013.2278238}, doi = {10.1109/TSG.2013.2278238}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/HarshaSNG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/NatarajanR13, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {An Adaptive Conditional Zero-Forcing Decoder With Full-Diversity, Least Complexity and Essentially-ML Performance for STBCs}, journal = {{IEEE} Trans. Signal Process.}, volume = {61}, number = {2}, pages = {253--263}, year = {2013}, url = {https://doi.org/10.1109/TSP.2012.2223697}, doi = {10.1109/TSP.2012.2223697}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/NatarajanR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/DoraiswamyNN13, author = {Harish Doraiswamy and Vijay Natarajan and Ravi S. Nanjundiah}, title = {An Exploration Framework to Identify and Track Movement of Cloud Systems}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {19}, number = {12}, pages = {2896--2905}, year = {2013}, url = {https://doi.org/10.1109/TVCG.2013.131}, doi = {10.1109/TVCG.2013.131}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/DoraiswamyNN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NatarajanR13, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {Full-Rate Full-Diversity Finite Feedback Space-Time Schemes with Minimum Feedback and Transmission Duration}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {10}, pages = {5022--5034}, year = {2013}, url = {https://doi.org/10.1109/TWC.2013.090513.122003}, doi = {10.1109/TWC.2013.090513.122003}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/NatarajanR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NatarajanR13a, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {Asymptotically-Good, Multigroup Decodable Space-Time Block Codes}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {10}, pages = {5035--5047}, year = {2013}, url = {https://doi.org/10.1109/TWC.2013.090313.121748}, doi = {10.1109/TWC.2013.090313.121748}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/NatarajanR13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/NatalJPENMMC13, author = {Alberto Rodr{\'{\i}}guez{-}Natal and Lor{\'{a}}nd Jakab and Marc Portoles{-}Comeras and Vina Ermagan and Preethi Natarajan and Fabio Maino and David Meyer and Albert Cabellos{-}Aparicio}, title = {{LISP-MN:} Mobile Networking Through {LISP}}, journal = {Wirel. Pers. Commun.}, volume = {70}, number = {1}, pages = {253--266}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0692-5}, doi = {10.1007/S11277-012-0692-5}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/NatalJPENMMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/NatarajanPKKRS13, author = {Sriraam Natarajan and Jose Picado and Tushar Khot and Kristian Kersting and Christopher R{\'{e}} and Jude W. Shavlik}, title = {Using Commonsense Knowledge to Automatically Create (Noisy) Training Examples from Text}, booktitle = {Statistical Relational Artificial Intelligence, Papers from the 2013 {AAAI} Workshop, Bellevue, Washington, USA, July 15, 2013}, series = {{AAAI} Technical Report}, volume = {{WS-13-16}}, publisher = {{AAAI}}, year = {2013}, url = {http://www.aaai.org/ocs/index.php/WS/AAAIW13/paper/view/7040}, timestamp = {Tue, 05 Sep 2023 08:59:27 +0200}, biburl = {https://dblp.org/rec/conf/aaai/NatarajanPKKRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/NordinRFNIS13, author = {Ili Najaa Aimi Mohd Nordin and Muhammad Rusydi Muhammad Razif and Ahmad Athif Mohd Faudzi and Elango Natarajan and Kazuhiro Iwata and Koichi Suzumori}, title = {3-D finite-element analysis of fiber-reinforced soft bending actuator for finger flexion}, booktitle = {2013 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, Wollongong, Australia, July 9-12, 2013}, pages = {128--133}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/AIM.2013.6584080}, doi = {10.1109/AIM.2013.6584080}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/NordinRFNIS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/NatarajanPGAMMG13, author = {Annamalai Natarajan and Abhinav Parate and Edward Gaiser and Gustavo Angarita and Robert Malison and Benjamin M. Marlin and Deepak Ganesan}, title = {Detecting Signatures of Cocaine Using On-Body Sensors}, booktitle = {{AMIA} 2013, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2013}, publisher = {{AMIA}}, year = {2013}, url = {https://knowledge.amia.org/amia-55142-a2013e-1.580047/t-06-1.582200/f-006-1.582201/a-372-1.582881/a-373-1.582875}, timestamp = {Wed, 17 Apr 2024 11:47:55 +0200}, biburl = {https://dblp.org/rec/conf/amia/NatarajanPGAMMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/SubasriNS13, author = {R. Subasri and A. M. Natarajan and Suresh Sundaram}, title = {Neural aided discrete {PID} active controller for non-linear hysteretic base-isolation building}, booktitle = {9th Asian Control Conference, {ASCC} 2013, Istanbul, Turkey, June 23-26, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASCC.2013.6606161}, doi = {10.1109/ASCC.2013.6606161}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/SubasriNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/ChengRS13, author = {Chih{-}Hong Cheng and Harald Ruess and Natarajan Shankar}, editor = {Natasha Sharygina and Helmut Veith}, title = {JBernstein: {A} Validity Checker for Generalized Polynomial Constraints}, booktitle = {Computer Aided Verification - 25th International Conference, {CAV} 2013, Saint Petersburg, Russia, July 13-19, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8044}, pages = {656--661}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39799-8\_43}, doi = {10.1007/978-3-642-39799-8\_43}, timestamp = {Wed, 07 Dec 2022 23:12:58 +0100}, biburl = {https://dblp.org/rec/conf/cav/ChengRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/RoseveareN13, author = {Nicholas Roseveare and Balasubramaniam Natarajan}, title = {A structured approach to optimization of energy harvesting wireless sensor networks}, booktitle = {10th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {420--425}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCNC.2013.6488477}, doi = {10.1109/CCNC.2013.6488477}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/RoseveareN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cica/SubasriNS13, author = {R. Subasri and A. M. Natarajan and Suresh Sundaram}, title = {Discrete direct adaptive {ELM} controller for seismically excited non-linear base-isolated buildings}, booktitle = {{IEEE} Symposium on Computational Intelligence in Control and Automation, {CICA} 2013, Singapore, April 16-19, 2013}, pages = {148--154}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICA.2013.6611676}, doi = {10.1109/CICA.2013.6611676}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cica/SubasriNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/SrinivasanNSGRR13, author = {Balaji Vasan Srinivasan and Anandhavelu Natarajan and Ritwik Sinha and Vineet Gupta and Shriram Revankar and Balaraman Ravindran}, editor = {Liangjie Hong and Shuang{-}Hong Yang}, title = {Will your facebook post be engaging?}, booktitle = {Proceedings of the 1st workshop on User engagement optimization, UEO@CIKM 2013, San Francisco, California, USA, November 1, 2013}, pages = {25--28}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2512875.2512881}, doi = {10.1145/2512875.2512881}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/SrinivasanNSGRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudnet/NatarajanRM13, author = {Sriram Natarajan and Anantha Ramaiah and Mayan Mathen}, editor = {Xiaoming Fu and Puneet Sharma and Dijiang Huang and Deep Medhi}, title = {A Software defined Cloud-Gateway automation system using OpenFlow}, booktitle = {{IEEE} 2nd International Conference on Cloud Networking, CloudNet 2013, San Francisco, CA, USA, November 11-13, 2013}, pages = {219--226}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CloudNet.2013.6710582}, doi = {10.1109/CLOUDNET.2013.6710582}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudnet/NatarajanRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/educon/TsaiNAYLCRHM13, author = {Flora S. Tsai and Karthik Natarajan and Selin Damla Ahipasaoglu and Chau Yuen and Hyowon Lee and Ngai{-}Man Cheung and Justin Ruths and Shisheng Huang and Thomas L. Magnanti}, title = {From Boxes to bees: Active learning in freshmen calculus}, booktitle = {{IEEE} Global Engineering Education Conference, {EDUCON} 2013, Berlin, Germany, March 13-15, 2013}, pages = {59--68}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EduCon.2013.6530087}, doi = {10.1109/EDUCON.2013.6530087}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/educon/TsaiNAYLCRHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/SoldanGSHVN13, author = {David L. Soldan and Don M. Gruenbacher and Noel N. Schulz and William B. Hageman and Blythe Marlow Vogt and Rekha Natarajan}, editor = {Randa L. Shehab and James J. Sluss and Deborah Anne Trytten}, title = {Accelerating engineering degree completion for military veterans}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2013, Oklahoma City, Oklahoma, USA, October 23-26, 2013}, pages = {1231--1232}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/FIE.2013.6685025}, doi = {10.1109/FIE.2013.6685025}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/SoldanGSHVN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/ChakaravarthyCNR13, author = {Venkatesan T. Chakaravarthy and Anamitra R. Choudhury and Sivaramakrishnan R. Natarajan and Sambuddha Roy}, editor = {Anil Seth and Nisheeth K. Vishnoi}, title = {Knapsack Cover Subject to a Matroid Constraint}, booktitle = {{IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2013, December 12-14, 2013, Guwahati, India}, series = {LIPIcs}, volume = {24}, pages = {275--286}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2013}, url = {https://doi.org/10.4230/LIPIcs.FSTTCS.2013.275}, doi = {10.4230/LIPICS.FSTTCS.2013.275}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/fsttcs/ChakaravarthyCNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpsr/PanNPPSBV13, author = {Rong Pan and Preethi Natarajan and Chiara Piglione and Mythili Suryanarayana Prabhu and Vijay G. Subramanian and Fred Baker and Bill VerSteeg}, title = {{PIE:} {A} lightweight control scheme to address the bufferbloat problem}, booktitle = {{IEEE} 14th International Conference on High Performance Switching and Routing, {HPSR} 2013, Taipei, Taiwan, July 8-11, 2013}, pages = {148--155}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPSR.2013.6602305}, doi = {10.1109/HPSR.2013.6602305}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpsr/PanNPPSBV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/NatarajanPGAMMG13, author = {Annamalai Natarajan and Abhinav Parate and Edward Gaiser and Gustavo Angarita and Robert Malison and Benjamin M. Marlin and Deepak Ganesan}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Detecting cocaine use with wearable electrocardiogram sensors}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013}, pages = {123--132}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2493432.2493496}, doi = {10.1145/2493432.2493496}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/NatarajanPGAMMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iaai/NatarajanKIJC13, author = {Sriraam Natarajan and Kristian Kersting and Edward Hak{-}Sing Ip and David R. Jacobs Jr. and Jeffrey Carr}, editor = {Hector Mu{\~{n}}oz{-}Avila and David J. Stracuzzi}, title = {Early Prediction of Coronary Artery Calcification Levels Using Machine Learning}, booktitle = {Proceedings of the Twenty-Fifth Innovative Applications of Artificial Intelligence Conference, {IAAI} 2013, July 14-18, 2013, Bellevue, Washington, {USA}}, pages = {1557--1562}, publisher = {{AAAI}}, year = {2013}, url = {https://doi.org/10.1609/aaai.v27i2.19001}, doi = {10.1609/AAAI.V27I2.19001}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iaai/NatarajanKIJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenAKPN13, author = {Wei Chen and Sankaranarayanan Ananthakrishnan and Rohit Kumar and Rohit Prasad and Prem Natarajan}, title = {{ASR} error detection in a conversational spoken language translation system}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {7418--7422}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6639104}, doi = {10.1109/ICASSP.2013.6639104}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenAKPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/VembuNWPN13, author = {Aravind Namandi Vembu and Pradeep Natarajan and Shuang Wu and Rohit Prasad and Prem Natarajan}, title = {Graph based multimodal word clustering for video event detection}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {3667--3671}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6638342}, doi = {10.1109/ICASSP.2013.6638342}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/VembuNWPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/FastowRNHOULCBL13, author = {Richard M. Fastow and Stephan Rosner and Venkat Natarajan and Qamrul Hasan and Jens Olson and Markus Unseld and Feng Liu and Handoko Chendra and Ojas A. Bapat and Chen Liu}, title = {{HW/SW} architecture for speech recognition acceleration}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {608--609}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6487038}, doi = {10.1109/ICCE.2013.6487038}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/FastowRNHOULCBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/ChenPCN13, author = {Jinying Chen and Rohit Prasad and Huaigu Cao and Premkumar Natarajan}, title = {Detecting {OOV} Names in Arabic Handwritten Data}, booktitle = {12th International Conference on Document Analysis and Recognition, {ICDAR} 2013, Washington, DC, USA, August 25-28, 2013}, pages = {994--998}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICDAR.2013.200}, doi = {10.1109/ICDAR.2013.200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/ChenPCN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/PengCSPN13, author = {Xujun Peng and Huaigu Cao and Krishna Subramanian and Rohit Prasad and Prem Natarajan}, title = {Exploiting Stroke Orientation for {CRF} Based Binarization of Historical Documents}, booktitle = {12th International Conference on Document Analysis and Recognition, {ICDAR} 2013, Washington, DC, USA, August 25-28, 2013}, pages = {1034--1038}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICDAR.2013.207}, doi = {10.1109/ICDAR.2013.207}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/PengCSPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MalakarNVN13, author = {Preeti Malakar and Vijay Natarajan and Sathish S. Vadhiyar and Ravi S. Nanjundiah}, title = {A Diffusion-Based Processor Reallocation Strategy for Tracking Multiple Dynamically Varying Weather Phenomena}, booktitle = {42nd International Conference on Parallel Processing, {ICPP} 2013, Lyon, France, October 1-4, 2013}, pages = {50--59}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPP.2013.14}, doi = {10.1109/ICPP.2013.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/MalakarNVN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NatarajanC13, author = {Ragavendra Natarajan and Mainak Chaudhuri}, title = {Characterizing multi-threaded applications for designing sharing-aware last-level cache replacement policies}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704665}, doi = {10.1109/IISWC.2013.6704665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NatarajanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChenAPN13, author = {Wei Chen and Sankaranarayanan Ananthakrishnan and Rohit Prasad and Prem Natarajan}, editor = {Fr{\'{e}}d{\'{e}}ric Bimbot and Christophe Cerisara and C{\'{e}}cile Fougeron and Guillaume Gravier and Lori Lamel and Fran{\c{c}}ois Pellegrino and Pascal Perrier}, title = {Variable-Span out-of-vocabulary named entity detection}, booktitle = {14th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2013, Lyon, France, August 25-29, 2013}, pages = {3761--3765}, publisher = {{ISCA}}, year = {2013}, url = {https://doi.org/10.21437/Interspeech.2013-594}, doi = {10.21437/INTERSPEECH.2013-594}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChenAPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZhuangWNPN13, author = {Xiaodan Zhuang and Shuang Wu and Pradeep Natarajan and Rohit Prasad and Prem Natarajan}, editor = {Fr{\'{e}}d{\'{e}}ric Bimbot and Christophe Cerisara and C{\'{e}}cile Fougeron and Guillaume Gravier and Lori Lamel and Fran{\c{c}}ois Pellegrino and Pascal Perrier}, title = {Audio self organized units for high-level event detection}, booktitle = {14th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2013, Lyon, France, August 25-29, 2013}, pages = {2953--2957}, publisher = {{ISCA}}, year = {2013}, url = {https://doi.org/10.21437/Interspeech.2013-659}, doi = {10.21437/INTERSPEECH.2013-659}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ZhuangWNPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/NatarajanR13, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {Full-rate, full-diversity, finite feedback space-time schemes with minimum feedback and transmission duration}, booktitle = {Proceedings of the 2013 {IEEE} International Symposium on Information Theory, Istanbul, Turkey, July 7-12, 2013}, pages = {2915--2919}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIT.2013.6620759}, doi = {10.1109/ISIT.2013.6620759}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/NatarajanR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCCCLLCNLWLWCCC13, author = {Jonathan Chang and Yen{-}Huei Chen and Hank Cheng and Wei{-}Min Chan and Hung{-}Jen Liao and Quincy Li and Stanley Chang and Sreedhar Natarajan and Robin Lee and Ping{-}Wei Wang and Shyue{-}Shyh Lin and Chung{-}Cheng Wu and Kuan{-}Lun Cheng and Min Cao and George H. Chang}, title = {A 20nm 112Mb {SRAM} in High-{\cyrchar\cyrk} metal-gate with assist circuitry for low-leakage and low-VMIN applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {316--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487750}, doi = {10.1109/ISSCC.2013.6487750}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCCCLLCNLWLWCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtsummit/Ananthakrishnan13, author = {Sankaranarayanan Ananthakrishnan and Sanjika Hewavitharana and Rohit Kumar and Enoch Kan and Rohit Prasad and Prem Natarajan}, editor = {Andy Way and Khalil Sima'an and Mikel L. Forcada}, title = {Semi-Supervised Word Sense Disambiguation for Mixed-Initiative Conversational Spoken Language Translation}, booktitle = {Proceedings of Machine Translation Summit {XIV:} Papers, MTSummit 2013, Nice, France, September 2-6, 2013}, year = {2013}, url = {https://aclanthology.org/2013.mtsummit-papers.6}, timestamp = {Mon, 25 Oct 2021 15:03:55 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/Ananthakrishnan13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/NatarajanDRT13, author = {Nagarajan Natarajan and Inderjit S. Dhillon and Pradeep Ravikumar and Ambuj Tewari}, editor = {Christopher J. C. Burges and L{\'{e}}on Bottou and Zoubin Ghahramani and Kilian Q. Weinberger}, title = {Learning with Noisy Labels}, booktitle = {Advances in Neural Information Processing Systems 26: 27th Annual Conference on Neural Information Processing Systems 2013. Proceedings of a meeting held December 5-8, 2013, Lake Tahoe, Nevada, United States}, pages = {1196--1204}, year = {2013}, url = {https://proceedings.neurips.cc/paper/2013/hash/3871bd64012152bfb53fdf04b401193f-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/NatarajanDRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/SahaKRMN13, author = {Baidya Nath Saha and Gautam Kunapuli and Nilanjan Ray and Joseph A. Maldjian and Sriraam Natarajan}, editor = {Hendrik Blockeel and Kristian Kersting and Siegfried Nijssen and Filip Zelezn{\'{y}}}, title = {AR-Boost: Reducing Overfitting by a Robust Data-Driven Regularization Strategy}, booktitle = {Machine Learning and Knowledge Discovery in Databases - European Conference, {ECML} {PKDD} 2013, Prague, Czech Republic, September 23-27, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8190}, pages = {1--16}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40994-3\_1}, doi = {10.1007/978-3-642-40994-3\_1}, timestamp = {Tue, 21 Mar 2023 21:00:11 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/SahaKRMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/SubramanianGHNZ13, author = {Shivaram Subramanian and Soumyadip Ghosh and Jonathan R. M. Hosking and Ramesh Natarajan and Xiaoxuan Zhang}, title = {Dynamic price optimization models for managing time-of-day electricity usage}, booktitle = {{IEEE} Fourth International Conference on Smart Grid Communications, SmartGridComm 2013, Vancouver, BC, Canada, October 21-24, 2013}, pages = {163--168}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SmartGridComm.2013.6687951}, doi = {10.1109/SMARTGRIDCOMM.2013.6687951}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/SubramanianGHNZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/KhotZSNR13, author = {Tushar Khot and Ce Zhang and Jude W. Shavlik and Sriraam Natarajan and Christopher R{\'{e}}}, editor = {Ellen M. Voorhees}, title = {Bootstrapping Knowledge Base Acceleration}, booktitle = {Proceedings of The Twenty-Second Text REtrieval Conference, {TREC} 2013, Gaithersburg, Maryland, USA, November 19-22, 2013}, series = {{NIST} Special Publication}, volume = {500-302}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2013}, url = {http://trec.nist.gov/pubs/trec22/papers/wisc-kba.pdf}, timestamp = {Wed, 07 Jul 2021 16:44:22 +0200}, biburl = {https://dblp.org/rec/conf/trec/KhotZSNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/SridharamurthyD13, author = {Raghavendra Sridharamurthy and Harish Doraiswamy and Siddharth Patel and Raghavan Varadarajan and Vijay Natarajan}, editor = {Mario Hlawitschka and Tino Weinkauf}, title = {Extraction of Robust Voids and Pockets in Proteins}, booktitle = {15th Eurographics Conference on Visualization, EuroVis 2013 - Short Papers, Leipzig, Germany, June 17-21, 2013}, publisher = {Eurographics Association}, year = {2013}, url = {https://doi.org/10.2312/PE.EuroVisShort.EuroVisShort2013.067-071}, doi = {10.2312/PE.EUROVISSHORT.EUROVISSHORT2013.067-071}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vissym/SridharamurthyD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NatarajanAKKA13, author = {Balamurali Natarajan and Naveen Arulselvan and Suresh Kalyanasundaram and Hans Kroener and Rajeev Agrawal}, title = {Channel Orthogonality and Utility-Based {UE} Pairing Schemes for {LTE} Uplink {MU-MIMO}}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692132}, doi = {10.1109/VTCFALL.2013.6692132}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NatarajanAKKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/YamaguchiARNC13, author = {Takahiro J. Yamaguchi and Jacob A. Abraham and Gordon W. Roberts and Suriyaprakash Natarajan and Dennis J. Ciplickas}, title = {Special session 12B: Panel post-silicon validation {\&} test in huge variance era}, booktitle = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA, April 29 - May 2, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/VTS.2013.6548945}, doi = {10.1109/VTS.2013.6548945}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/YamaguchiARNC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ArpitWNPN13, author = {Devansh Arpit and Shuang Wu and Pradeep Natarajan and Rohit Prasad and Premkumar Natarajan}, title = {Ridge Regression based classifiers for large scale class imbalanced datasets}, booktitle = {2013 {IEEE} Workshop on Applications of Computer Vision, {WACV} 2013, Clearwater Beach, FL, USA, January 15-17, 2013}, pages = {267--274}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WACV.2013.6475028}, doi = {10.1109/WACV.2013.6475028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ArpitWNPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/VitaladevuniNWZPN13, author = {Shiv Naga Prasad Vitaladevuni and Pradeep Natarajan and Shuang Wu and Xiaodan Zhuang and Rohit Prasad and Premkumar Natarajan}, title = {Scene image categorization and video event detection using Naive Bayes Nearest Neighbor}, booktitle = {2013 {IEEE} Workshop on Applications of Computer Vision, {WACV} 2013, Clearwater Beach, FL, USA, January 15-17, 2013}, pages = {140--147}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WACV.2013.6475011}, doi = {10.1109/WACV.2013.6475011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/VitaladevuniNWZPN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/AgrawalAKNSX13, author = {Rajeev Agrawal and Naveen Arulselvan and Suresh Kalyanasundaram and Balamurali Natarajan and Vijay G. Subramanian and Hua Xu}, title = {Interference Penalty Algorithm {(IPA)} for inter-cell interference co-ordination in {LTE} uplink}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {923--928}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554687}, doi = {10.1109/WCNC.2013.6554687}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/AgrawalAKNSX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChengSRB13, author = {Chih{-}Hong Cheng and Natarajan Shankar and Harald Ruess and Saddek Bensalem}, title = {{EFSMT:} {A} Logical Framework for Cyber-Physical Systems}, journal = {CoRR}, volume = {abs/1306.3456}, year = {2013}, url = {http://arxiv.org/abs/1306.3456}, eprinttype = {arXiv}, eprint = {1306.3456}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChengSRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-5762, author = {Yue Wu and Brian Tracey and Premkumar Natarajan and Joseph P. Noonan}, title = {Probabilistic Non-Local Means}, journal = {CoRR}, volume = {abs/1302.5762}, year = {2013}, url = {http://arxiv.org/abs/1302.5762}, eprinttype = {arXiv}, eprint = {1302.5762}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-5762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1305-4298, author = {Yue Wu and Brian Tracey and Premkumar Natarajan and Joseph P. Noonan}, title = {Blockwise {SURE} Shrinkage for Non-Local Means}, journal = {CoRR}, volume = {abs/1305.4298}, year = {2013}, url = {http://arxiv.org/abs/1305.4298}, eprinttype = {arXiv}, eprint = {1305.4298}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1305-4298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/at/GraserKRNF12, author = {Axel Gr{\"{a}}ser and Olena Kuzmicheva and Danijela Ristic{-}Durrant and Saravana K. Natarajan and Christos Fragkopoulos}, title = {Vision-based Control of Assistive Robot {FRIEND:} Practical Experiences and Design Conclusions}, journal = {Autom.}, volume = {60}, number = {5}, pages = {297--308}, year = {2012}, url = {https://doi.org/10.1524/auto.2012.0997}, doi = {10.1524/AUTO.2012.0997}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/at/GraserKRNF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/NatarajanGS12, author = {Sathish Natarajan and Kaushik Ghosh and Rajagopalan Srinivasan}, title = {An ontology for distributed process supervision of large-scale chemical plants}, journal = {Comput. Chem. Eng.}, volume = {46}, pages = {124--140}, year = {2012}, url = {https://doi.org/10.1016/j.compchemeng.2012.06.009}, doi = {10.1016/J.COMPCHEMENG.2012.06.009}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/NatarajanGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cis/JainTRN12, author = {Rajni Jain and Vinopraba Thirumavalavan and Thota K. Radhakrishnan and Sivakumaran Natarajan}, title = {Design of Hybrid Fuzzy-pi controllers for {MIMO} Process}, journal = {Control. Intell. Syst.}, volume = {40}, number = {4}, year = {2012}, url = {https://doi.org/10.2316/Journal.201.2012.4.201-2220}, doi = {10.2316/JOURNAL.201.2012.4.201-2220}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cis/JainTRN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/RajalaxmiN12, author = {Rajalaxmi Ramasamy Rajammal and A. M. Natarajan}, title = {Effective sanitization approaches to hide sensitive utility and frequent itemsets}, journal = {Intell. Data Anal.}, volume = {16}, number = {6}, pages = {933--951}, year = {2012}, url = {https://doi.org/10.3233/IDA-2012-00560}, doi = {10.3233/IDA-2012-00560}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/RajalaxmiN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmor/RajuS12, author = {I. Venkat Appal Raju and N. Selvaraju}, title = {Growth Optimal Portfolio for unobservable Markov-modulated markets}, journal = {Int. J. Math. Oper. Res.}, volume = {4}, number = {1}, pages = {31--40}, year = {2012}, url = {https://doi.org/10.1504/IJMOR.2012.044471}, doi = {10.1504/IJMOR.2012.044471}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmor/RajuS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/NatarajanMHZ12, author = {Ragavendra Natarajan and Vineeth Mekkat and Wei{-}Chung Hsu and Antonia Zhai}, title = {Effectiveness of Compiler-Directed Prefetching on Data Mining Benchmarks}, journal = {J. Circuits Syst. Comput.}, volume = {21}, number = {2}, year = {2012}, url = {https://doi.org/10.1142/S0218126612400063}, doi = {10.1142/S0218126612400063}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/NatarajanMHZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ArvindKS12, author = {R. Arvind and B. Karthik and Natarajan Sriraam}, title = {Multi-feature Characterization of Epileptic Activity for Construction of an Automated Internet-based Annotated Classification}, journal = {J. Medical Syst.}, volume = {36}, number = {3}, pages = {1155--1163}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9577-x}, doi = {10.1007/S10916-010-9577-X}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ArvindKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/GreveYMPNKAMSKH12, author = {Kristiaan De Greve and Leo Yu and Peter L. McMahon and Jason S. Pelc and Chandra M. Natarajan and Na Young Kim and Eisuke Abe and Sebastian Maier and Christian Schneider and Martin Kamp and Sven H{\"{o}}fling and Robert H. Hadfield and Alfred Forchel and Martin M. Fejer and Yoshihisa Yamamoto}, title = {Quantum-dot spin-photon entanglement via frequency downconversion to telecom wavelength}, journal = {Nat.}, volume = {491}, number = {7424}, pages = {421--425}, year = {2012}, url = {https://doi.org/10.1038/nature11577}, doi = {10.1038/NATURE11577}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/GreveYMPNKAMSKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/RoseveareN12, author = {Nicholas Roseveare and Balasubramaniam Natarajan}, title = {Distributed Tracking with Energy Management in Wireless Sensor Networks}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {48}, number = {4}, pages = {3494--3511}, year = {2012}, url = {https://doi.org/10.1109/TAES.2012.6324730}, doi = {10.1109/TAES.2012.6324730}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/RoseveareN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topics/IyengarMZN12, author = {Sudarshan Iyengar and C. E. Veni Madhavan and Katharina Anna Zweig and Abhiram Natarajan}, title = {Understanding Human Navigation Using Network Analysis}, journal = {Top. Cogn. Sci.}, volume = {4}, number = {1}, pages = {121--134}, year = {2012}, url = {https://doi.org/10.1111/j.1756-8765.2011.01178.x}, doi = {10.1111/J.1756-8765.2011.01178.X}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/topics/IyengarMZN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/BrownSNR12, author = {Hilary E. Brown and Siddharth Suryanarayanan and Sudarshan Natarajan and Sanjay V. Rajopadhye}, title = {Improving Reliability of Islanded Distribution Systems With Distributed Renewable Energy Resources}, journal = {{IEEE} Trans. Smart Grid}, volume = {3}, number = {4}, pages = {2028--2038}, year = {2012}, url = {https://doi.org/10.1109/TSG.2012.2200703}, doi = {10.1109/TSG.2012.2200703}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/BrownSNR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/SivakamasundariKNR12, author = {J. Sivakamasundari and Ganesan Kavitha and V. Natarajan and Swaminathan Ramakrishnan}, editor = {Jeng{-}Shyang Pan and Shyi{-}Ming Chen and Ngoc Thanh Nguyen}, title = {Content Based Human Retinal Image Retrieval Using Vascular Feature Extraction}, booktitle = {Intelligent Information and Database Systems - 4th Asian Conference, {ACIIDS} 2012, Kaohsiung, Taiwan, March 19-21, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7197}, pages = {468--476}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28490-8\_49}, doi = {10.1007/978-3-642-28490-8\_49}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/SivakamasundariKNR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SanatkarN12, author = {Mohammad Reza Sanatkar and Balasubramaniam Natarajan}, title = {Power selection for maximizing {SINR} in femtocells with sectorized antennas}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {690--692}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCNC.2012.6181146}, doi = {10.1109/CCNC.2012.6181146}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/SanatkarN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SanatkarNM12, author = {Mohammad Reza Sanatkar and Balasubramaniam Natarajan and Abbas Mohammadi}, title = {Route {BER} estimation in wireless ad hoc networks exploiting minimum distance routing}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {926--930}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCNC.2012.6180961}, doi = {10.1109/CCNC.2012.6180961}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/SanatkarNM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccseit/JeyanthiMV12, author = {S. Jeyanthi and N. Uma Maheswari and R. Venkatesh}, editor = {Natarajan Meghanathan and Michal Wozniak}, title = {Implementation of biometrics based security system with integrated techniques}, booktitle = {The Second International Conference on Computational Science, Engineering and Information Technology, {CCSEIT} '12, Coimbatore, India, October 26-28, 2012}, pages = {37--42}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2393216.2393223}, doi = {10.1145/2393216.2393223}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccseit/JeyanthiMV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/PlouchartFNVSRPBBYPHRTF12, author = {Jean{-}Olivier Plouchart and Mark A. Ferriss and Arun Natarajan and Alberto Valdes{-}Garcia and Bodhisatwa Sadhu and Alexander V. Rylyakov and Benjamin D. Parker and Michael P. Beakes and Aydin Babakhani and Soner Yaldiz and Lawrence T. Pileggi and Ramesh Harjani and Scott K. Reynolds and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 23.5GHz {PLL} with an adaptively biased {VCO} in 32nm {SOI-CMOS}}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330593}, doi = {10.1109/CICC.2012.6330593}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/PlouchartFNVSRPBBYPHRTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NatarajanWVZTPPN12, author = {Pradeep Natarajan and Shuang Wu and Shiv Naga Prasad Vitaladevuni and Xiaodan Zhuang and Stavros Tsakalidis and Unsang Park and Rohit Prasad and Premkumar Natarajan}, title = {Multimodal feature fusion for robust event detection in web videos}, booktitle = {2012 {IEEE} Conference on Computer Vision and Pattern Recognition, Providence, RI, USA, June 16-21, 2012}, pages = {1298--1305}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CVPR.2012.6247814}, doi = {10.1109/CVPR.2012.6247814}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/NatarajanWVZTPPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiSVLARHTKS12, author = {Yaoguang Wei and Cliff C. N. Sze and Natarajan Viswanathan and Zhuo Li and Charles J. Alpert and Lakshmi N. Reddy and Andrew D. Huber and Gustavo E. T{\'{e}}llez and Douglas Keller and Sachin S. Sapatnekar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{GLARE:} global and local wiring aware routability evaluation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {768--773}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228499}, doi = {10.1145/2228360.2228499}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WeiSVLARHTKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/das/KangDCPN12, author = {Le Kang and David S. Doermann and Huaigu Cao and Rohit Prasad and Prem Natarajan}, editor = {Michael Blumenstein and Umapada Pal and Seiichi Uchida}, title = {Local Segmentation of Touching Characters Using Contour Based Shape Decomposition}, booktitle = {10th {IAPR} International Workshop on Document Analysis Systems, {DAS} 2012, Gold Coast, Queenslands, Australia, March 27-29, 2012}, pages = {460--464}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DAS.2012.53}, doi = {10.1109/DAS.2012.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/das/KangDCPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/RocheNBS12, author = {Robin Roche and Sudarshan Natarajan and Ayan Bhattacharyya and Siddharth Suryanarayanan}, editor = {Abdelkader Hameurlain and A Min Tjoa and Roland R. Wagner}, title = {A Framework for Co-simulation of {AI} Tools with Power Systems Analysis Software}, booktitle = {23rd International Workshop on Database and Expert Systems Applications, {DEXA} 2012, Vienna, Austria, September 3-7, 2012}, pages = {350--354}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DEXA.2012.9}, doi = {10.1109/DEXA.2012.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexaw/RocheNBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eamt/ChenDCPN12, author = {Jinying Chen and Jacob Devlin and Huaigu Cao and Rohit Prasad and Premkumar Natarajan}, editor = {Mauro Cettolo and Marcello Federico and Lucia Specia and Andy Way}, title = {Automatic Tune Set Generation for Machine Translation with Limited Indomain Data}, booktitle = {Proceedings of the 16th Annual conference of the European Association for Machine Translation, {EAMT} 2012, Trento, Italy, May 28-30, 2012}, pages = {161--168}, publisher = {European Association for Machine Translation}, year = {2012}, url = {https://aclanthology.org/2012.eamt-1.40/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eamt/ChenDCPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/NatarajanWVZPPN12, author = {Pradeep Natarajan and Shuang Wu and Shiv Naga Prasad Vitaladevuni and Xiaodan Zhuang and Unsang Park and Rohit Prasad and Premkumar Natarajan}, editor = {Andrew W. Fitzgibbon and Svetlana Lazebnik and Pietro Perona and Yoichi Sato and Cordelia Schmid}, title = {Multi-channel Shape-Flow Kernel Descriptors for Robust Video Event Detection and Retrieval}, booktitle = {Computer Vision - {ECCV} 2012 - 12th European Conference on Computer Vision, Florence, Italy, October 7-13, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7573}, pages = {301--314}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33709-3\_22}, doi = {10.1007/978-3-642-33709-3\_22}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/NatarajanWVZPPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/BennettN12, author = {Andrew Bennett and Rekha Natarajan}, editor = {Richard J. LeBlanc and Ann E. K. Sobel}, title = {Work in progress: Choose your own homework}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2012, Seattle, WA, USA, October 3-6, 2012}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/FIE.2012.6462414}, doi = {10.1109/FIE.2012.6462414}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/BennettN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/ChakaravarthyMNRS12, author = {Venkatesan T. Chakaravarthy and Natwar Modani and Sivaramakrishnan R. Natarajan and Sambuddha Roy and Yogish Sabharwal}, editor = {Deepak D'Souza and Telikepalli Kavitha and Jaikumar Radhakrishnan}, title = {Density Functions subject to a Co-Matroid Constraint}, booktitle = {{IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2012, December 15-17, 2012, Hyderabad, India}, series = {LIPIcs}, volume = {18}, pages = {236--248}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2012}, url = {https://doi.org/10.4230/LIPIcs.FSTTCS.2012.236}, doi = {10.4230/LIPICS.FSTTCS.2012.236}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/fsttcs/ChakaravarthyMNRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/RajeevSSM12, author = {Adathala Rajeev and Natarajan Sivakumaran and Sreedharan Sujesh and Chirathodi Vayalappil Muraleedharan}, editor = {Kaliappan Gopalan and Sabu M. Thampi}, title = {A linear after-load model for a cardio-vascular pulse duplicator}, booktitle = {2012 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} '12, Chennai, India, August 3-5, 2012}, pages = {493--497}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2345396.2345478}, doi = {10.1145/2345396.2345478}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icacci/RajeevSSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/AnanthakrishnanTPNV12, author = {Sankaranarayanan Ananthakrishnan and Stavros Tsakalidis and Rohit Prasad and Prem Natarajan and Aravind Namandi Vembu}, title = {Automatic pronunciation prediction for text-to-speech synthesis of dialectal arabic in a speech-to-speech translation system}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {4957--4960}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6289032}, doi = {10.1109/ICASSP.2012.6289032}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/AnanthakrishnanTPNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfhr/ChenZCPN12, author = {Jin Chen and Bing Zhang and Huaigu Cao and Rohit Prasad and Prem Natarajan}, title = {Applying Discriminatively Optimized Feature Transform for HMM-based Off-Line Handwriting Recognition}, booktitle = {2012 International Conference on Frontiers in Handwriting Recognition, {ICFHR} 2012, Bari, Italy, September 18-20, 2012}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICFHR.2012.182}, doi = {10.1109/ICFHR.2012.182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfhr/ChenZCPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfhr/DevlinKSPN12, author = {Jacob Devlin and Matin Kamali and Krishna Subramanian and Rohit Prasad and Prem Natarajan}, title = {Statistical Machine Translation as a Language Model for Handwriting Recognition}, booktitle = {2012 International Conference on Frontiers in Handwriting Recognition, {ICFHR} 2012, Bari, Italy, September 18-20, 2012}, pages = {291--296}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICFHR.2012.273}, doi = {10.1109/ICFHR.2012.273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfhr/DevlinKSPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/CaoCDPN12, author = {Huaigu Cao and Jinying Chen and Jacob Devlin and Rohit Prasad and Prem Natarajan}, title = {Document recognition and translation system for unconstrained Arabic documents}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {318--321}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460136/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/CaoCDPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/CaoSPCPN12, author = {Huaigu Cao and Krishna Subramanian and Xujun Peng and Jinying Chen and Rohit Prasad and Prem Natarajan}, title = {Extracting information from handwritten content in census forms}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {306--309}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460133/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/CaoSPCPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/VitaladevuniCPN12, author = {Shiv Naga Prasad Vitaladevuni and Fred Choi and Rohit Prasad and Premkumar Natarajan}, title = {Detecting near-duplicate document images using interest point matching}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {347--350}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460143/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/VitaladevuniCPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KumarPAVSTN12, author = {Rohit Kumar and Rohit Prasad and Sankaranarayanan Ananthakrishnan and Aravind Namandi Vembu and David Stallard and Stavros Tsakalidis and Prem Natarajan}, title = {Detecting {OOV} Named-Entities in Conversational Speech}, booktitle = {13th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2012, Portland, Oregon, USA, September 9-13, 2012}, pages = {2354--2357}, publisher = {{ISCA}}, year = {2012}, url = {https://doi.org/10.21437/Interspeech.2012-617}, doi = {10.21437/INTERSPEECH.2012-617}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KumarPAVSTN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/TsakalidisZHWNPN12, author = {Stavros Tsakalidis and Xiaodan Zhuang and Roger Hsiao and Shuang Wu and Pradeep Natarajan and Rohit Prasad and Prem Natarajan}, title = {Robust Event Detection From Spoken Content In Consumer Domain Videos}, booktitle = {13th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2012, Portland, Oregon, USA, September 9-13, 2012}, pages = {2101--2104}, publisher = {{ISCA}}, year = {2012}, url = {https://doi.org/10.21437/Interspeech.2012-560}, doi = {10.21437/INTERSPEECH.2012-560}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/TsakalidisZHWNPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZhuangTWNPN12, author = {Xiaodan Zhuang and Stavros Tsakalidis and Shuang Wu and Pradeep Natarajan and Rohit Prasad and Prem Natarajan}, title = {Compact Audio Representation for Event Detection in Consumer Media}, booktitle = {13th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2012, Portland, Oregon, USA, September 9-13, 2012}, pages = {2089--2092}, publisher = {{ISCA}}, year = {2012}, url = {https://doi.org/10.21437/Interspeech.2012-557}, doi = {10.21437/INTERSPEECH.2012-557}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ZhuangTWNPN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/NatarajanR12, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {Generalized Distributive Law for {ML} decoding of STBCs: Further results}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Information Theory, {ISIT} 2012, Cambridge, MA, USA, July 1-6, 2012}, pages = {2646--2650}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIT.2012.6283998}, doi = {10.1109/ISIT.2012.6283998}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/NatarajanR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/NatarajanSR12, author = {Lakshmi Prasad Natarajan and K. Pavan Srinath and B. Sundar Rajan}, title = {On the sphere decoding complexity of high rate multigroup {ML} decodable STBCs}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Information Theory, {ISIT} 2012, Cambridge, MA, USA, July 1-6, 2012}, pages = {2811--2815}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIT.2012.6284036}, doi = {10.1109/ISIT.2012.6284036}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/NatarajanSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isita/NatarajanR12, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {An Adaptive Conditional Zero-Forcing decoder with full-diversity, least complexity and essentially-ML performance for STBCs}, booktitle = {Proceedings of the International Symposium on Information Theory and its Applications, {ISITA} 2012, Honolulu, HI, USA, October 28-31, 2012}, pages = {235--239}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6400926/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isita/NatarajanR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KimVAMR12, author = {Myung{-}Chul Kim and Natarajan Viswanathan and Charles J. Alpert and Igor L. Markov and Shyam Ramji}, editor = {Jiang Hu and Cheng{-}Kok Koh}, title = {{MAPLE:} multilevel adaptive placement for mixed-size designs}, booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}, pages = {193--200}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160916.2160958}, doi = {10.1145/2160916.2160958}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KimVAMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwslt/PrasadKACHRCCKNN12, author = {Rohit Prasad and Rohit Kumar and Sankaranarayanan Ananthakrishnan and Wei Chen and Sanjika Hewavitharana and Matthew E. Roy and Fred Choi and Aaron Challenner and Enoch Kan and Arvind Neelakantan and Prem Natarajan}, title = {Active error detection and resolution for speech-to-speech translation}, booktitle = {2012 International Workshop on Spoken Language Translation, {IWSLT} 2012, Hong Kong, December 6-7, 2012}, pages = {150--157}, publisher = {{ISCA}}, year = {2012}, url = {https://www.isca-archive.org/iwslt\_2012/prasad12\_iwslt.html}, timestamp = {Thu, 01 Aug 2024 15:37:24 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/PrasadKACHRCCKNN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ShkelNSCBBSSLGS12, author = {Anton Shkel and Shyam Natarajan and Stefan Schimpf and Martin O. Culjat and Andreas Brose and Axel Boese and Bertram Schmidt and Peter G. Schulam and Hua Lee and Warren S. Grundfest and Rahul S. Singh}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {A Transurethral Catheter-Based Ultrasound System for Multi-Modal Fusion}, booktitle = {Medicine Meets Virtual Reality 19 - NextMed, {MMVR} 2012, Newport Beach, California, USA, February 9-11, 2012}, series = {Studies in Health Technology and Informatics}, volume = {173}, pages = {463--468}, publisher = {{IOS} Press}, year = {2012}, url = {https://doi.org/10.3233/978-1-61499-022-2-463}, doi = {10.3233/978-1-61499-022-2-463}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ShkelNSCBBSSLGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/MalakarG0MNSSV12, author = {Preeti Malakar and Thomas George and Sameer Kumar and Rashmi Mittal and Vijay Natarajan and Yogish Sabharwal and Vaibhav Saxena and Sathish S. Vadhiyar}, editor = {Jeffrey K. Hollingsworth}, title = {A divide and conquer strategy for scaling weather simulations with multiple regions of interest}, booktitle = {{SC} Conference on High Performance Computing Networking, Storage and Analysis, {SC} '12, Salt Lake City, UT, {USA} - November 11 - 15, 2012}, pages = {37}, publisher = {{IEEE/ACM}}, year = {2012}, url = {https://doi.org/10.1109/SC.2012.4}, doi = {10.1109/SC.2012.4}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/MalakarG0MNSSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/securit/NatarajanSA12, author = {V. Natarajan and Shina Sheen and R. Anitha}, editor = {R. Chandrasekhar and Andrew S. Tanenbaum and P. Venkat Rangan}, title = {Detection of StegoBot: a covert social network botnet}, booktitle = {First International Conference on Security of Internet of Things, {SECURIT} '12, Kollam, India - August 17 - 19, 2012}, pages = {36--41}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2490428.2490433}, doi = {10.1145/2490428.2490433}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/securit/NatarajanSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/AnandhiN12, author = {R. J. Anandhi and S. Natarajan}, editor = {B. V. Babu and Atulya Nagar and Kusum Deep and Millie Pant and Jagdish Chand Bansal and Kanad Ray and Umesh Gupta}, title = {Privacy Protected Mining Using Heuristic Based Inherent Voting Spatial Cluster Ensembles}, booktitle = {Proceedings of the Second International Conference on Soft Computing for Problem Solving, SocProS 2012, December 28-30, 2012, {JK} Lakshmipat University (JKLU), Jaipur, India}, series = {Advances in Intelligent Systems and Computing}, volume = {236}, pages = {1183--1193}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-81-322-1602-5\_124}, doi = {10.1007/978-81-322-1602-5\_124}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpros/AnandhiN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/starai/FreedmanBBN12, author = {Richard G. Freedman and Rodrigo de Salvo Braz and Hung Bui and Sriraam Natarajan}, editor = {Henry A. Kautz and Kristian Kersting and Sriraam Natarajan and David Poole}, title = {Initial Empirical Evaluation of Anytime Lifted Belief Propagation}, booktitle = {2nd International Workshop on Statistical Relational {AI} (StaRAI-12), held at the Uncertainty in Artificial Intelligence Conference {(UAI} 2012), Catalina Island, CA, USA, August 18, 2012}, year = {2012}, url = {https://starai.cs.kuleuven.be/2012/accepted/freedman.pdf}, timestamp = {Thu, 10 Nov 2022 14:49:26 +0100}, biburl = {https://dblp.org/rec/conf/starai/FreedmanBBN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/starai/NMRN12, author = {Pradyot Korupolu V. N. and S. S. Manimaran and Balaraman Ravindran and Sriraam Natarajan}, editor = {Henry A. Kautz and Kristian Kersting and Sriraam Natarajan and David Poole}, title = {Integrating Human Instructions and Reinforcement Learners: An {SRL} Approach}, booktitle = {2nd International Workshop on Statistical Relational {AI} (StaRAI-12), held at the Uncertainty in Artificial Intelligence Conference {(UAI} 2012), Catalina Island, CA, USA, August 18, 2012}, year = {2012}, url = {https://starai.cs.kuleuven.be/2012/accepted/vn.pdf}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/starai/NMRN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/NatarajanNWZRVT12, author = {Pradeep Natarajan and Prem Natarajan and Shuang Wu and Xiaodan Zhuang and Amelio V{\'{a}}zquez Reina and Shiv Vitaladevuni and Kleovoulos Tsourides and Carl Andersen and Rohit Prasad and Guangnan Ye and Dong Liu and Shih{-}Fu Chang and Imran Saleemi and Mubarak Shah and Yue Ng and Brandyn White and Larry Davis and Abhinav Gupta and Ismail Haritaoglu}, editor = {Paul Over and Jonathan G. Fiscus and Gregory A. Sanders and Barbara Shaw and George Awad and Martial Michel and Alan F. Smeaton and Wessel Kraaij and Georges Qu{\'{e}}not}, title = {{BBNVISER} : {BBN} {VISER} {TRECVID} 2012 Multimedia Event Detection and Multimedia Event Recounting Systems}, booktitle = {2012 {TREC} Video Retrieval Evaluation, {TRECVID} 2012, Gaithersburg, MD, USA, November 26-28, 2012}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2012}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv12.papers/bbnviser.pdf}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/NatarajanNWZRVT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/FerrissPNRPBYSV12, author = {Mark A. Ferriss and Jean{-}Olivier Plouchart and Arun Natarajan and Alexander V. Rylyakov and Benjamin D. Parker and Aydin Babakhani and Soner Yaldiz and Bodhisatwa Sadhu and Alberto Valdes{-}Garcia and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {An integral path self-calibration scheme for a 20.1-26.7GHz dual-loop {PLL} in 32nm {SOI} {CMOS}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {176--177}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243847}, doi = {10.1109/VLSIC.2012.6243847}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/FerrissPNRPBYSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1203-1278, author = {Octavio A. Gonz{\'{a}}lez{-}Estrada and Sundararajan Natarajan and Juan Jos{\'{e}} R{\'{o}}denas and Hung Nguyen{-}Xuan and St{\'{e}}phane P. A. Bordas}, title = {Efficient recovery-based error estimation for the smoothed finite element method for smooth and singular linear elasticity}, journal = {CoRR}, volume = {abs/1203.1278}, year = {2012}, url = {http://arxiv.org/abs/1203.1278}, eprinttype = {arXiv}, eprint = {1203.1278}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1203-1278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1205-0329, author = {Lakshmi Prasad Natarajan and B. Sundar Rajan}, title = {An Adaptive Conditional Zero-Forcing Decoder with Full-diversity, Least Complexity and Essentially-ML Performance for STBCs}, journal = {CoRR}, volume = {abs/1205.0329}, year = {2012}, url = {http://arxiv.org/abs/1205.0329}, eprinttype = {arXiv}, eprint = {1205.0329}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1205-0329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.