default search action
Search dblp for Publications
export results for "Lin S. Woo"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/GamboMLOAP24, author = {Ishaya Peni Gambo and Rhodes Massenon and Chia{-}Chen Lin and Roseline Oluwaseun Ogundokun and Saurabh Agarwal and Wooguil Pak}, title = {Enhancing User Trust and Interpretability in AI-Driven Feature Request Detection for Mobile App Reviews: An Explainable Approach}, journal = {{IEEE} Access}, volume = {12}, pages = {114023--114045}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3443527}, doi = {10.1109/ACCESS.2024.3443527}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GamboMLOAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangCLLMLCBKKWK24, author = {Tae Jun Yang and Jung Rae Cho and Hyunkyu Lee and Hee Jun Lee and Seung Joo Myoung and Da Yeon Lee and Sung{-}Jin Choi and Jong{-}Ho Bae and Dong Myong Kim and Changwook Kim and Jiyong Woo and Dae Hwan Kim}, title = {Improvement of the Symmetry and Linearity of Synaptic Weight Update by Combining the InGaZnO Synaptic Transistor and Memristor}, journal = {{IEEE} Access}, volume = {12}, pages = {28531--28537}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366224}, doi = {10.1109/ACCESS.2024.3366224}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangCLLMLCBKKWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonLLP24, author = {Kipo Yoon and Joohyuk Leem and Soo{-}Hyoung Lee and Jung{-}Wook Park}, title = {Cooperative Control of {TSO} and {DSO:} Management of Line Congestion and Frequency Response}, journal = {{IEEE} Access}, volume = {12}, pages = {47373--47384}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3382996}, doi = {10.1109/ACCESS.2024.3382996}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonLLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HoTCLBKLJCC24, author = {Thao Thi Ho and Minh Tam Tran and Xinguang Cui and Ching{-}Long Lin and Stephen Baek and Woojin Kim and Chang Hyun Lee and Gong Yong Jin and Kum Ju Chae and Sanghun Choi}, title = {Human-airway surface mesh smoothing based on graph convolutional neural networks}, journal = {Comput. Methods Programs Biomed.}, volume = {246}, pages = {108061}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108061}, doi = {10.1016/J.CMPB.2024.108061}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/HoTCLBKLJCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computation/RakibuzzamanSRSSZ24, author = {Md Rakibuzzaman and Sang{-}Ho Suh and Hyung{-}Woon Roh and Kyung Hee Song and Kwang Chul Song and Ling Zhou}, title = {Hydraulic Performance Optimization of a Submersible Drainage Pump}, journal = {Comput.}, volume = {12}, number = {1}, pages = {12}, year = {2024}, url = {https://doi.org/10.3390/computation12010012}, doi = {10.3390/COMPUTATION12010012}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computation/RakibuzzamanSRSSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/RiccardiWKCMAM24, author = {Tullia Riccardi and Benjamin J. Wigley and Linda Kleyn and Corli Coetsee and Sandra Macfadyen and Fabio Attorre and Luca Malatesta}, title = {First woody cover vegetation map of Kruger National Park in 1939-1944: Evidence from historical black and white aerial photography}, journal = {Ecol. Informatics}, volume = {81}, pages = {102590}, year = {2024}, url = {https://doi.org/10.1016/j.ecoinf.2024.102590}, doi = {10.1016/J.ECOINF.2024.102590}, timestamp = {Sat, 03 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/RiccardiWKCMAM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/YangJLHGXZLJZ24, author = {Qiang Yang and Jia{-}Wei Ji and Xin Lin and Xiao{-}Min Hu and Xu{-}Dong Gao and Peilan Xu and Hong Zhao and Zhen{-}Yu Lu and Sang{-}Woon Jeon and Jun Zhang}, title = {Bi-directional ensemble differential evolution for global optimization}, journal = {Expert Syst. Appl.}, volume = {252}, pages = {124245}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.124245}, doi = {10.1016/J.ESWA.2024.124245}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/YangJLHGXZLJZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijat/WuHSSQMG24, author = {Jiucheng Wu and Yifan Hong and Dong Wook Shin and Ryo Sato and Lue Quan and Hiraku Matsukuma and Wei Gao}, title = {On-Machine Calibration of Pitch Deviations of a Linear Scale Grating by Using a Differential Angle Sensor}, journal = {Int. J. Autom. Technol.}, volume = {18}, number = {1}, pages = {4--10}, year = {2024}, url = {https://doi.org/10.20965/ijat.2024.p0004}, doi = {10.20965/IJAT.2024.P0004}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijat/WuHSSQMG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/LimJKEL24, author = {Chae{-}Lin Lim and Woo{-}Jin Jung and Yea Eun Kim and Chanyoung Eom and Sang{-}Yong Tom Lee}, title = {The differential impact of {IT} investments in the long term: a long-horizon event study}, journal = {Ind. Manag. Data Syst.}, volume = {124}, number = {9}, pages = {2711--2735}, year = {2024}, url = {https://doi.org/10.1108/IMDS-08-2023-0591}, doi = {10.1108/IMDS-08-2023-0591}, timestamp = {Tue, 10 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imds/LimJKEL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/HosseinzadehARLNYYDL24, author = {Mehdi Hosseinzadeh and Saqib Ali and Amir Masoud Rahmani and Jan Lansky and Vladimir Nulicek and Mohammad Sadegh Yousefpoor and Efat Yousefpoor and Aso Darwesh and Sang{-}Woong Lee}, title = {A smart filtering-based adaptive optimized link state routing protocol in flying ad hoc networks for traffic monitoring}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {36}, number = {5}, pages = {102034}, year = {2024}, url = {https://doi.org/10.1016/j.jksuci.2024.102034}, doi = {10.1016/J.JKSUCI.2024.102034}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/HosseinzadehARLNYYDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimYLCCLLKYDKKLTKLSB24, author = {Jeongkyun Kim and Byungho Yook and Youngo Lee and Taemin Choi and Kyuwon Choi and Chanho Lee and Juchang Lee and Hyeongcheol Kim and Seok Yun and Changhoon Do and Minwoo Kwak and Mijoung Kim and Yunrong Li and Hoyoung Tang and Jaeyoung Kim and Inhak Lee and Dongwook Seo and Sangyeop Baeck}, title = {A 4.13-GHz {UHS} Pseudo Two-Port {SRAM} With {BL} Charge Time Reduction and Flying Word-Line for {HPC} Applications in 4-nm FinFET Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1216--1224}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3355948}, doi = {10.1109/JSSC.2024.3355948}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimYLCCLLKYDKKLTKLSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonWKJKSCKJJHKKEPB24, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Sejun Jeon and Soon{-}Won Kwon and Ha{-}Il Song and Hanho Choi and Bongjin Kim and Huxian Jin and Jun{-}Gi Jo and Woosang Han and Tai{-}Young Kim and Gain Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 26-Gb/s Framed-Pulsewidth Modulation Transceiver for Extended Reach Optical Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {8}, pages = {2506--2517}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3372434}, doi = {10.1109/JSSC.2024.3372434}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KwonWKJKSCKJJHKKEPB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/BraxtonKGFPBLZN24, author = {Alicia M. Braxton and Ashley L. Kiemen and Mia P. Grahn and Andr{\'{e}} Forjaz and Jeeun Parksong and Jaanvi Mahesh Babu and Jiaying Lai and Lily Zheng and Noushin Niknafs and Liping Jiang and Haixia Cheng and Qianqian Song and Rebecca Reichel and Sarah Graham and Alexander Damanakis and Catherine G. Fischer and Stephanie Mou and Cameron Metz and Julie Granger and Xiao{-}Ding Liu and Niklas Bachmann and Yutong Zhu and Yunzhou Liu and Cristina Almagro{-}P{\'{e}}rez and Ann Chenyu Jiang and Jeonghyun Yoo and Bridgette Kim and Scott Du and Eli Foster and Jocelyn Y. Hsu and Paula Andreu Rivera and Linda C. Chu and Fengze Liu and Elliot K. Fishman and Alan L. Yuille and Nicholas J. Roberts and Elizabeth D. Thompson and Robert B. Scharpf and Toby C. Cornish and Yuchen Jiao and Rachel Karchin and Ralph H. Hruban and Pei{-}Hsun Wu and Denis Wirtz and Laura D. Wood}, title = {3D genomic mapping reveals multifocality of human pancreatic precancers}, journal = {Nat.}, volume = {629}, number = {8012}, pages = {679--687}, year = {2024}, url = {https://doi.org/10.1038/s41586-024-07359-3}, doi = {10.1038/S41586-024-07359-3}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/BraxtonKGFPBLZN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pajais/LiSSLYL24, author = {Lin Li and Young Ho Song and Moataz Soliman and Kyung Young Lee and Sung{-}Byung Yang and Minwoo Lee}, title = {Customers' Continued Adoption of Mobile Apps and Their Satisfaction with Restaurants: The Case of McDonald's}, journal = {Pac. Asia J. Assoc. Inf. Syst.}, volume = {16}, number = {1}, pages = {1}, year = {2024}, url = {https://aisel.aisnet.org/pajais/vol16/iss1/1}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pajais/LiSSLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/se/JungSS24, author = {Woo{-}Kyun Jung and Younguk Song and Eun Suk Suh}, title = {Garment production line optimization using production information based on real-time power monitoring data}, journal = {Syst. Eng.}, volume = {27}, number = {2}, pages = {338--353}, year = {2024}, url = {https://doi.org/10.1002/sys.21724}, doi = {10.1002/SYS.21724}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/se/JungSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/YangQXLGWLJZ24, author = {Qiang Yang and Zhuo{-}Yin Qiao and Peilan Xu and Xin Lin and Xu{-}Dong Gao and Zi{-}Jia Wang and Zhen{-}Yu Lu and Sang{-}Woon Jeon and Jun Zhang}, title = {Triple competitive differential evolution for global numerical optimization}, journal = {Swarm Evol. Comput.}, volume = {84}, pages = {101450}, year = {2024}, url = {https://doi.org/10.1016/j.swevo.2023.101450}, doi = {10.1016/J.SWEVO.2023.101450}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/YangQXLGWLJZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/JeonPL24, author = {Hyo{-}Ryeong Jeon and Dae{-}Woong Park and Sang{-}Gug Lee}, title = {A D-Band Differential Amplifier With Cross-Couple of Series-Connected Capacitor and Transmission Line-Based Dual-Frequency G\({}_{\mbox{max}}\)-Core}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {6}, pages = {2996--3000}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3358808}, doi = {10.1109/TCSII.2024.3358808}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/JeonPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimZTSL24, author = {Jinwoo Kim and Lingjun Zhu and Hakki Mert Torun and Madhavan Swaminathan and Sung Kyu Lim}, title = {A {PPA} Study for Heterogeneous 3-D {IC} Options: Monolithic, Hybrid Bonding, and Microbumping}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {3}, pages = {401--412}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2023.3342734}, doi = {10.1109/TVLSI.2023.3342734}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimZTSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeKMKAHKWY24, author = {Sangyeop Lee and Keonchang Kim and Seongjoon Moon and Byongsung Kim and Jaehyun Ahn and Junha Hwang and Donghyun Kim and Seunghoon Woo and Jinwoo Yoo}, title = {A Novel Lateral Dynamics Control Strategy of In-Wheel Motor Vehicle to Improve Agility and Straight-Line Driving Stability}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {7}, pages = {9849--9864}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3368283}, doi = {10.1109/TVT.2024.3368283}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeKMKAHKWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Rae-GrantWY24, author = {Tucker Rae{-}Grant and Shuhong Wang and Lining Yao}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {ExCell: High Expansion Ratio Moisture-Responsive Wooden Actuators for {DIY} Shape-Changing and Deployable Structures}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {516:1--516:14}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642565}, doi = {10.1145/3613904.3642565}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/Rae-GrantWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csl/0001LNSW24, author = {Julian Gutierrez and Anthony W. Lin and Muhammad Najib and Thomas Steeples and Michael J. Wooldridge}, editor = {Aniello Murano and Alexandra Silva}, title = {Characterising and Verifying the Core in Concurrent Multi-Player Mean-Payoff Games}, booktitle = {32nd {EACSL} Annual Conference on Computer Science Logic, {CSL} 2024, February 19-23, 2024, Naples, Italy}, series = {LIPIcs}, volume = {288}, pages = {32:1--32:25}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://doi.org/10.4230/LIPIcs.CSL.2024.32}, doi = {10.4230/LIPICS.CSL.2024.32}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/csl/0001LNSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiLPOKK24, author = {Jeong{-}In Choi and Seo{-}Yoon Lee and Chan{-}Woong Park and Jin{-}Gon Oh and Ji Hoon Kang and Kee{-}Won Kwon}, title = {Highly Linear Charging/Discharging of Charge Trap {FET} Using Regulated Single Pulse for Neural Accelerator}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457242}, doi = {10.1109/ICEIC61013.2024.10457242}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiLPOKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HanJKMWZ24, author = {Insu Han and Rajesh Jayaram and Amin Karbasi and Vahab Mirrokni and David P. Woodruff and Amir Zandieh}, title = {HyperAttention: Long-context Attention in Near-Linear Time}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=Eh0Od2BJIM}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HanJKMWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/BhattacharjeeDM24, author = {Rajarshi Bhattacharjee and Gregory Dexter and Cameron Musco and Archan Ray and Sushant Sachdeva and David P. Woodruff}, editor = {Venkatesan Guruswami}, title = {Universal Matrix Sparsifiers and Fast Deterministic Algorithms for Linear Algebra}, booktitle = {15th Innovations in Theoretical Computer Science Conference, {ITCS} 2024, January 30 to February 2, 2024, Berkeley, CA, {USA}}, series = {LIPIcs}, volume = {287}, pages = {13:1--13:24}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2024.13}, doi = {10.4230/LIPICS.ITCS.2024.13}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/BhattacharjeeDM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkBJK24, author = {Sunyoung Park and Wooseok Byun and Minkyu Je and Ji{-}Hoon Kim}, title = {Algorithm-Hardware Co-Design for Wearable BCIs: An Evolution from Linear Algebra to Transformers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558514}, doi = {10.1109/ISCAS58744.2024.10558514}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkBJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChoiOSKYJ24, author = {Hyeok Jun Choi and Sung Bhin Oh and Woo Jin Sung and Hye Min Kim and Sung Soo Yoon and Jae Wook Jeon}, title = {Enhancing Recovery Performance in {SOME/IP} Service Discovery protocol against Temporary Link Failures}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595797}, doi = {10.1109/ISIE54533.2024.10595797}, timestamp = {Fri, 02 Aug 2024 11:15:06 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChoiOSKYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/0003FGGHHLO0Z24, author = {Hao Ding and Ziwei Fan and Ingo G{\"{u}}hring and Gaurav Gupta and Wooseok Ha and Jun Huan and Linbo Liu and Behrooz Omidvar{-}Tehrani and Shiqi Wang and Hao Zhou}, editor = {Ricardo Baeza{-}Yates and Francesco Bonchi}, title = {Reasoning and Planning with Large Language Models in Code Development}, booktitle = {Proceedings of the 30th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2024, Barcelona, Spain, August 25-29, 2024}, pages = {6480--6490}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3637528.3671452}, doi = {10.1145/3637528.3671452}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/0003FGGHHLO0Z24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/JangCYJCSL24, author = {Eugene Jang and Jian Cui and Dayeon Yim and Youngjin Jin and Jin{-}Woo Chung and Seungwon Shin and Yongjae Lee}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {Ignore Me But Don't Replace Me: Utilizing Non-Linguistic Elements for Pretraining on the Cybersecurity Domain}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {29--42}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-naacl.3}, doi = {10.18653/V1/2024.FINDINGS-NAACL.3}, timestamp = {Thu, 12 Sep 2024 13:29:32 +0200}, biburl = {https://dblp.org/rec/conf/naacl/JangCYJCSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TongCGTLAQAGLSZN24, author = {Amy S. K. Tong and Wing Wai Chung and Charmaine Goh and Landobasa Y. M. Tobing and Leh Woon Lim and Yuriy A. Akimov and Zhan Jiang Quek and Aravind P. Anthur and Jia Sheng Goh and Huamao Lin and Navab Singh and Qingxin Zhang and Doris Keh Ting Ng}, title = {1 Million Intrinsic Q-Factor Microring Resonators From {PVD} Aluminum Nitride on SiO2-on-Si Substrate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10527089}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/TongCGTLAQAGLSZN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/PhamMJJSLLCTFKP24, author = {Trung Pham and Mehran Maghoumi and Wanli Jiang and Bala Siva Sashank Jujjavarapu and Mehdi Sajjadi and Xin Liu and Hsuan{-}Chu Lin and Bor{-}Jeng Chen and Giang Truong and Chao Fang and Junghyun Kwon and Minwoo Park}, title = {NVAutoNet: Fast and Accurate 360{\textdegree} 3D Visual Perception For Self Driving}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {7361--7370}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00721}, doi = {10.1109/WACV57701.2024.00721}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/PhamMJJSLLCTFKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/woot/TanM0G00LH024, author = {Xi Tan and Zheyuan Ma and Sandro Pinto and Le Guan and Ning Zhang and Jun Xu and Zhiqiang Lin and Hongxin Hu and Ziming Zhao}, editor = {Adam Doup{\'{e}} and Alyssa Milburn}, title = {SoK: Where's the "up"?! {A} Comprehensive (bottom-up) Study on the Security of Arm Cortex-M Systems}, booktitle = {18th {USENIX} {WOOT} Conference on Offensive Technologies, {WOOT} 2024, Philadelphia, PA, USA, August 12-13, 2024}, pages = {149--169}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/woot24/presentation/tan}, timestamp = {Thu, 05 Sep 2024 14:27:07 +0200}, biburl = {https://dblp.org/rec/conf/woot/TanM0G00LH024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/TranAAKPHAS024, author = {Tu Tran and Mustafa Abduljabbar and HooYoung Ahn and SeonYoung Kim and Yoo{-}Mi Park and Woojong Han and Shin{-}Young Ahn and Hari Subramoni and Dhabaleswar K. Panda}, editor = {Shawn T. Brown and J. Barr von Oehsen and Eric Adams and Eva Siegmann}, title = {{OMB-CXL:} {A} Micro-Benchmark Suite for Evaluating {MPI} Communication Utilizing Compute Express Link Memory Devices}, booktitle = {Practice and Experience in Advanced Research Computing 2024: Human Powered Computing, {PEARC} 2024, Providence, RI, USA, July 21-25, 2024}, pages = {27:1--27:8}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626203.3670533}, doi = {10.1145/3626203.3670533}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xsede/TranAAKPHAS024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09074, author = {Emanuele La Malfa and Christoph Weinhuber and Orazio Torre and Fangru Lin and Anthony G. Cohn and Nigel Shadbolt and Michael Wooldridge}, title = {Code Simulation Challenges for Large Language Models}, journal = {CoRR}, volume = {abs/2401.09074}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09074}, doi = {10.48550/ARXIV.2401.09074}, eprinttype = {arXiv}, eprint = {2401.09074}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10576, author = {Eugene Jang and Jian Cui and Dayeon Yim and Youngjin Jin and Jin{-}Woo Chung and Seungwon Shin and Yongjae Lee}, title = {Ignore Me But Don't Replace Me: Utilizing Non-Linguistic Elements for Pretraining on the Cybersecurity Domain}, journal = {CoRR}, volume = {abs/2403.10576}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10576}, doi = {10.48550/ARXIV.2403.10576}, eprinttype = {arXiv}, eprint = {2403.10576}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14023, author = {Carsten Baum and Jens Berlips and Walther Chen and Hongrui Cui and Ivan Damg{\aa}rd and Jiangbin Dong and Kevin M. Esvelt and Mingyu Gao and Dana Gretton and Leonard Foner and Martin Kysel and Kaiyi Zhang and Juanru Li and Xiang Li and Omer Paneth and Ronald L. Rivest and Francesca Sage{-}Ling and Adi Shamir and Yue Shen and Meicen Sun and Vinod Vaikuntanathan and Lynn Van Hauwe and Theia Vogel and Benjamin Weinstein{-}Raun and Yun Wang and Daniel Wichs and Stephen Wooster and Andrew C. Yao and Yu Yu and Haoling Zhang}, title = {A system capable of verifiably and privately screening global {DNA} synthesis}, journal = {CoRR}, volume = {abs/2403.14023}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14023}, doi = {10.48550/ARXIV.2403.14023}, eprinttype = {arXiv}, eprint = {2403.14023}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01620, author = {James Anibal and Hannah Huth and Ming Li and Lindsey Hazen and Yen Minh Lam and Nguyen Thi Thu Hang and Michael Kleinman and Shelley Ost and Christopher Jackson and Laura Sprabery and Cheran Elangovan and Balaji Krishnaiah and Lee Akst and Ioan Lina and Iqbal Elyazar and Lenny Ekwati and Stefan Jansen and Richard Nduwayezu and Charisse Garcia and Jeffrey Plum and Jacqueline Brenner and Miranda Song and Emily Ricotta and David A. Clifton and Louise Thwaites and Yael Bensoussan and Bradford Wood}, title = {Voice {EHR:} Introducing Multimodal Audio Data for Health}, journal = {CoRR}, volume = {abs/2404.01620}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01620}, doi = {10.48550/ARXIV.2404.01620}, eprinttype = {arXiv}, eprint = {2404.01620}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14219, author = {Marah I Abdin and Sam Ade Jacobs and Ammar Ahmad Awan and Jyoti Aneja and Ahmed Awadallah and Hany Awadalla and Nguyen Bach and Amit Bahree and Arash Bakhtiari and Harkirat S. Behl and Alon Benhaim and Misha Bilenko and Johan Bjorck and S{\'{e}}bastien Bubeck and Martin Cai and Caio C{\'{e}}sar Teodoro Mendes and Weizhu Chen and Vishrav Chaudhary and Parul Chopra and Allie Del Giorno and Gustavo de Rosa and Matthew Dixon and Ronen Eldan and Dan Iter and Amit Garg and Abhishek Goswami and Suriya Gunasekar and Emman Haider and Junheng Hao and Russell J. Hewett and Jamie Huynh and Mojan Javaheripi and Xin Jin and Piero Kauffmann and Nikos Karampatziakis and Dongwoo Kim and Mahoud Khademi and Lev Kurilenko and James R. Lee and Yin Tat Lee and Yuanzhi Li and Chen Liang and Weishung Liu and Eric Lin and Zeqi Lin and Piyush Madan and Arindam Mitra and Hardik Modi and Anh Nguyen and Brandon Norick and Barun Patra and Daniel Perez{-}Becker and Thomas Portet and Reid Pryzant and Heyang Qin and Marko Radmilac and Corby Rosset and Sambudha Roy and Olatunji Ruwase and Olli Saarikivi and Amin Saied and Adil Salim and Michael Santacroce and Shital Shah and Ning Shang and Hiteshi Sharma and Xia Song and Masahiro Tanaka and Xin Wang and Rachel Ward and Guanhua Wang and Philipp Witte and Michael Wyatt and Can Xu and Jiahang Xu and Sonali Yadav and Fan Yang and Ziyi Yang and Donghan Yu and Chengruidong Zhang and Cyril Zhang and Jianwen Zhang and Li Lyna Zhang and Yi Zhang and Yue Zhang and Yunan Zhang and Xiren Zhou}, title = {Phi-3 Technical Report: {A} Highly Capable Language Model Locally on Your Phone}, journal = {CoRR}, volume = {abs/2404.14219}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14219}, doi = {10.48550/ARXIV.2404.14219}, eprinttype = {arXiv}, eprint = {2404.14219}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14219.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18386, author = {Yixiao Zhang and Yukara Ikemiya and Woosung Choi and Naoki Murata and Marco A. Mart{\'{\i}}nez Ram{\'{\i}}rez and Liwei Lin and Gus Xia and Wei{-}Hsiang Liao and Yuki Mitsufuji and Simon Dixon}, title = {Instruct-MusicGen: Unlocking Text-to-Music Editing for Music Language Models via Instruction Tuning}, journal = {CoRR}, volume = {abs/2405.18386}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18386}, doi = {10.48550/ARXIV.2405.18386}, eprinttype = {arXiv}, eprint = {2405.18386}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10324, author = {Jiawei Ren and Kevin Xie and Ashkan Mirzaei and Hanxue Liang and Xiaohui Zeng and Karsten Kreis and Ziwei Liu and Antonio Torralba and Sanja Fidler and Seung Wook Kim and Huan Ling}, title = {{L4GM:} Large 4D Gaussian Reconstruction Model}, journal = {CoRR}, volume = {abs/2406.10324}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10324}, doi = {10.48550/ARXIV.2406.10324}, eprinttype = {arXiv}, eprint = {2406.10324}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04903, author = {Zekun Li and Xianjun Yang and Kyuri Choi and Wanrong Zhu and Ryan Hsieh and HyeonJung Kim and Jin Hyuk Lim and Sungyoung Ji and Byungju Lee and Xifeng Yan and Linda Ruth Petzold and Stephen D. Wilson and Woosang Lim and William Yang Wang}, title = {MMSci: {A} Multimodal Multi-Discipline Dataset for PhD-Level Scientific Comprehension}, journal = {CoRR}, volume = {abs/2407.04903}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04903}, doi = {10.48550/ARXIV.2407.04903}, eprinttype = {arXiv}, eprint = {2407.04903}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03771, author = {Xian Zhong and Zohaib Salahuddin and Yi Chen and Henry C. Woodruff and Haiyi Long and Jianyun Peng and Nuwan Udawatte and Roberto Casale and Ayoub Mokhtari and Xiaoer Zhang and Jiayao Huang and Qingyu Wu and Li Tan and Lili Chen and Dongming Li and Xiaoyan Xie and Manxia Lin and Philippe Lambin}, title = {Methodological Explainability Evaluation of an Interpretable Deep Learning Model for Post-Hepatectomy Liver Failure Prediction Incorporating Counterfactual Explanations and Layerwise Relevance Propagation: {A} Prospective In Silico Trial}, journal = {CoRR}, volume = {abs/2408.03771}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03771}, doi = {10.48550/ARXIV.2408.03771}, eprinttype = {arXiv}, eprint = {2408.03771}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShimLLPJ23, author = {Minkyo Shim and Woonghee Lee and Yunhee Lee and Kwanseo Park and Deog{-}Kyoon Jeong}, title = {A 12-Gbps, 0.24-pJ/b/dB {PAM-4} Receiver With Dead-Zone Free {SS-MMSE} {PD} for {CIS} Link}, journal = {{IEEE} Access}, volume = {11}, pages = {46513--46521}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3275441}, doi = {10.1109/ACCESS.2023.3275441}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShimLLPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengZZYKZ23, author = {Jishi Zheng and Junjie Zheng and Shiwen Zhang and Honghui Yu and Linghua Kong and Ding Zhigang}, title = {Segmentation Method for Whole Vehicle Wood Detection Based on Improved {YOLACT} Instance Segmentation Model}, journal = {{IEEE} Access}, volume = {11}, pages = {81434--81448}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3300900}, doi = {10.1109/ACCESS.2023.3300900}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhengZZYKZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/ChengLFTJC23, author = {Youwei Cheng and Shaocheng Lan and Xijian Fan and Tardi Tjahjadi and Shichao Jin and Lin Cao}, title = {A dual-branch weakly supervised learning based network for accurate mapping of woody vegetation from remote sensing images}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {124}, pages = {103499}, year = {2023}, url = {https://doi.org/10.1016/j.jag.2023.103499}, doi = {10.1016/J.JAG.2023.103499}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aeog/ChengLFTJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/LuckKHCL23, author = {Linda Luck and Mirjam Kaestli and Lindsay B. Hutley and Kim Calders and Shaun R. Levick}, title = {Reduced model complexity for efficient characterisation of savanna woodland structure using terrestrial laser scanning}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {118}, pages = {103255}, year = {2023}, url = {https://doi.org/10.1016/j.jag.2023.103255}, doi = {10.1016/J.JAG.2023.103255}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/LuckKHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ShahWSLK23, author = {Dylan S. Shah and Stephanie J. Woodman and Lina Sanchez{-}Botero and Shanliangzi Liu and Rebecca Kramer{-}Bottiglio}, title = {Stretchable Shape-Sensing Sheets}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {12}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300343}, doi = {10.1002/AISY.202300343}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ShahWSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/WooJNC23, author = {Jae Seung Woo and Chae Lin Jung and Ki Ryung Nam and Woo Young Choi}, title = {Logic-Compatible Charge-Trapping Tunnel Field Effect Transistors for Low-Power, High-Accuracy, and Large-Scale Neuromorphic Systems}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {11}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300242}, doi = {10.1002/AISY.202300242}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/WooJNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GlenMMWWSAKPLHRDRK23, author = {Amy Glen and Chunyu Ma and Luis Mendoza and Finn Womack and E. C. Wood and Meghamala Sinha and Liliana Acevedo and Lindsey G. Kvarfordt and Ross C. Peene and Shaopeng Liu and Andrew S. Hoffman and Jared C. Roach and Eric W. Deutsch and Stephen A. Ramsey and David Koslicki}, title = {{ARAX:} a graph-based modular reasoning tool for translational biomedicine}, journal = {Bioinform.}, volume = {39}, number = {3}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad082}, doi = {10.1093/BIOINFORMATICS/BTAD082}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/GlenMMWWSAKPLHRDRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ShimKNKCC23, author = {Changbeom Shim and Wooil Kim and Tran Thien Dat Nguyen and Du Yong Kim and Yu Suk Choi and Yon Dohn Chung}, title = {CellTrackVis: interactive browser-based visualization for analyzing cell trajectories and lineages}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {124}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05218-y}, doi = {10.1186/S12859-023-05218-Y}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ShimKNKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/BhaskaranKSRJC23, author = {R. Bhaskaran and P. S. Sujith Kumar and G. Shanthi and Linesh Raja and Gyanendra Prasad Joshi and Woong Cho}, title = {Metaheuristics Based Node Localization Approach for Real-Time Clustered Wireless Networks}, journal = {Comput. Syst. Sci. Eng.}, volume = {44}, number = {1}, pages = {1--17}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.024973}, doi = {10.32604/CSSE.2023.024973}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/BhaskaranKSRJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/YauCCMKY23, author = {King Woon Yau and Ching Sing Chai and Thomas K. F. Chiu and Helen Meng and Irwin King and Yeung Yam}, title = {A phenomenographic approach on teacher conceptions of teaching Artificial Intelligence {(AI)} in {K-12} schools}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {1}, pages = {1041--1064}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11161-x}, doi = {10.1007/S10639-022-11161-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/YauCCMKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KhanHKUMCBA23, author = {Samee Ullah Khan and Ijaz Ul Haq and Noman Khan and Amin Ullah and Khan Muhammad and Huiling Chen and Sung Wook Baik and Victor Hugo C. de Albuquerque}, title = {Efficient Person Reidentification for IoT-Assisted Cyber-Physical Systems}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {21}, pages = {18695--18707}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3259343}, doi = {10.1109/JIOT.2023.3259343}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/KhanHKUMCBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiuYZLHPSZWYZHL23, author = {Yiming Liu and Chun Ki Yiu and Zhao Zhao and Shiyuan Liu and Xingcan Huang and Wooyoung Park and Jingyou Su and Jingkun Zhou and Tsz Hung Wong and Kuanming Yao and Ling Zhao and Ya Huang and Jiyu Li and Pu Fan and Binbin Zhang and Yuan Dai and Zhengbao Yang and Yuhang Li and Xinge Yu}, title = {Skin-Integrated Haptic Interfaces Enabled by Scalable Mechanical Actuators for Virtual Reality}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {1}, pages = {653--663}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3203417}, doi = {10.1109/JIOT.2022.3203417}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LiuYZLHPSZWYZHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KrefmanGTLBWPPSAA23, author = {Amy E. Krefman and Farhad Ghamsari and Daniel R. Turner and Alice Lu and Martin Borsje and Colby Witherup Wood and Lucia C. Petito and Fernanda C. G. Polubriaginof and Daniel Schneider and Faraz S. Ahmad and Norrina B. Allen}, title = {Using electronic health record data to link families: an illustrative example using intergenerational patterns of obesity}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {5}, pages = {915--922}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad028}, doi = {10.1093/JAMIA/OCAD028}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KrefmanGTLBWPPSAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/ReitzMSDCSJK23, author = {Linda S. Reitz and Peter C. M{\"{u}}ller and David Schnieders and Richard Dronskowski and Woon Ih Choi and Won{-}Joon Son and Inkook Jang and Dae Sin Kim}, title = {On the atomistic origin of the polymorphism and the dielectric physical properties of beryllium oxide}, journal = {J. Comput. Chem.}, volume = {44}, number = {10}, pages = {1052--1063}, year = {2023}, url = {https://doi.org/10.1002/jcc.27064}, doi = {10.1002/JCC.27064}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/ReitzMSDCSJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/PuzzuoliLMPRW23, author = {Daniel Puzzuoli and Sophia Fuhui Lin and Moein Malekakhlagh and Emily Pritchett and Benjamin Rosand and Christopher J. Wood}, title = {Algorithms for perturbative analysis and simulation of quantum dynamics}, journal = {J. Comput. Phys.}, volume = {489}, pages = {112262}, year = {2023}, url = {https://doi.org/10.1016/j.jcp.2023.112262}, doi = {10.1016/J.JCP.2023.112262}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/PuzzuoliLMPRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YunPL23, author = {Byeonghun Yun and Dae{-}Woong Park and Sang{-}Gug Lee}, title = {H-Band Power Amplifiers in 65-nm {CMOS} by Adopting Output Power Maximized G\({}_{\mbox{max}}\)-Core and Transmission Line-Based Zero-Degree Power Combining Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {3089--3102}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3299735}, doi = {10.1109/JSSC.2023.3299735}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YunPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/XuVBGSW23, author = {Botian Xu and Chau Q. Vu and Matthew Borzage and Clio Gonz{\'{a}}lez{-}Zacar{\'{\i}}as and Jian Shen and John C. Wood}, title = {Improved cerebrovascular reactivity mapping using coherence weighted general linear model in the frequency domain}, journal = {NeuroImage}, volume = {284}, pages = {120448}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120448}, doi = {10.1016/J.NEUROIMAGE.2023.120448}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/XuVBGSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/ChoSH23, author = {Woo{-}Hyung Cho and David B. Shmoys and Shane G. Henderson}, title = {{SPT} optimality (mostly) via linear programming}, journal = {Oper. Res. Lett.}, volume = {51}, number = {1}, pages = {99--104}, year = {2023}, url = {https://doi.org/10.1016/j.orl.2022.12.007}, doi = {10.1016/J.ORL.2022.12.007}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/ChoSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/WoodersMNLSHCG23, author = {Sarah Wooders and Xiangxi Mo and Amit Narang and Kevin Lin and Ion Stoica and Joseph M. Hellerstein and Natacha Crooks and Joseph E. Gonzalez}, title = {{RALF:} Accuracy-Aware Scheduling for Feature Store Maintenance}, journal = {Proc. {VLDB} Endow.}, volume = {17}, number = {3}, pages = {563--576}, year = {2023}, url = {https://www.vldb.org/pvldb/vol17/p563-wooders.pdf}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/WoodersMNLSHCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnKOSLP23, author = {Da{-}Vin Ahn and Kyeongdae Kim and Jooseon Oh and Jaho Seo and Jin Woong Lee and Young{-}Jun Park}, title = {Optimal Control of Semi-Active Suspension for Agricultural Tractors Using Linear Quadratic Gaussian Control}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6474}, year = {2023}, url = {https://doi.org/10.3390/s23146474}, doi = {10.3390/S23146474}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnKOSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/ShahinMW23, author = {Arash Shahin and Nasim Malekzadeh and Lincoln C. Wood}, title = {Developing a decision making grid for selecting innovation strategies - the case of knowledge-based companies}, journal = {Technol. Anal. Strateg. Manag.}, volume = {35}, number = {7}, pages = {827--843}, year = {2023}, url = {https://doi.org/10.1080/09537325.2021.1988069}, doi = {10.1080/09537325.2021.1988069}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/ShahinMW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/OhPKCJ23, author = {Tae Woo Oh and Juhyun Park and Tae Hyun Kim and Keonhee Cho and Seong{-}Ook Jung}, title = {Local Bit-Line {SRAM} Architecture With Data-Aware Power-Gating Write Assist}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {1}, pages = {306--310}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3206478}, doi = {10.1109/TCSII.2022.3206478}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/OhPKCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/RuGTJZLW23, author = {Gaige Ru and Bin Gao and Qin Tang and Shiqiang Jiang and Yong Zhang and Fei Luo and Wai Lok Woo}, title = {Electromagnetic Coupling Sensing of Pipe In-Line Inspection System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--15}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3310083}, doi = {10.1109/TIM.2023.3310083}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/RuGTJZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/SongL23, author = {Taewon Song and Woong{-}Hee Lee}, title = {{HARE:} Hybrid ARQ-Based Adaptive Retransmission Control Scheme for Synchronous Multi-Link in Wireless LANs}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {8}, pages = {10302--10313}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3257424}, doi = {10.1109/TVT.2023.3257424}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/SongL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/KimCPLHH23, author = {Hyunjoon Kim and Yunyoung Choi and Kunsoo Park and Xuemin Lin and Seok{-}Hee Hong and Wook{-}Shin Han}, title = {Fast subgraph query processing and subgraph matching via static and dynamic equivalences}, journal = {{VLDB} J.}, volume = {32}, number = {2}, pages = {343--368}, year = {2023}, url = {https://doi.org/10.1007/s00778-022-00749-x}, doi = {10.1007/S00778-022-00749-X}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/KimCPLHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoKKLLYK23, author = {Hyunsoo Cho and Hyuhng Joon Kim and Junyeob Kim and Sang{-}Woo Lee and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Prompt-Augmented Linear Probing: Scaling beyond the Limit of Few-Shot In-Context Learners}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {12709--12718}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i11.26495}, doi = {10.1609/AAAI.V37I11.26495}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChoKKLLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/MaiMM0SW23, author = {Tung Mai and Alexander Munteanu and Cameron Musco and Anup Rao and Chris Schwiegelshohn and David P. Woodruff}, editor = {Francisco J. R. Ruiz and Jennifer G. Dy and Jan{-}Willem van de Meent}, title = {Optimal Sketching Bounds for Sparse Linear Regression}, booktitle = {International Conference on Artificial Intelligence and Statistics, 25-27 April 2023, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {206}, pages = {11288--11316}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v206/mai23a.html}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/MaiMM0SW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChiangHLCJWCLC23, author = {Cheng{-}Yu Chiang and Chia{-}Lin Hu and Mark Po{-}Hung Lin and Yu{-}Szu Chung and Shyh{-}Jye Jou and Jieh{-}Tsorng Wu and Shiuh{-}Hua Wood Chiang and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, editor = {Atsushi Takahashi}, title = {On Automating Finger-Cap Array Synthesis with Optimal Parasitic Matching for Custom {SAR} {ADC}}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {352--357}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567878}, doi = {10.1145/3566097.3567878}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChiangHLCJWCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SmithBKTCC23, author = {Shea Smith and Taylor Barton and Yen{-}Cheng Kuan and Armin Tajalli and Mau{-}Chung Frank Chang and Shiuh{-}Hua Wood Chiang}, title = {A 0.12-V 200-Hz-BW 10-Bit {ADC} Using Quad-Channel {VCO} and Interpolation Linearization}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347931}, doi = {10.1109/A-SSCC58667.2023.10347931}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/SmithBKTCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShinW23, author = {Jae{-}eun Shin and Woontack Woo}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {How Space is Told: Linking Trajectory, Narrative, and Intent in Augmented Reality Storytelling for Cultural Heritage Sites}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {241:1--241:14}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581414}, doi = {10.1145/3544548.3581414}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ShinW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogmi/LuoLWKAAABCDOHHJKKKLLLMOPTWWYYZ23, author = {Feng Luo and Ling Liu and G. Geoff Wang and Vijay Kumar and Mark S. Ashton and Jacob D. Abernethy and Fatemeh Afghah and Matthew H. E. M. Browning and David Coyle and Philip M. Dames and Tom O'Halloran and James Hays and Patrick Hiesl and Chenfanfu Jiang and Puskar Khanal and Venkat Narayan Krovi and Sara Kuebbing and Nianyi Li and JingJing Liang and Ninghao Liu and Steve McNulty and Christopher M. Oswalt and Neil Pederson and Demetri Terzopoulos and Christopher W. Woodall and Yongkai Wu and Jian Yang and Yin Yang and Liang Zhao}, title = {Artificial Intelligence for Climate Smart Forestry: {A} Forward Looking Vision}, booktitle = {5th {IEEE} International Conference on Cognitive Machine Intelligence, CogMI 2023, Atlanta, GA, USA, November 1-4, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CogMI58952.2023.00011}, doi = {10.1109/COGMI58952.2023.00011}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogmi/LuoLWKAAABCDOHHJKKKLLLMOPTWWYYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BlattmannRLD0FK23, author = {Andreas Blattmann and Robin Rombach and Huan Ling and Tim Dockhorn and Seung Wook Kim and Sanja Fidler and Karsten Kreis}, title = {Align Your Latents: High-Resolution Video Synthesis with Latent Diffusion Models}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {22563--22575}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02161}, doi = {10.1109/CVPR52729.2023.02161}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BlattmannRLD0FK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoiSWYKJKLKEPB23, author = {Hanho Choi and Ha{-}Il Song and Hyosup Won and Jun Young Yoo and Woohyun Kwon and Huxian Jin and Konan Kwon and Cheong Min Lee and Gain Kim and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {An 86.71875GHz {RF} transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {181--184}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268802}, doi = {10.1109/ESSCIRC59616.2023.10268802}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoiSWYKJKLKEPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/0003UBMSDJOW0AK23, author = {Ali Raza and Thomas Unger and Matthew Boyd and Eric B. Munson and Parul Sohal and Ulrich Drepper and Richard Jones and Daniel Bristot de Oliveira and Larry Woodman and Renato Mancuso and Jonathan Appavoo and Orran Krieger}, editor = {Giuseppe Antonio Di Luna and Leonardo Querzoni and Alexandra Fedorova and Dushyanth Narayanan}, title = {Unikernel Linux {(UKL)}}, booktitle = {Proceedings of the Eighteenth European Conference on Computer Systems, EuroSys 2023, Rome, Italy, May 8-12, 2023}, pages = {590--605}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3552326.3587458}, doi = {10.1145/3552326.3587458}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/0003UBMSDJOW0AK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/XiaoYGMLJ023, author = {Tan{-}Lin Xiao and Qiang Yang and Xu{-}Dong Gao and Yuan{-}Yuan Ma and Zhenyu Lu and Sang{-}Woon Jeon and Jun Zhang}, editor = {Sara Silva and Lu{\'{\i}}s Paquete}, title = {Variation Encoded Large-Scale Swarm Optimizers for Path Planning of Unmanned Aerial Vehicle}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2023, Lisbon, Portugal, July 15-19, 2023}, pages = {102--110}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583131.3590357}, doi = {10.1145/3583131.3590357}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/gecco/XiaoYGMLJ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OoiWLOG23, author = {Kenneth Ooi and Karn N. Watcharasupat and Bhan Lam and Zhen{-}Ting Ong and Woon{-}Seng Gan}, title = {Autonomous Soundscape Augmentation with Multimodal Fusion of Visual and Participant-Linked Inputs}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094866}, doi = {10.1109/ICASSP49357.2023.10094866}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/OoiWLOG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LiLKA0K0F23, author = {Daiqing Li and Huan Ling and Amlan Kar and David Acuna and Seung Wook Kim and Karsten Kreis and Antonio Torralba and Sanja Fidler}, title = {DreamTeacher: Pretraining Image Backbones with Deep Generative Models}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {16652--16662}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01531}, doi = {10.1109/ICCV51070.2023.01531}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LiLKA0K0F23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/VahidianKB0K0S023, author = {Saeed Vahidian and Sreevatsank Kadaveru and Woonjoon Baek and Weijia Wang and Vyacheslav Kungurtsev and Chen Chen and Mubarak Shah and Bill Lin}, title = {When Do Curricula Work in Federated Learning?}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {5061--5071}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00469}, doi = {10.1109/ICCV51070.2023.00469}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/VahidianKB0K0S023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciap/SchuikiLLNU23, author = {Johannes Schuiki and Miriam Landkammer and Michael Linortner and Isabella Nicka and Andreas Uhl}, editor = {Gian Luca Foresti and Andrea Fusiello and Edwin R. Hancock}, title = {Towards Using Natural Images of Wood to Retrieve Painterly Depictions of the Wood of Christ's Cross}, booktitle = {Image Analysis and Processing - {ICIAP} 2023 Workshops - Udine, Italy, September 11-15, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14366}, pages = {359--371}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-51026-7\_31}, doi = {10.1007/978-3-031-51026-7\_31}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iciap/SchuikiLLNU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0002LLVW23, author = {Yi Li and Honghao Lin and Simin Liu and Ali Vakilian and David P. Woodruff}, title = {Learning the Positions in CountSketch}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=iV9Cs8s8keU}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0002LLVW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/MunteanuOW23, author = {Alexander Munteanu and Simon Omlor and David P. Woodruff}, title = {Almost Linear Constant-Factor Sketching for {\textdollar}{\textbackslash}ell{\_}1{\textdollar} and Logistic Regression}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=gu-SC0dpkvw}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/MunteanuOW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/LinZWZCLKPCZW23, author = {Hao{-}Ping Lin and Lina Zhao and Daniel Woolley and Xue Zhang and Hsiao{-}Ju Cheng and Weidi Liang and Christopher Wee Keong Kuah and Tegan K. Plunkett and Karen Sui Geok Chua and Lixin Zhang and Nicole Wenderoth}, title = {Exploring the Feasibility of Computer Vision for Detecting Post-Stroke Compensatory Movements}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304697}, doi = {10.1109/ICORR58425.2023.10304697}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/LinZWZCLKPCZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonHYYS23, author = {Geon{-}Woo Jeon and Miyeon Hong and Joon Soo Yoo and Ji Won Yoon and Baek Kyung Song}, title = {Secure Dimensionality Reduction: Applying Linear Discriminant Analysis over the {TFHE} Homomorphic Encryption Scheme}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1462--1467}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392349}, doi = {10.1109/ICTC58733.2023.10392349}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeonHYYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MengMMFGKLMWWWW23, author = {Helen Meng and Brian Mak and Man{-}Wai Mak and Helene H. Fung and Xianmin Gong and Timothy C. Y. Kwok and Xunying Liu and Vincent C. T. Mok and Patrick C. M. Wong and Jean Woo and Xixin Wu and Ka Ho Wong and Sean Shensheng Xu and Naijun Zheng and Ranzo Huang and Jiawen Kang and Xiaoquan Ke and Junan Li and Jinchao Li and Yi Wang}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Integrated and Enhanced Pipeline System to Support Spoken Language Analytics for Screening Neurocognitive Disorders}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {1713--1717}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-2249}, doi = {10.21437/INTERSPEECH.2023-2249}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/MengMMFGKLMWWWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/NallaPPHBZW23, author = {Vineela Nalla and Seyedamin Pouriyeh and Reza M. Parizi and InChan Hwang and Beatrice Brown{-}Mulry and Linglin Zhang and Minjae Woo}, title = {Influence of Convolutional Neural Network Depth on the Efficacy of Automated Breast Cancer Screening Systems}, booktitle = {{IEEE} Symposium on Computers and Communications, {ISCC} 2023, Gammarth, Tunisia, July 9-12, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCC58397.2023.10217947}, doi = {10.1109/ISCC58397.2023.10217947}, timestamp = {Wed, 06 Sep 2023 08:09:43 +0200}, biburl = {https://dblp.org/rec/conf/iscc/NallaPPHBZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/WooTC23, author = {Olive Kit Ling Woo and Huang Yu Te and Shelley L. Craig}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {A Mixed-Method Study Protocol of a Novel Psychological Intervention: Virtual Reality Therapy for {LGBT} {(LGBT-VRT)}}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {350--354}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00075}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00075}, timestamp = {Wed, 03 Jan 2024 08:34:31 +0100}, biburl = {https://dblp.org/rec/conf/ismar/WooTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RajXBCZCKNZWTLIMAUF23, author = {Mayank Raj and Chuan Xie and Ade Bekele and Adam Chou and Wenfeng Zhang and Ying Cao and Jae Wook Kim and Nakul Narang and Hongyuan Zhao and Yipeng Wang and Kee Hian Tan and Winson Lin and Jay Im and David Mahashin and Santiago Asuncion and Parag Upadhyaya and Yohan Frans}, title = {A O.96pJ/b 7 {\texttimes} 50Gb/s-per-Fiber {WDM} Receiver with Stacked 7nm {CMOS} and 45nm Silicon Photonic Dies}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {204--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067617}, doi = {10.1109/ISSCC42615.2023.10067617}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RajXBCZCKNZWTLIMAUF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lm/WilliamsonSSCMFYW23, author = {Avery S. Williamson and Wenhuan Sun and Ravesh Sukhnandan and Brian Coffin and Carmel Majidi and Adam W. Feinberg and Lining Yao and Victoria A. Webster{-}Wood}, editor = {Fabian Meder and Alexander J. Hunt and Laura Margheri and Anna Mura and Barbara Mazzolai}, title = {FRESH-Printing of a Multi-actuator Biodegradable Robot Arm for Articulation and Grasping}, booktitle = {Biomimetic and Biohybrid Systems - 12th International Conference, Living Machines 2023, Genoa, Italy, July 10-13, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14157}, pages = {130--141}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-38857-6\_10}, doi = {10.1007/978-3-031-38857-6\_10}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lm/WilliamsonSSCMFYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/WooEHLMST23, author = {Steven C. Woo and Wendy Elsasser and Mike Hamburg and Eric Linstadt and Michael R. Miller and Taeksang Song and James Tringali}, title = {{RAMPART:} RowHammer Mitigation and Repair for Server Memory Systems}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2023, Alexandria, VA, USA, October 2-5, 2023}, pages = {4:1--4:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3631882.3631886}, doi = {10.1145/3631882.3631886}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memsys/WooEHLMST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SmithTC23, author = {Shea Smith and Armin Tajalli and Shiuh{-}Hua Wood Chiang}, title = {A {VCO} Linearization Technique Using Dual-VCO and Interpolation for Time-Based ADCs}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {996--1000}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10406142}, doi = {10.1109/MWSCAS57524.2023.10406142}, timestamp = {Sat, 24 Feb 2024 20:42:53 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SmithTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SheybaniHWST23, author = {Saber Sheybani and Himanshu Hansaria and Justin Wood and Linda B. Smith and Zoran Tiganj}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Curriculum Learning With Infant Egocentric Videos}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/a9ad92a81748a31ef6f2ef68d775da46-Abstract-Conference.html}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SheybaniHWST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/YangWLCBKZLMSS23, author = {Zongheng Yang and Zhanghao Wu and Michael Luo and Wei{-}Lin Chiang and Romil Bhardwaj and Woosuk Kwon and Siyuan Zhuang and Frank Sifei Luan and Gautam Mittal and Scott Shenker and Ion Stoica}, editor = {Mahesh Balakrishnan and Manya Ghobadi}, title = {SkyPilot: An Intercloud Broker for Sky Computing}, booktitle = {20th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2023, Boston, MA, April 17-19, 2023}, pages = {437--455}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/nsdi23/presentation/yang-zongheng}, timestamp = {Thu, 11 May 2023 17:08:22 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/YangWLCBKZLMSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangTCZLSHTC23, author = {Kai{-}Chieh Chang and Chia{-}Ling Tsai and Wei{-}Chih Cheng and Zon and Chun{-}Nien Liu and Tien{-}Tsorng Shih and Sheng{-}Lung Huang and Charles W. Tu and Wood{-}Hi Cheng}, title = {Record Gain of 18-dB for Broadband Single-Model Cr-Doped Crystalline Core Fiber by Small Core Diameter}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117301}, doi = {10.23919/OFC49934.2023.10117301}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangTCZLSHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/CherapanamjeriS23, author = {Yeshwanth Cherapanamjeri and Sandeep Silwal and David P. Woodruff and Samson Zhou}, editor = {Nikhil Bansal and Viswanath Nagarajan}, title = {Optimal Algorithms for Linear Algebra in the Current Matrix Multiplication Time}, booktitle = {Proceedings of the 2023 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2023, Florence, Italy, January 22-25, 2023}, pages = {4026--4049}, publisher = {{SIAM}}, year = {2023}, url = {https://doi.org/10.1137/1.9781611977554.ch154}, doi = {10.1137/1.9781611977554.CH154}, timestamp = {Fri, 17 Feb 2023 09:28:57 +0100}, biburl = {https://dblp.org/rec/conf/soda/CherapanamjeriS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/MeyerMMWZ23, author = {Raphael A. Meyer and Cameron Musco and Christopher Musco and David P. Woodruff and Samson Zhou}, editor = {Nikhil Bansal and Viswanath Nagarajan}, title = {Near-Linear Sample Complexity for \emph{L\({}_{\mbox{p}}\)} Polynomial Regression}, booktitle = {Proceedings of the 2023 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2023, Florence, Italy, January 22-25, 2023}, pages = {3959--4025}, publisher = {{SIAM}}, year = {2023}, url = {https://doi.org/10.1137/1.9781611977554.ch153}, doi = {10.1137/1.9781611977554.CH153}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soda/MeyerMMWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/XuCMWYCKY23, author = {Yuan Xu and Ching Sing Chai and Helen Meng and Savio Wai{-}Ho Wong and King Woon Yau and Thomas K. F. Chiu and Irwin King and Yeung Yam}, title = {An experiential learning approach to learn {AI} in an online workshop}, booktitle = {{IEEE} International Conference on Teaching, Assessment and Learning for Engineering, {TALE} 2023, Auckland, New Zealand, November 28 - Dec. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TALE56641.2023.10398321}, doi = {10.1109/TALE56641.2023.10398321}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tale/XuCMWYCKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimYCCLLLYDTLSB23, author = {Jeongkyun Kim and Byungho Yook and Taemin Choi and Kyuwon Choi and Chanho Lee and Yunrong Li and Youngo Lee and Seok Yun and Changhoon Do and Hoyoung Tang and Inhak Lee and Dongwook Seo and Sangyeop Baeck}, title = {A 4.0GHz {UHS} Pseudo Two-port {SRAM} with {BL} Charge Time Reduction and Flying Word-Line for {HPC} Applications in 4nm FinFET Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185223}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185223}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimYCCLLLYDTLSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/acm/23/Hyland-Wood23, author = {David Hyland{-}Wood}, editor = {Oshani Seneviratne and James A. Hendler}, title = {Linking the World's Data}, booktitle = {Linking the World's Information - Essays on Tim Berners-Lee's Invention of the World Wide Web}, series = {{ACM} Books}, volume = {52}, pages = {145--153}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3591366.3591379}, doi = {10.1145/3591366.3591379}, timestamp = {Thu, 21 Sep 2023 14:12:24 +0200}, biburl = {https://dblp.org/rec/books/acm/23/Hyland-Wood23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12436, author = {Yi Cheng and Dongyun Lin and Fen Fang and Hao Xuan Woon and Qianli Xu and Ying Sun}, title = {Team {VI-I2R} Technical Report on {EPIC-KITCHENS-100} Unsupervised Domain Adaptation Challenge for Action Recognition 2022}, journal = {CoRR}, volume = {abs/2301.12436}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12436}, doi = {10.48550/ARXIV.2301.12436}, eprinttype = {arXiv}, eprint = {2301.12436}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-08342, author = {Kenneth Ooi and Karn N. Watcharasupat and Bhan Lam and Zhen{-}Ting Ong and Woon{-}Seng Gan}, title = {Autonomous Soundscape Augmentation with Multimodal Fusion of Visual and Participant-linked Inputs}, journal = {CoRR}, volume = {abs/2303.08342}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.08342}, doi = {10.48550/ARXIV.2303.08342}, eprinttype = {arXiv}, eprint = {2303.08342}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-08342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-12976, author = {Trung Pham and Mehran Maghoumi and Wanli Jiang and Bala Siva Sashank Jujjavarapu and Mehdi Sajjadi and Xin Liu and Hsuan{-}Chu Lin and Bor{-}Jeng Chen and Giang Truong and Chao Fang and Junghyun Kwon and Minwoo Park}, title = {NVAutoNet: Fast and Accurate 360{\textdegree} 3D Visual Perception For Self Driving}, journal = {CoRR}, volume = {abs/2303.12976}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.12976}, doi = {10.48550/ARXIV.2303.12976}, eprinttype = {arXiv}, eprint = {2303.12976}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-12976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00051, author = {Alexander Munteanu and Simon Omlor and David P. Woodruff}, title = {Almost Linear Constant-Factor Sketching for {\unicode{120001}}\({}_{\mbox{1}}\) and Logistic Regression}, journal = {CoRR}, volume = {abs/2304.00051}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00051}, doi = {10.48550/ARXIV.2304.00051}, eprinttype = {arXiv}, eprint = {2304.00051}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-02261, author = {Tung Mai and Alexander Munteanu and Cameron Musco and Anup B. Rao and Chris Schwiegelshohn and David P. Woodruff}, title = {Optimal Sketching Bounds for Sparse Linear Regression}, journal = {CoRR}, volume = {abs/2304.02261}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.02261}, doi = {10.48550/ARXIV.2304.02261}, eprinttype = {arXiv}, eprint = {2304.02261}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-02261.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-08818, author = {Andreas Blattmann and Robin Rombach and Huan Ling and Tim Dockhorn and Seung Wook Kim and Sanja Fidler and Karsten Kreis}, title = {Align your Latents: High-Resolution Video Synthesis with Latent Diffusion Models}, journal = {CoRR}, volume = {abs/2304.08818}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.08818}, doi = {10.48550/ARXIV.2304.08818}, eprinttype = {arXiv}, eprint = {2304.08818}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-08818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-13189, author = {Mark Wronkiewicz and Jake H. Lee and Lukas Mandrake and Jack Lightholder and Gary Doran and Steffen Mauceri and Taewoo Kim and Nathan Oborny and Thomas Schibler and Jay Nadeau and James K. Wallace and Eshaan Moorjani and Chris Lindensmith}, title = {Onboard Science Instrument Autonomy for the Detection of Microscopy Biosignatures on the Ocean Worlds Life Surveyor}, journal = {CoRR}, volume = {abs/2304.13189}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.13189}, doi = {10.48550/ARXIV.2304.13189}, eprinttype = {arXiv}, eprint = {2304.13189}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-13189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-00006, author = {Meenakshi S. Kagda and Bonita Lam and Casey Litton and Corinn Small and Cricket A. Sloan and Emma Spragins and Forrest Tanaka and Ian Whaling and Idan Gabdank and Ingrid Youngworth and J. Seth Strattan and Jason A. Hilton and Jennifer Jou and Jessica Au and Jin{-}Wook Lee and Kalina Andreeva and Keenan Graham and Khine Lin and Matt Simison and Otto Jolanki and Paul Sud and Pedro Assis and Philip Adenekan and Eric Douglass and Mingjie Li and Stuart R. Miyasato and Weiwei Zhong and Yunhai Luo and Zachary Myers and J. Michael Cherry and Benjamin C. Hitz}, title = {Data navigation on the {ENCODE} portal}, journal = {CoRR}, volume = {abs/2305.00006}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.00006}, doi = {10.48550/ARXIV.2305.00006}, eprinttype = {arXiv}, eprint = {2305.00006}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-00006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-04422, author = {Linglin Zhang and Beatrice Brown{-}Mulry and Vineela Nalla and InChan Hwang and Judy Wawira Gichoya and Aimilia Gastounioti and Imon Banerjee and Laleh Seyyed{-}Kalantari and Minjae Woo and Hari Trivedi}, title = {Performance Gaps of Artificial Intelligence Models Screening Mammography - Towards Fair and Interpretable Models}, journal = {CoRR}, volume = {abs/2305.04422}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.04422}, doi = {10.48550/ARXIV.2305.04422}, eprinttype = {arXiv}, eprint = {2305.04422}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-04422.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-05826, author = {Rajarshi Bhattacharjee and Gregory Dexter and Cameron Musco and Archan Ray and Sushant Sachdeva and David P. Woodruff}, title = {Universal Matrix Sparsifiers and Fast Deterministic Algorithms for Linear Algebra}, journal = {CoRR}, volume = {abs/2305.05826}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.05826}, doi = {10.48550/ARXIV.2305.05826}, eprinttype = {arXiv}, eprint = {2305.05826}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-05826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-06611, author = {Yi Li and Honghao Lin and Simin Liu and Ali Vakilian and David P. Woodruff}, title = {Learning the Positions in CountSketch}, journal = {CoRR}, volume = {abs/2306.06611}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.06611}, doi = {10.48550/ARXIV.2306.06611}, eprinttype = {arXiv}, eprint = {2306.06611}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-06611.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-07487, author = {Daiqing Li and Huan Ling and Amlan Kar and David Acuna and Seung Wook Kim and Karsten Kreis and Antonio Torralba and Sanja Fidler}, title = {DreamTeacher: Pretraining Image Backbones with Deep Generative Models}, journal = {CoRR}, volume = {abs/2307.07487}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.07487}, doi = {10.48550/ARXIV.2307.07487}, eprinttype = {arXiv}, eprint = {2307.07487}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-07487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-11984, author = {Hyung Jun Choi and Woocheol Choi and Jinmyoung Seok}, title = {Non-ergodic linear convergence property of the delayed gradient descent under the strongly convexity and the Polyak-{\L}ojasiewicz condition}, journal = {CoRR}, volume = {abs/2308.11984}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.11984}, doi = {10.48550/ARXIV.2308.11984}, eprinttype = {arXiv}, eprint = {2308.11984}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-11984.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04607, author = {Eamonn Kennedy and Shashank Vadlamani and Hannah M. Lindsey and Kelly S. Peterson and Kristen Dams OConnor and Kenton Murray and Ronak Agarwal and Houshang H. Amiri and Raeda K. Andersen and Talin Babikian and David A Baron and Erin D. Bigler and Karen Caeyenberghs and Lisa Delano{-}Wood and Seth G. Disner and Ekaterina Dobryakova and Blessen C. Eapen and Rachel M. Edelstein and Carrie Esopenko and Helen M. Genova and Elbert Geuze and Naomi J. Goodrich{-}Hunsaker and Jordan Grafman and Asta K. H{\aa}berg and Cooper B. Hodges and Kristen R. Hoskinson and Elizabeth S. Hovenden and Andrei Irimia and Neda Jahanshad and Ruchira M. Jha and Finian Keleher and Kimbra Kenney and Inga Koerte and Spencer W. Liebel and Abigail Livny and Marianne Lovstad and Sarah L. Martindale and Jeffrey E. Max and Andrew R. Mayer and Timothy B. Meier and Deleene S. Menefee and Abdalla Z. Mohamed and Stefania Mondello and Martin M. Monti and Rajendra A. Morey and Virginia Newcombe and et al.}, title = {Linking Symptom Inventories using Semantic Textual Similarity}, journal = {CoRR}, volume = {abs/2309.04607}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04607}, doi = {10.48550/ARXIV.2309.04607}, eprinttype = {arXiv}, eprint = {2309.04607}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03200, author = {Hsiu{-}Ping Lin and Suman Chauhan and Yougender Chauhan and Nagender Chauhan and Jongwook Woo}, title = {Amazon Books Rating prediction {\&} Recommendation Model}, journal = {CoRR}, volume = {abs/2310.03200}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03200}, doi = {10.48550/ARXIV.2310.03200}, eprinttype = {arXiv}, eprint = {2310.03200}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03200.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05869, author = {Insu Han and Rajesh Jayaram and Amin Karbasi and Vahab Mirrokni and David P. Woodruff and Amir Zandieh}, title = {HyperAttention: Long-context Attention in Near-Linear Time}, journal = {CoRR}, volume = {abs/2310.05869}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05869}, doi = {10.48550/ARXIV.2310.05869}, eprinttype = {arXiv}, eprint = {2310.05869}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08560, author = {Charles Packer and Vivian Fang and Shishir G. Patil and Kevin Lin and Sarah Wooders and Joseph E. Gonzalez}, title = {MemGPT: Towards LLMs as Operating Systems}, journal = {CoRR}, volume = {abs/2310.08560}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08560}, doi = {10.48550/ARXIV.2310.08560}, eprinttype = {arXiv}, eprint = {2310.08560}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08560.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-16354, author = {Steven C. Woo and Wendy Elsasser and Mike Hamburg and Eric Linstadt and Michael R. Miller and Taeksang Song and James Tringali}, title = {{RAMPART:} RowHammer Mitigation and Repair for Server Memory Systems}, journal = {CoRR}, volume = {abs/2310.16354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.16354}, doi = {10.48550/ARXIV.2310.16354}, eprinttype = {arXiv}, eprint = {2310.16354}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-16354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12560, author = {Carolina A. M. Heming and Mohamed Abdalla and Monish Ahluwalia and Linglin Zhang and Hari Trivedi and Minjae Woo and Benjamin Fine and Judy Wawira Gichoya and Leo Anthony Celi and Laleh Seyyed{-}Kalantari}, title = {Benchmarking bias: Expanding clinical {AI} model card to incorporate bias reporting of social and non-social factors}, journal = {CoRR}, volume = {abs/2311.12560}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12560}, doi = {10.48550/ARXIV.2311.12560}, eprinttype = {arXiv}, eprint = {2311.12560}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12560.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-15883, author = {Julian Gutierrez and Anthony W. Lin and Muhammad Najib and Thomas Steeples and Michael J. Wooldridge}, title = {Characterising and Verifying the Core in Concurrent Multi-Player Mean-Payoff Games (Full Version)}, journal = {CoRR}, volume = {abs/2311.15883}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.15883}, doi = {10.48550/ARXIV.2311.15883}, eprinttype = {arXiv}, eprint = {2311.15883}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-15883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-13763, author = {Huan Ling and Seung Wook Kim and Antonio Torralba and Sanja Fidler and Karsten Kreis}, title = {Align Your Gaussians: Text-to-4D with Dynamic 3D Gaussians and Composed Diffusion Models}, journal = {CoRR}, volume = {abs/2312.13763}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.13763}, doi = {10.48550/ARXIV.2312.13763}, eprinttype = {arXiv}, eprint = {2312.13763}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-13763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLLOKCS22, author = {Jae{-}Ho Lee and Sang{-}Hoon Lee and Hyun Joon Lee and Jung{-}Hoon Oh and Jang{-}Yeol Kim and In{-}Kui Cho and Dong{-}Wook Seo}, title = {Design of Comb-Line Array Antenna for Low Sidelobe Level in Millimeter-Wave Band}, journal = {{IEEE} Access}, volume = {10}, pages = {47195--47202}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3169280}, doi = {10.1109/ACCESS.2022.3169280}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLLOKCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/Bag0W22, author = {Surajit Bag and Shivam Gupta and Lincoln C. Wood}, title = {Big data analytics in sustainable humanitarian supply chain: barriers and their interactions}, journal = {Ann. Oper. Res.}, volume = {319}, number = {1}, pages = {721--760}, year = {2022}, url = {https://doi.org/10.1007/s10479-020-03790-7}, doi = {10.1007/S10479-020-03790-7}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/Bag0W22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/YooKPOKL22, author = {Donggun Yoo and Wooseok Kim and Sangho Park and Bora Oh and Haejoong Kim and Sangmin Lee}, title = {Anticipatory transport system with hybrid linear and nonlinear forecasting using streaming wafer process data}, journal = {Appl. Soft Comput.}, volume = {125}, pages = {109122}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2022.109122}, doi = {10.1016/J.ASOC.2022.109122}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/YooKPOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WoodGKWAYMFSCTR22, author = {E. C. Wood and Amy Glen and Lindsey G. Kvarfordt and Finn Womack and Liliana Acevedo and Timothy S. Yoon and Chunyu Ma and Veronica Flores and Meghamala Sinha and Yodsawalai Chodpathumwan and Arash Termehchy and Jared C. Roach and Luis Mendoza and Andrew S. Hoffman and Eric W. Deutsch and David Koslicki and Stephen A. Ramsey}, title = {{RTX-KG2:} a system for building a semantically standardized knowledge graph for translational biomedicine}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {400}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04932-3}, doi = {10.1186/S12859-022-04932-3}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/WoodGKWAYMFSCTR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/AspartBLBWPH22, author = {Florian Aspart and Jon Lindstr{\"{o}}m Bolmgren and Jo{\"{e}}l L. Lavanchy and Guido Beldi and Michael S. Woods and Nicolas Padoy and Enes Hosgor}, title = {ClipAssistNet: bringing real-time safety feedback to operating rooms}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {17}, number = {1}, pages = {5--13}, year = {2022}, url = {https://doi.org/10.1007/s11548-021-02441-x}, doi = {10.1007/S11548-021-02441-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/AspartBLBWPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HingRBRBRGSDMKS22, author = {Nerilee Hing and Alex M. T. Russell and Andrew Black and Matthew J. Rockloff and Matthew Browne and Vijay Rawat and Nancy Greer and Matthew Stevens and Nicki A. Dowling and Stephanie Merkouris and Daniel L. King and Anne H. Salonen and Helen Breen and Linda Woo}, title = {Gambling prevalence and gambling problems amongst land-based-only, online-only and mixed-mode gamblers in Australia: {A} national study}, journal = {Comput. Hum. Behav.}, volume = {132}, pages = {107269}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107269}, doi = {10.1016/J.CHB.2022.107269}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/HingRBRBRGSDMKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cstat/KangLK22, author = {Sanggil Kang and Woo Dong Lee and Yongku Kim}, title = {Objective Bayesian group variable selection for linear model}, journal = {Comput. Stat.}, volume = {37}, number = {3}, pages = {1287--1310}, year = {2022}, url = {https://doi.org/10.1007/s00180-021-01160-w}, doi = {10.1007/S00180-021-01160-W}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cstat/KangLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcc/DyshkoW22, author = {Serhii Dyshko and Jay A. Wood}, title = {MacWilliams extension property for arbitrary weights on linear codes over module alphabets}, journal = {Des. Codes Cryptogr.}, volume = {90}, number = {11}, pages = {2683--2701}, year = {2022}, url = {https://doi.org/10.1007/s10623-021-00945-w}, doi = {10.1007/S10623-021-00945-W}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dcc/DyshkoW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/KwonPL22, author = {Wookyong Kwon and JunMin Park and Sang{-}Moon Lee}, title = {Bidirectional fragmentation approach on the stability analysis of sampled-data linear systems}, journal = {Int. J. Syst. Sci.}, volume = {53}, number = {10}, pages = {2033--2044}, year = {2022}, url = {https://doi.org/10.1080/00207721.2022.2035012}, doi = {10.1080/00207721.2022.2035012}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/KwonPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/MiaoZHLWMP22, author = {Yujie Miao and Shiping Zhu and Hua Huang and Junxian Li and Xiao Wei and Lingkai Ma and Jing Pu}, title = {Wood species recognition from wood images with an improved {CNN}}, journal = {J. Intell. Fuzzy Syst.}, volume = {42}, number = {6}, pages = {5031--5040}, year = {2022}, url = {https://doi.org/10.3233/JIFS-211097}, doi = {10.3233/JIFS-211097}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/MiaoZHLWMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/RothXTSZMLXTTYH22, author = {Holger R. Roth and Ziyue Xu and Carlos Tor{-}D{\'{\i}}ez and Ramon S{\'{a}}nchez{-}Jacob and Jonathan Zember and Jose Molto and Wenqi Li and Sheng Xu and Baris Turkbey and Evrim Turkbey and Dong Yang and Ahmed Harouni and Nicola Rieke and Shishuai Hu and Fabian Isensee and Claire Tang and Qinji Yu and Jan S{\"{o}}lter and Tong Zheng and Vitali Liauchuk and Ziqi Zhou and Jan Hendrik Moltz and Bruno Oliveira and Yong Xia and Klaus H. Maier{-}Hein and Qikai Li and Andreas Husch and Luyang Zhang and Vassili Kovalev and Li Kang and Alessa Hering and Jo{\~{a}}o L. Vila{\c{c}}a and Mona Flores and Daguang Xu and Bradford J. Wood and Marius George Linguraru}, title = {Rapid artificial intelligence solutions in a pandemic - The {COVID-19-20} Lung {CT} Lesion Segmentation Challenge}, journal = {Medical Image Anal.}, volume = {82}, pages = {102605}, year = {2022}, url = {https://doi.org/10.1016/j.media.2022.102605}, doi = {10.1016/J.MEDIA.2022.102605}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/RothXTSZMLXTTYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TolleyWHEMWCS22, author = {Clare L. Tolley and Neil Watson and Andrew Heed and Jochen Einbeck and Suzanne Medows and Linda Wood and Layla Campbell and Sarah P. Slight}, title = {The impact of a novel medication scanner on administration errors in the hospital setting: a before and after feasibility study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {86}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01828-3}, doi = {10.1186/S12911-022-01828-3}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/TolleyWHEMWCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HerreraWSMWSR22, author = {Beatriz Herrera and Jacob A. Westerberg and Michelle S. Schall and Alexander Maier and Geoffrey F. Woodman and Jeffrey D. Schall and Jorge J. Riera}, title = {Resolving the mesoscopic missing link: Biophysical modeling of {EEG} from cortical columns in primates}, journal = {NeuroImage}, volume = {263}, pages = {119593}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119593}, doi = {10.1016/J.NEUROIMAGE.2022.119593}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HerreraWSMWSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/Westbrook0RMBGF22, author = {Johanna I. Westbrook and Ling Li and Magdalena Z. Raban and Virginia Mumford and Tim Badgery{-}Parker and Peter J. Gates and Erin Fitzpatrick and Alison Merchant and Amanda Woods and Melissa T. Baysari and Cheryl McCullagh and Richard O. Day and Madlen Gazarian and Michael Dickinson and Karla Seaman and Luciano Dalla{-}Pozza and Geoffrey Ambler and Peter Barclay and Alan Gardo and Tracey O'Brien and Draga Barbaric and Les White}, title = {Short- and long-term effects of an electronic medication management system on paediatric prescribing errors}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00739-x}, doi = {10.1038/S41746-022-00739-X}, timestamp = {Thu, 08 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npjdm/Westbrook0RMBGF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/ZitomerKKPRAACD22, author = {Rachel A. Zitomer and Jessica Karr and Mark Kerstens and Lindsey Perry and Kayla Ruth and Lindsay Adrean and Suzanne Austin and Jamie Cornelius and Jonathan Dachenhaus and Jonathan Dinkins and Alan Harrington and Hankyu Kim and Terrah Owens and Claire Revekant and Vanessa Schroeder and Chelsea Sink and Jonathon J. Valente and Ethan Woodis and James W. Rivers}, title = {Ten simple rules for getting started with statistics in graduate school}, journal = {PLoS Comput. Biol.}, volume = {18}, number = {4}, year = {2022}, url = {https://doi.org/10.1371/journal.pcbi.1010033}, doi = {10.1371/JOURNAL.PCBI.1010033}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/ZitomerKKPRAACD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qss/JoLW22, author = {Woo Seong Jo and Lu Liu and Dashun Wang}, title = {See further upon the giants: Quantifying intellectual lineage in science}, journal = {Quant. Sci. Stud.}, volume = {3}, number = {2}, pages = {319--330}, year = {2022}, url = {https://doi.org/10.1162/qss\_a\_00186}, doi = {10.1162/QSS\_A\_00186}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qss/JoLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaughanKSPCSBDW22, author = {Andrea E. Gaughan and Nicholas E. Kolarik and Forrest R. Stevens and Narcisa G. Pricope and Lin Cassidy and Jonathan Salerno and Karen M. Bailey and Michael D. Drake and Kyle D. Woodward and Joel Hartter}, title = {Using Very-High-Resolution Multispectral Classification to Estimate Savanna Fractional Vegetation Components}, journal = {Remote. Sens.}, volume = {14}, number = {3}, pages = {551}, year = {2022}, url = {https://doi.org/10.3390/rs14030551}, doi = {10.3390/RS14030551}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/GaughanKSPCSBDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimLO22, author = {Jung{-}Rack Kim and Shih{-}Yuan Lin and Jong{-}Woo Oh}, title = {The Survey of Lava Tube Distribution in Jeju Island by Multi-Source Data Fusion}, journal = {Remote. Sens.}, volume = {14}, number = {3}, pages = {443}, year = {2022}, url = {https://doi.org/10.3390/rs14030443}, doi = {10.3390/RS14030443}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TiampoHSWG22, author = {Kristy F. Tiampo and Lingcao Huang and Conor Simmons and Clay Woods and Margaret T. Glasscoe}, title = {Detection of Flood Extent Using Sentinel-1A/B Synthetic Aperture Radar: An Application for Hurricane Harvey, Houston, {TX}}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {2261}, year = {2022}, url = {https://doi.org/10.3390/rs14092261}, doi = {10.3390/RS14092261}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TiampoHSWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJLPPPL22, author = {Taemin Lee and Joontaek Jung and Sang{-}Mok Lee and Jongcheol Park and Jae{-}Hyeong Park and Kyung{-}Wook Paik and Hyunjoo J. Lee}, title = {{FPCB} as an Acoustic Matching Layer for 1D Linear Ultrasound Transducer Arrays}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5557}, year = {2022}, url = {https://doi.org/10.3390/s22155557}, doi = {10.3390/S22155557}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJLPPPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MinLLNCK22, author = {Byung Cheol Min and Gwan Hui Lee and Jung Seok Lee and Syifa Haunan Nashuha and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Ultra-Wideband Differential Line-to-Balanced Line Transitions for Super-High-Speed Digital Transmission}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6873}, year = {2022}, url = {https://doi.org/10.3390/s22186873}, doi = {10.3390/S22186873}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MinLLNCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RochaSMMSRNL22, author = {Paulo Rocha and Alisson Barbosa de Souza and Gilvan Maia and C{\'{e}}sar L. C. Mattos and Francisco Airton Silva and Paulo A. L. Rego and Tuan Anh Nguyen and Jae{-}Woo Lee}, title = {Evaluating Link Lifetime Prediction to Support Computational Offloading Decision in VANETs}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6038}, year = {2022}, url = {https://doi.org/10.3390/s22166038}, doi = {10.3390/S22166038}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RochaSMMSRNL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RuiterWAHPWD22, author = {Cornelis J. de Ruiter and Erik Wilmes and Pepijn S. van Ardenne and Niels Houtkamp and Reinder A. Prince and Maarten Wooldrik and Jaap H. van Die{\"{e}}n}, title = {Stride Lengths during Maximal Linear Sprint Acceleration Obtained with Foot-Mounted Inertial Measurement Units}, journal = {Sensors}, volume = {22}, number = {1}, pages = {376}, year = {2022}, url = {https://doi.org/10.3390/s22010376}, doi = {10.3390/S22010376}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RuiterWAHPWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooCCKPY22, author = {Seungmin Woo and Jaehyeok Choi and Kwangjong Choi and Bokyeong Kang and Hwasun Park and Youngoo Yang}, title = {Analysis of Microstrip Line with Asymmetric Arch Type Cross-Sectional Structure Using Micro Pattern Transfer Printing Method}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5613}, year = {2022}, url = {https://doi.org/10.3390/s22155613}, doi = {10.3390/S22155613}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WooCCKPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YunLKKY22, author = {Alchan Yun and Woosub Lee and Soonkyum Kim and Jong{-}Ho Kim and Hyungseok Yoon}, title = {Development of a Robot Arm Link System Embedded with a Three-Axis Sensor with a Simple Structure Capable of Excellent External Collision Detection}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1222}, year = {2022}, url = {https://doi.org/10.3390/s22031222}, doi = {10.3390/S22031222}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YunLKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/GschwendtnerLMW22, author = {Edda Gschwendtner and Konstantin Lotov and Patric Muggli and Matthew Wing and Riccardo Agnello and Claudia Christina Ahdida and Maria Carolina Amoedo Goncalves and Yanis Andrebe and Oznur Apsimon and Robert Apsimon and Jordan Matias Arnesano and Anna{-}Maria Bachmann and Diego Barrientos and Fabian Batsch and Vittorio Bencini and Michele Bergamaschi and Patrick Blanchard and Philip Nicholas Burrows and Birger Buttensch{\"{o}}n and Allen Caldwell and James Chappell and Eric Chevallay and Moses Chung and David Andrew Cooke and Heiko Damerau and Can Davut and G{\'{a}}bor Demeter and Amos Christopher Dexter and Steffen Doebert and Francesa Ann Elverson and John Farmer and Ambrogio Fasoli and Valentin Fedosseev and Ricardo Fonseca and Ivo Furno and Spencer Gessner and Aleksandr Gorn and Eduardo Granados and Marcel Granetzny and Tim Graubner and Olaf Grulke and Eloise Daria Guran and Vasyl Hafych and Anthony Hartin and James Henderson and Mathias H{\"{u}}ther and Miklos Kedves and Fearghus Keeble and Vadim Khudiakov and Seong{-}Yeol Kim and Florian Kraus and Michel Krupa and Thibaut Lefevre and Linbo Liang and Shengli Liu and Nelson Lopes and Miguel Martinez Calderon and Stefano Mazzoni and David Medina Godoy and Joshua Moody and Kookjin Moon and Pablo Israel Morales Guzm{\'{a}}n and Mariana Moreira and Tatiana Nechaeva and Elzbieta Nowak and Collette Pakuza and Harsha Panuganti and Ans Pardons and Kevin Pepitone and Aravinda Perera and Jan Pucek and Alexander Pukhov and Rebecca Louise Ramjiawan and Stephane Rey and Adam Scaachi and Oliver Schmitz and Eugenio Senes and J. Fernando A. da Silva and Luis Silva and Christine Stollberg and Alban Sublet and Catherine Swain and Athanasios Topaloudis and Nuno Torrado and Petr Tuev and Marlene Turner and Francesco Velotti and Livio Verra and Victor Verzilov and Jorge Vieira and Helmut Vincke and Martin Weidl and Carsten P. Welsch and Manfred Wendt and Peerawan Wiwattananon and Joseph Wolfenden and Benjamin Woolley and Samuel Wyler and Guoxing Xia and Vlada Yarygova and Michael Zepp and Giovanni Zevi Della Porta}, title = {The {AWAKE} Run 2 Programme and Beyond}, journal = {Symmetry}, volume = {14}, number = {8}, pages = {1680}, year = {2022}, url = {https://doi.org/10.3390/sym14081680}, doi = {10.3390/SYM14081680}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/GschwendtnerLMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/MaWP22, author = {Shan Ma and Matthew J. Woolley and Ian R. Petersen}, title = {Synthesis of Linear Quantum Systems to Generate a Steady Thermal State}, journal = {{IEEE} Trans. Autom. Control.}, volume = {67}, number = {4}, pages = {2131--2137}, year = {2022}, url = {https://doi.org/10.1109/TAC.2021.3079291}, doi = {10.1109/TAC.2021.3079291}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/MaWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tacl/FederKMPSWEGRRS22, author = {Amir Feder and Katherine A. Keith and Emaad Manzoor and Reid Pryzant and Dhanya Sridhar and Zach Wood{-}Doughty and Jacob Eisenstein and Justin Grimmer and Roi Reichart and Margaret E. Roberts and Brandon M. Stewart and Victor Veitch and Diyi Yang}, title = {Causal Inference in Natural Language Processing: Estimation, Prediction, Interpretation and Beyond}, journal = {Trans. Assoc. Comput. Linguistics}, volume = {10}, pages = {1138--1158}, year = {2022}, url = {https://doi.org/10.1162/tacl\_a\_00511}, doi = {10.1162/TACL\_A\_00511}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tacl/FederKMPSWEGRRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tasm/RegenbrechtPDML22, author = {Holger Regenbrecht and Jung{-}Woo Noel Park and Stuart Duncan and Steven Mills and Rosa Lutz and Laurie Lloyd{-}Jones and Claudia Ott and Bubba Thompson and Dean Whaanga and Robert W. Lindeman and Kris Tong and Rory Clifford and Nadia Jones and Paora Mato and Te Taka Keegan and Hemi Whaanga}, title = {{\={A}}tea Presence - Enabling Virtual Storytelling, Presence, and Tele-Co-Presence in an Indigenous Setting}, journal = {{IEEE} Technol. Soc. Mag.}, volume = {41}, number = {1}, pages = {32--42}, year = {2022}, url = {https://doi.org/10.1109/MTS.2022.3147525}, doi = {10.1109/MTS.2022.3147525}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tasm/RegenbrechtPDML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeeSLYSCJ22, author = {Woonghee Lee and Minkyo Shim and Yunhee Lee and Heejin Yang and Soyeong Shin and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {Area and Power Efficient 10B6Q {PAM-4} {DC} Balance Coder for Automotive Camera Link}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {4}, pages = {2056--2060}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3147774}, doi = {10.1109/TCSII.2022.3147774}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeeSLYSCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ShimJSKC22, author = {Minseob Shim and Junwon Jeong and Junyoung Song and Yongtae Kim and Woong Choi}, title = {Segmented Match-Line and Charge-Sharing Based Low-Cost {TCAM}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {12}, pages = {5104--5108}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3202605}, doi = {10.1109/TCSII.2022.3202605}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/ShimJSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChoiYKJK22, author = {Hyeyeon Choi and Jong Pil Yun and Bum Jun Kim and Hyeonah Jang and Sang Woo Kim}, title = {Attention-Based Multimodal Image Feature Fusion Module for Transmission Line Detection}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {18}, number = {11}, pages = {7686--7695}, year = {2022}, url = {https://doi.org/10.1109/TII.2022.3147833}, doi = {10.1109/TII.2022.3147833}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChoiYKJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/GuruswamiLMRSW22, author = {Venkatesan Guruswami and Ray Li and Jonathan Mosheiff and Nicolas Resch and Shashwat Silas and Mary Wootters}, title = {Bounds for List-Decoding and List-Recovery of Random Linear Codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {68}, number = {2}, pages = {923--939}, year = {2022}, url = {https://doi.org/10.1109/TIT.2021.3127126}, doi = {10.1109/TIT.2021.3127126}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/GuruswamiLMRSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WuLZSY22, author = {Yalin Wu and Sumin Li and Qinjian Zhang and Ko Sun{-}Woo and Linyang Yan}, title = {Route Planning and Tracking Control of an Intelligent Automatic Unmanned Transportation System Based on Dynamic Nonlinear Model Predictive Control}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {9}, pages = {16576--16589}, year = {2022}, url = {https://doi.org/10.1109/TITS.2022.3141214}, doi = {10.1109/TITS.2022.3141214}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/WuLZSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/NimmagaddaRWM22, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Neel Mani}, title = {On Developing Sustainable Digital Ecosystems and their Spatial-temporal Knowledge Management}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2022, Melbourne, Australia, December 4-7, 2022}, pages = {20}, year = {2022}, url = {https://aisel.aisnet.org/acis2022/20}, timestamp = {Thu, 16 May 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/acis/NimmagaddaRWM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/NimmagaddaRWN22, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Christine Namugenyi}, title = {Information System Articulated Logistics and Supply Chains and their Spatial-Temporal Modelling and Management}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2022, Melbourne, Australia, December 4-7, 2022}, pages = {21}, year = {2022}, url = {https://aisel.aisnet.org/acis2022/21}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acis/NimmagaddaRWN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-convai/LeeLG22, author = {Jing Yang Lee and Kong Aik Lee and Woon{-}Seng Gan}, editor = {Bing Liu and Alexandros Papangelis and Stefan Ultes and Abhinav Rastogi and Yun{-}Nung Chen and Georgios Spithourakis and Elnaz Nouri and Weiyan Shi}, title = {A Randomized Link Transformer for Diverse Open-Domain Dialogue Generation}, booktitle = {Proceedings of the 4th Workshop on {NLP} for Conversational AI, ConvAI@ACL 2022, Dublin, Ireland, May 27, 2022}, pages = {1--11}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.nlp4convai-1.1}, doi = {10.18653/V1/2022.NLP4CONVAI-1.1}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-convai/LeeLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiLKKF022, author = {Daiqing Li and Huan Ling and Seung Wook Kim and Karsten Kreis and Sanja Fidler and Antonio Torralba}, title = {BigDatasetGAN: Synthesizing ImageNet with Pixel-wise Annotations}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21298--21308}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02064}, doi = {10.1109/CVPR52688.2022.02064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiLKKF022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HwangYSKKS22, author = {Min{-}Jae Hwang and Hyun{-}Wook Yoon and Chan{-}Ho Song and Jin{-}Seob Kim and Jae{-}Min Kim and Eunwoo Song}, title = {Linear Prediction-based Parallel WaveGAN Speech Synthesis}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748530}, doi = {10.1109/ICEIC54506.2022.9748530}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/HwangYSKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkHKSWA22, author = {Bumjin Park and Sungryul Huh and Haerim Kim and Yujun Shin and Seongho Woo and Seungyoung Ahn}, title = {Design and Analysis of Magnetic Energy Harvester with Improved Power Density for Drone Charging Station Near High Voltage Power Line}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748743}, doi = {10.1109/ICEIC54506.2022.9748743}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkHKSWA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/NeedellSW22, author = {Deanna Needell and William Swartworth and David P. Woodruff}, title = {Testing Positive Semidefiniteness Using Linear Measurements}, booktitle = {63rd {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2022, Denver, CO, USA, October 31 - November 3, 2022}, pages = {87--97}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FOCS54457.2022.00016}, doi = {10.1109/FOCS54457.2022.00016}, timestamp = {Sat, 31 Dec 2022 17:14:50 +0100}, biburl = {https://dblp.org/rec/conf/focs/NeedellSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KangK022, author = {Jeongwan Kang and Seung{-}Woo Ko and Sunwoo Kim}, title = {Signal Classification with Linear Phase Modulation for RIS-Assisted Near-Field Localization}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {4013--4019}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10000864}, doi = {10.1109/GLOBECOM48099.2022.10000864}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KangK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SimANDFL22, author = {Min Soo Sim and Shubham Ahuja and Wooseok Nam and Alexander Dorosenco and Zhifei Fan and Tao Luo}, title = {60 GHz mmWave Full-Duplex Transceiver Study and Over-the-Air Link Verification}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {3797--3802}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10000614}, doi = {10.1109/GLOBECOM48099.2022.10000614}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SimANDFL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/MaCS22, author = {Zhuang Ma and Woon Kian Chong and Linpei Song}, editor = {Gavriel Salvendy and June Wei}, title = {How Arousing Benefits and Ethical Misgivings Affect AI-Based Dating App Adoption: The Roles of Perceived Autonomy and Perceived Risks}, booktitle = {Design, Operation and Evaluation of Mobile Communications - Third International Conference, {MOBILE} 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13337}, pages = {160--170}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05014-5\_13}, doi = {10.1007/978-3-031-05014-5\_13}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/MaCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/WuWYL22, author = {Shin Ling Wu and Pei Jun Woo and Chin Choo Yap and Glen Johan Ri Young Lim}, title = {Mental health of students during the Covid-19 pandemic: The effects of different parental practices}, booktitle = {2022 6th International Conference on Education and Multimedia Technology, {ICEMT} 2022, Guangzhou, China, July 13-15, 2022}, pages = {350--354}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3551708.3556213}, doi = {10.1145/3551708.3556213}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/WuWYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChenEILNRSWWZ22, author = {Justin Y. Chen and Talya Eden and Piotr Indyk and Honghao Lin and Shyam Narayanan and Ronitt Rubinfeld and Sandeep Silwal and Tal Wagner and David P. Woodruff and Michael Zhang}, title = {Triangle and Four Cycle Counting with Predictions in Graph Streams}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=8in\_5gN9I0}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChenEILNRSWWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/AtchisonPWSDL22, author = {Abigail Atchison and Gabriela Pinto and Ali Woodward and Elizabeth Stevens and Dennis Dixon and Erik Linstead}, editor = {M. Arif Wani and Mehmed M. Kantardzic and Vasile Palade and Daniel Neagu and Longzhi Yang and Kit Yan Chan}, title = {An Application of Document Embeddings to Identifying Challenging Behaviors in Autism Spectrum Disorder From Clinical Notes}, booktitle = {21st {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2022, Nassau, Bahamas, December 12-14, 2022}, pages = {1716--1723}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICMLA55696.2022.00263}, doi = {10.1109/ICMLA55696.2022.00263}, timestamp = {Wed, 29 Mar 2023 19:23:50 +0200}, biburl = {https://dblp.org/rec/conf/icmla/AtchisonPWSDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/CyriacAKT22, author = {Sibu Cyriac and Ashvinee and Yong Woon Kim and Robert Linton Tavis}, title = {Application of {LSTM} Model for Western Music Composition}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {136--141}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952571}, doi = {10.1109/ICTC55196.2022.9952571}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/CyriacAKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkMHK22, author = {PyungKoo Park and Seong Moon and Seung{-}Woo Hong and TaeYeon Kim}, title = {Experimental Study of Zero-Copy Performance for Immersive Streaming Service in Linux}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2284--2288}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952985}, doi = {10.1109/ICTC55196.2022.9952985}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkMHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/ShuttyW22, author = {Noah Shutty and Mary Wootters}, editor = {Mark Braverman}, title = {Low-Bandwidth Recovery of Linear Functions of Reed-Solomon-Encoded Data}, booktitle = {13th Innovations in Theoretical Computer Science Conference, {ITCS} 2022, January 31 - February 3, 2022, Berkeley, CA, {USA}}, series = {LIPIcs}, volume = {215}, pages = {117:1--117:19}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2022.117}, doi = {10.4230/LIPICS.ITCS.2022.117}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/ShuttyW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanWR022, author = {Su Han and Bowen Wang and Woogeun Rhee and Zhihua Wang}, title = {An 8GHz Communication/Ranging {IR-UWB} Transmitter with Asymmetric Pulse Shaping and Frequency Hopping for Fine Ranging and Enhanced Link Margin}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {757--760}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937854}, doi = {10.1109/ISCAS48785.2022.9937854}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HanWR022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/KaringulaVW22, author = {Sankeerth Rao Karingula and Alexander Vardy and Mary Wootters}, title = {Lower bounds on the redundancy of linear codes with disjoint repair groups}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2022, Espoo, Finland, June 26 - July 1, 2022}, pages = {975--979}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISIT50566.2022.9834487}, doi = {10.1109/ISIT50566.2022.9834487}, timestamp = {Mon, 08 Aug 2022 16:44:13 +0200}, biburl = {https://dblp.org/rec/conf/isit/KaringulaVW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongC22, author = {Joonghyun Song and Woo{-}Seok Choi}, title = {A Highly Linear Digitally Controlled Delay Line with Reduced Duty Cycle Distortion}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {398--399}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031551}, doi = {10.1109/ISOCC56007.2022.10031551}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iva/HaleJSTWG22, author = {James Hale and Harsh Jalan and Nidhi Saini and Shao Ling Tan and Junhyuck Woo and Jonathan Gratch}, editor = {Carlos Martinho and Jo{\~{a}}o Dias and Joana Campos and Dirk Heylen}, title = {Negotiation game to introduce non-linear utility}, booktitle = {{IVA} '22: {ACM} International Conference on Intelligent Virtual Agents, Faro, Portugal, September 6 - 9, 2022}, pages = {32:1--32:3}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514197.3549678}, doi = {10.1145/3514197.3549678}, timestamp = {Thu, 27 Jun 2024 16:31:25 +0200}, biburl = {https://dblp.org/rec/conf/iva/HaleJSTWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/WoodlandWAKLKOC22, author = {McKell Woodland and John Wood and Brian M. Anderson and Suprateek Kundu and Ethan Lin and Eugene J. Koay and Bruno Odisio and Caroline Chung and Hyunseon Christine Kang and Aradhana M. Venkatesan and Sireesha Yedururi and Brian De and Yuan{-}Mao Lin and Ankit B. Patel and Kristy K. Brock}, editor = {Can Zhao and David Svoboda and Jelmer M. Wolterink and Mar{\'{\i}}a Escobar}, title = {Evaluating the Performance of StyleGAN2-ADA on Medical Images}, booktitle = {Simulation and Synthesis in Medical Imaging - 7th International Workshop, {SASHIMI} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13570}, pages = {142--153}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16980-9\_14}, doi = {10.1007/978-3-031-16980-9\_14}, timestamp = {Sat, 11 Feb 2023 15:01:42 +0100}, biburl = {https://dblp.org/rec/conf/miccai/WoodlandWAKLKOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DinhZZLGRSP022, author = {Tuan Dinh and Yuchen Zeng and Ruisu Zhang and Ziqian Lin and Michael Gira and Shashank Rajput and Jy{-}yong Sohn and Dimitris S. Papailiopoulos and Kangwook Lee}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {{LIFT:} Language-Interfaced Fine-Tuning for Non-language Machine Learning Tasks}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/4ce7fe1d2730f53cb3857032952cd1b8-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/DinhZZLGRSP022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/PatelWWBS22, author = {Kumar Kshitij Patel and Lingxiao Wang and Blake E. Woodworth and Brian Bullins and Nati Srebro}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Towards Optimal Communication Complexity in Distributed Non-Convex Optimization}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/56bd21259e28ebdc4d7e1503733bf421-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/PatelWWBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/NimmagaddaRWM22, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Neel Mani}, editor = {Ming{-}Hui Huang and Guy Gable and Christy M. K. Cheung and Dongming Xu}, title = {Information System guided Sustainable Digital Logistics and Supply Chains - Managing Integrated Business Operations}, booktitle = {26th Pacific Asia Conference on Information Systems, {PACIS} 2022, Virtual Event / Taipei, Taiwan / Sydney, Australia, July 5-9, 2022}, pages = {308}, year = {2022}, url = {https://aisel.aisnet.org/pacis2022/308}, timestamp = {Fri, 22 Jul 2022 11:46:17 +0200}, biburl = {https://dblp.org/rec/conf/pacis/NimmagaddaRWM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/YauCCMKWSY22, author = {King Woon Yau and Ching Sing Chai and Thomas K. F. Chiu and Helen Meng and Irwin King and Savio Wai{-}Ho Wong and Chandni Saxena and Yeung Yam}, title = {Developing an {AI} literacy test for junior secondary students: The first stage}, booktitle = {{IEEE} International Conference on Teaching, Assessment and Learning for Engineering, {TALE} 2022, Hung Hom, Hong Kong, December 4-7, 2022}, pages = {59--64}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/TALE54877.2022.00018}, doi = {10.1109/TALE54877.2022.00018}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tale/YauCCMKWSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/time/0001KPW22, author = {Julian Gutierrez and Sarit Kraus and Giuseppe Perelli and Michael J. Wooldridge}, editor = {Alexander Artikis and Roberto Posenato and Stefano Tonetta}, title = {Giving Instructions in Linear Temporal Logic}, booktitle = {29th International Symposium on Temporal Representation and Reasoning, {TIME} 2022, November 7-9, 2022, Virtual Conference}, series = {LIPIcs}, volume = {247}, pages = {15:1--15:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.TIME.2022.15}, doi = {10.4230/LIPICS.TIME.2022.15}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/time/0001KPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KwonWKSCJKJJKEP22, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Ha{-}Il Song and Hanho Choi and Sejun Jeon and Soon{-}Won Kwon and Huxian Jin and Jun{-}Gi Jo and Tai Young Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation {(FPWM)} for Extended Reach Optical Links in 28nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {156--157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830361}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KwonWKSCJKJJKEP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLSSCJ22, author = {Yunhee Lee and Woonghee Lee and Minkyo Shim and Soyeong Shin and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {0.41-pJ/b/dB Asymmetric Simultaneous Bidirectional Transceivers With {PAM-4} Forward and {PAM-2} Back Channels for 5-m Automotive Camera Link}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {30--31}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830299}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830299}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLSSCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LiHSCLCYCHCWLWL22, author = {Ming{-}Yang Li and Ching{-}Hao Hsu and Shin{-}Wei Shen and Ang{-}Sheng Chou and Yuxuan Cosmi Lin and Chih{-}Piao Chuu and Ning Yang and Sui{-}An Chou and Lin{-}Yun Huang and Chao{-}Ching Cheng and Wei{-}Yen Woon and Szuya Liao and Chih{-}I Wu and Lain{-}Jong Li and Iuliana P. Radu and H.{-}S. Philip Wong and Han Wang}, title = {Wafer-Scale Bi-Assisted Semi-Auto Dry Transfer and Fabrication of High-Performance Monolayer {CVD} {WS2} Transistor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {290--291}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830376}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830376}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LiHSCLCYCHCWLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ParkRDMLPW22, author = {Jung{-}Woo Noel Park and Holger Regenbrecht and Stuart Duncan and Steven Mills and Robert W. Lindeman and Nadia Pantidi and Hemi Whaanga}, title = {Mixed Reality Co-Design for Indigenous Culture Preservation {\&} Continuation}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2022, Christchurch, New Zealand, March 12-16, 2022}, pages = {149--157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VR51125.2022.00033}, doi = {10.1109/VR51125.2022.00033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/ParkRDMLPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/KongKJ0LPK22, author = {Taeyong Kong and Taeri Kim and Jinsung Jeon and Jeongwhan Choi and Yeon{-}Chang Lee and Noseong Park and Sang{-}Wook Kim}, editor = {K. Selcuk Candan and Huan Liu and Leman Akoglu and Xin Luna Dong and Jiliang Tang}, title = {Linear, or Non-Linear, That is the Question!}, booktitle = {{WSDM} '22: The Fifteenth {ACM} International Conference on Web Search and Data Mining, Virtual Event / Tempe, AZ, USA, February 21 - 25, 2022}, pages = {517--525}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3488560.3498501}, doi = {10.1145/3488560.3498501}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/KongKJ0LPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-04684, author = {Daiqing Li and Huan Ling and Seung Wook Kim and Karsten Kreis and Adela Barriuso and Sanja Fidler and Antonio Torralba}, title = {BigDatasetGAN: Synthesizing ImageNet with Pixel-wise Annotations}, journal = {CoRR}, volume = {abs/2201.04684}, year = {2022}, url = {https://arxiv.org/abs/2201.04684}, eprinttype = {arXiv}, eprint = {2201.04684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-04684.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07862, author = {Woo Seong Jo and Lu Liu and Dashun Wang}, title = {See further upon the giants: Quantifying intellectual lineage in science}, journal = {CoRR}, volume = {abs/2202.07862}, year = {2022}, url = {https://arxiv.org/abs/2202.07862}, eprinttype = {arXiv}, eprint = {2202.07862}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-09572, author = {Justin Y. Chen and Talya Eden and Piotr Indyk and Honghao Lin and Shyam Narayanan and Ronitt Rubinfeld and Sandeep Silwal and Tal Wagner and David P. Woodruff and Michael Zhang}, title = {Triangle and Four Cycle Counting with Predictions in Graph Streams}, journal = {CoRR}, volume = {abs/2203.09572}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.09572}, doi = {10.48550/ARXIV.2203.09572}, eprinttype = {arXiv}, eprint = {2203.09572}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-09572.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03782, author = {Deanna Needell and William Swartworth and David P. Woodruff}, title = {Testing Positive Semidefiniteness Using Linear Measurements}, journal = {CoRR}, volume = {abs/2204.03782}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03782}, doi = {10.48550/ARXIV.2204.03782}, eprinttype = {arXiv}, eprint = {2204.03782}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03782.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06946, author = {Woong Gyu La and Sunil Muralidhara and Lingjie Kong and Pratik Nichat}, title = {Unified Distributed Environment}, journal = {CoRR}, volume = {abs/2205.06946}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06946}, doi = {10.48550/ARXIV.2205.06946}, eprinttype = {arXiv}, eprint = {2205.06946}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06946.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-08034, author = {Woong Gyu La and Lingjie Kong and Sunil Muralidhara and Pratik Nichat}, title = {DeepSim: {A} Reinforcement Learning Environment Build Toolkit for {ROS} and Gazebo}, journal = {CoRR}, volume = {abs/2205.08034}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.08034}, doi = {10.48550/ARXIV.2205.08034}, eprinttype = {arXiv}, eprint = {2205.08034}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-08034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03104, author = {Bhan Lam and Julia Chieng and Karn N. Watcharasupat and Kenneth Ooi and Zhen{-}Ting Ong and Joo Young Hong and Woon{-}Seng Gan}, title = {Crossing the Linguistic Causeway: {A} Binational Approach for Translating Soundscape Attributes to Bahasa Melayu}, journal = {CoRR}, volume = {abs/2206.03104}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03104}, doi = {10.48550/ARXIV.2206.03104}, eprinttype = {arXiv}, eprint = {2206.03104}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-06565, author = {Tuan Dinh and Yuchen Zeng and Ruisu Zhang and Ziqian Lin and Michael Gira and Shashank Rajput and Jy{-}yong Sohn and Dimitris S. Papailiopoulos and Kangwook Lee}, title = {{LIFT:} Language-Interfaced Fine-Tuning for Non-Language Machine Learning Tasks}, journal = {CoRR}, volume = {abs/2206.06565}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.06565}, doi = {10.48550/ARXIV.2206.06565}, eprinttype = {arXiv}, eprint = {2206.06565}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-06565.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-03786, author = {McKell Woodland and John Wood and Brian M. Anderson and Suprateek Kundu and Ethan Lin and Eugene J. Koay and Bruno Odisio and Caroline Chung and Hyunseon Christine Kang and Aradhana M. Venkatesan and Sireesha Yedururi and Brian De and Yuan{-}Mao Lin and Ankit B. Patel and Kristy K. Brock}, title = {Evaluating the Performance of StyleGAN2-ADA on Medical Images}, journal = {CoRR}, volume = {abs/2210.03786}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.03786}, doi = {10.48550/ARXIV.2210.03786}, eprinttype = {arXiv}, eprint = {2210.03786}, timestamp = {Wed, 12 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-03786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06790, author = {Raphael A. Meyer and Cameron Musco and Christopher Musco and David P. Woodruff and Samson Zhou}, title = {Near-Linear Sample Complexity for L\({}_{\mbox{p}}\) Polynomial Regression}, journal = {CoRR}, volume = {abs/2211.06790}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06790}, doi = {10.48550/ARXIV.2211.06790}, eprinttype = {arXiv}, eprint = {2211.06790}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09964, author = {Yeshwanth Cherapanamjeri and Sandeep Silwal and David P. Woodruff and Samson Zhou}, title = {Optimal Algorithms for Linear Algebra in the Current Matrix Multiplication Time}, journal = {CoRR}, volume = {abs/2211.09964}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09964}, doi = {10.48550/ARXIV.2211.09964}, eprinttype = {arXiv}, eprint = {2211.09964}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09964.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10873, author = {Hyunsoo Cho and Hyuhng Joon Kim and Junyeob Kim and Sang{-}Woo Lee and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Prompt-Augmented Linear Probing: Scaling Beyond The Limit of Few-shot In-Context Learners}, journal = {CoRR}, volume = {abs/2212.10873}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10873}, doi = {10.48550/ARXIV.2212.10873}, eprinttype = {arXiv}, eprint = {2212.10873}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10873.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-12712, author = {Saeed Vahidian and Sreevatsank Kadaveru and Woonjoon Baek and Weijia Wang and Vyacheslav Kungurtsev and Chen Chen and Mubarak Shah and Bill Lin}, title = {When Do Curricula Work in Federated Learning?}, journal = {CoRR}, volume = {abs/2212.12712}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.12712}, doi = {10.48550/ARXIV.2212.12712}, eprinttype = {arXiv}, eprint = {2212.12712}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-12712.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLLSPKS21, author = {Dayoung Kim and Tae{-}Hyeon Kim and Yun{-}Yeong Choi and Geun{-}Ho Lee and Jungwon Lee and Wookyung Sun and Byung{-}Gook Park and Hyungjin Kim and Hyungsoon Shin}, title = {Selected Bit-Line Current {PUF:} Implementation of Hardware Security Primitive Based on a Memristor Crossbar Array}, journal = {{IEEE} Access}, volume = {9}, pages = {120901--120910}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108534}, doi = {10.1109/ACCESS.2021.3108534}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCLLSPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKMCK21, author = {Gwan Hui Lee and Sachin Kumar and Wahab Mohyuddin and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Generalized Design Technique of Ultra-Wideband Transitions for Quasi-TEM Planar Transmission Lines Based on Analytical Models}, journal = {{IEEE} Access}, volume = {9}, pages = {52619--52633}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3069870}, doi = {10.1109/ACCESS.2021.3069870}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKMCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MasoudiMHLLMPCG21, author = {Samira Masoudi and Sherif Mehralivand and Stephanie A. Harmon and Nathan Lay and Liza Lindenberg and Esther Mena and Peter A. Pinto and Deborah E. Citrin and James L. Gulley and Bradford J. Wood and William L. Dahut and Ravi A. Madan and Ulas Bagci and Peter L. Choyke and Baris Turkbey}, title = {Deep Learning Based Staging of Bone Lesions From Computed Tomography Scans}, journal = {{IEEE} Access}, volume = {9}, pages = {87531--87542}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3074051}, doi = {10.1109/ACCESS.2021.3074051}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MasoudiMHLLMPCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhSCCJCKHLY21, author = {Hansik Oh and Jaekyung Shin and Woojin Choi and Yifei Chen and Hyeongjin Jeon and Young Chan Choi and Hyungmo Koo and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Dual-Mode Supply Modulator {IC} With an Adaptive Quiescent Current Controller for Its Linear Amplifier in {LTE} Mobile Power Amplifier}, journal = {{IEEE} Access}, volume = {9}, pages = {147768--147779}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3124410}, doi = {10.1109/ACCESS.2021.3124410}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/OhSCCJCKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkHKKSWPBKPJK21, author = {Bumjin Park and Sungryul Huh and Jongwook Kim and Haerim Kim and Yujun Shin and Seongho Woo and Jaehyoung Park and Andres Brito and Dongwook Kim and Hyun Ho Park and Okhyun Jeong and Ja{-}Il Koo and Seungyoung Ahn}, title = {The Magnetic Energy Harvester With Improved Power Density Using Saturable Magnetizing Inductance Model for Maintenance Applications Near High Voltage Power Line}, journal = {{IEEE} Access}, volume = {9}, pages = {82661--82674}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3085989}, doi = {10.1109/ACCESS.2021.3085989}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkHKKSWPBKPJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunDCLZQ21, author = {Yongke Sun and Guanben Du and Yong Cao and Qizhao Lin and Lihui Zhong and Jian Qiu}, title = {Wood Product Tracking Using an Improved {AKAZE} Method in Wood Traceability System}, journal = {{IEEE} Access}, volume = {9}, pages = {88552--88563}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3088236}, doi = {10.1109/ACCESS.2021.3088236}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SunDCLZQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/MaWPY21, author = {Shan Ma and Matthew J. Woolley and Ian R. Petersen and Naoki Yamamoto}, title = {Linear open quantum systems with passive Hamiltonians and a single local dissipative process}, journal = {Autom.}, volume = {125}, pages = {109477}, year = {2021}, url = {https://doi.org/10.1016/j.automatica.2020.109477}, doi = {10.1016/J.AUTOMATICA.2020.109477}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/MaWPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/Pinto-CamaraLMH21, author = {R. Pinto{-}C{\'{a}}mara and Alejandro Linares and D. S. Moreno{-}Guti{\'{e}}rrez and Haydee O. Hern{\'{a}}ndez and Jose Damian Martinez Reyes and J. M. Rend{\'{o}}n{-}Mancha and Christopher D. Wood and Ad{\'{a}}n Guerrero}, title = {FCSlib: an open-source tool for fluorescence fluctuation spectroscopy analysis for mobility, number and molecular brightness in {R}}, journal = {Bioinform.}, volume = {37}, number = {13}, pages = {1930--1931}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa876}, doi = {10.1093/BIOINFORMATICS/BTAA876}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/Pinto-CamaraLMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/ParkLLBNJL21, author = {Nam In Park and Ji Woo Lee and Seong Ho Lim and Jun Seok Byun and Gi{-}Hyun Na and Oc{-}Yeub Jeon and Jung Hwan Lee}, title = {Energy-based linear {PCM} audio recovery method of impaired {MP4} file stored in dashboard camera memory}, journal = {Digit. Investig.}, volume = {39}, pages = {301274}, year = {2021}, url = {https://doi.org/10.1016/j.fsidi.2021.301274}, doi = {10.1016/J.FSIDI.2021.301274}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/ParkLLBNJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejco/JeyakumarLW21, author = {V. Jeyakumar and Guoyin Li and Daniel Woolnough}, title = {Quadratically adjustable robust linear optimization with inexact data via generalized S-lemma: Exact second-order cone program reformulations}, journal = {{EURO} J. Comput. Optim.}, volume = {9}, pages = {100019}, year = {2021}, url = {https://doi.org/10.1016/j.ejco.2021.100019}, doi = {10.1016/J.EJCO.2021.100019}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejco/JeyakumarLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChoiKKK21, author = {Hyeyeon Choi and Gyogwon Koo and Bum Jun Kim and Sang Woo Kim}, title = {Weakly supervised power line detection algorithm using a recursive noisy label update with refined broken line segments}, journal = {Expert Syst. Appl.}, volume = {165}, pages = {113895}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2020.113895}, doi = {10.1016/J.ESWA.2020.113895}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ChoiKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/SunSDYFW21, author = {Wenhuan Sun and Saul Schaffer and Kevin Dai and Lining Yao and Adam W. Feinberg and Victoria A. Webster{-}Wood}, title = {3D Printing Hydrogel-Based Soft and Biohybrid Actuators: {A} Mini-Review on Fabrication Techniques, Applications, and Challenges}, journal = {Frontiers Robotics {AI}}, volume = {8}, pages = {673533}, year = {2021}, url = {https://doi.org/10.3389/frobt.2021.673533}, doi = {10.3389/FROBT.2021.673533}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/firai/SunSDYFW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/KwonPC21, author = {Young{-}Woo Kwon and Sung{-}Mun Park and Joon{-}Young Choi}, title = {Time Synchronization Method for ARM-Based Distributed Embedded Linux Systems Using {CCNT} Register}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {2}, pages = {322--326}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-d\_2\_322}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/KwonPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/SunLHZDQC21, author = {Yongke Sun and Qizhao Lin and Xin He and Youjie Zhao and Fei Dai and Jian Qiu and Yong Cao}, title = {Wood Species Recognition with Small Data: {A} Deep Learning Approach}, journal = {Int. J. Comput. Intell. Syst.}, volume = {14}, number = {1}, pages = {1451--1460}, year = {2021}, url = {https://doi.org/10.2991/ijcis.d.210423.001}, doi = {10.2991/IJCIS.D.210423.001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/SunLHZDQC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/SonPOKL21, author = {Sang Hwan Son and Byung Jun Park and Tae Hoon Oh and Jong Woo Kim and Jong Min Lee}, title = {Move blocked model predictive control with guaranteed stability and improved optimality using linear interpolation of base sequences}, journal = {Int. J. Control}, volume = {94}, number = {11}, pages = {3213--3225}, year = {2021}, url = {https://doi.org/10.1080/00207179.2020.1755727}, doi = {10.1080/00207179.2020.1755727}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/SonPOKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/TanKNOG21, author = {Ee{-}Leng Tan and Furi Andi Karnapi and Linus Junjia Ng and Kenneth Ooi and Woon{-}Seng Gan}, title = {Extracting Urban Sound Information for Residential Areas in Smart Cities Using an End-to-End IoT System}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {18}, pages = {14308--14321}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3068755}, doi = {10.1109/JIOT.2021.3068755}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/TanKNOG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/JungPLS21, author = {Woo{-}Kyun Jung and Young{-}Chul Park and Jae{-}Won Lee and Eun Suk Suh}, title = {Simulation-Based Hybrid Optimization Method for the Digital Twin of Garment Production Lines}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {21}, number = {1}, year = {2021}, url = {https://doi.org/10.1115/1.4050245}, doi = {10.1115/1.4050245}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/JungPLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImZCZKCWHTLRCCC21, author = {Jay Im and Kevin Zheng and Chuen{-}Huei Adam Chou and Lei Zhou and Jae Wook Kim and Stanley Chen and Yipeng Wang and Hao{-}Wei Hung and Kee Hian Tan and Winson Lin and Arianne Roldan and Declan Carey and Ilias Chlis and Ronan Casey and Ade Bekele and Ying Cao and David Mahashin and Hong Ahn and Hongtao Zhang and Yohan Frans and Ken Chang}, title = {A 112-Gb/s {PAM-4} Long-Reach Wireline Transceiver Using a 36-Way Time-Interleaved {SAR} {ADC} and Inverter-Based {RX} Analog Front-End in 7-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {7--18}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3024261}, doi = {10.1109/JSSC.2020.3024261}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ImZCZKCWHTLRCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCP21, author = {Kyeongho Lee and Woong Choi and Jongsun Park}, title = {A 65-nm 0.6-fJ/Bit/Search Ternary Content Addressable Memory Using an Adaptive Match-Line Discharge}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {8}, pages = {2574--2584}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3043186}, doi = {10.1109/JSSC.2020.3043186}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CarbonDGUHMBCDH21, author = {Seth Carbon and Eric Douglass and Benjamin M. Good and Deepak R. Unni and Nomi L. Harris and Christopher J. Mungall and Siddartha Basu and Rex L. Chisholm and Robert J. Dodson and Eric Hartline and Petra Fey and Paul D. Thomas and Laurent{-}Philippe Albou and Dustin Ebert and Michael J. Kesling and Huaiyu Mi and Anushya Muruganujan and Xiaosong Huang and Tremayne Mushayahama and Sandra A. LaBonte and Deborah A. Siegele and Giulia Antonazzo and Helen Attrill and Nick H. Brown and Phani V. Garapati and Steven J. Marygold and Vitor Trovisco and Gilberto dos Santos and Kathleen Falls and Christopher J. Tabone and Pinglei Zhou and Joshua L. Goodman and Victor B. Strelets and Jim Thurmond and Penelope Garmiri and Rizwan Ishtiaq and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Marcio Luis Acencio and Martin Kuiper and Astrid L{\ae}greid and Colin Logie and Ruth C. Lovering and Barbara Kramarz and Shirin C. C. Saverimuttu and Sandra M. Pinheiro and Heather Gunn and Renzhi Su and Katherine E. Thurlow and Marcus C. Chibucos and Michelle G. Giglio and Suvarna Nadendla and James B. Munro and Rebecca C. Jackson and Margaret J. Duesbury and Noemi del{-}Toro and Birgit H. M. Meldal and Kalpana Paneerselvam and Livia Perfetto and Pablo Porras and Sandra E. Orchard and Anjali Shrivastava and Hsin{-}Yu Chang and Robert D. Finn and Alex L. Mitchell and Neil D. Rawlings and Lorna J. Richardson and Amaia Sangrador{-}Vegas and Judith A. Blake and Karen R. Christie and Mary E. Dolan and Harold J. Drabkin and David P. Hill and Li Ni and Dmitry M. Sitnikov and Midori A. Harris and Stephen G. Oliver and Kim Rutherford and Valerie Wood and Jaqueline Hayles and J{\"{u}}rg B{\"{a}}hler and Elizabeth R. Bolton and Jeffrey DePons and Melinda R. Dwinell and G. Thomas Hayman and Mary L. Kaldunski and Anne E. Kwitek and Stanley J. F. Laulederkind and Cody Plasterer and Marek Tutaj and Mahima Vedi and Shur{-}Jen Wang and Peter D'Eustachio and Lisa Matthews and James P. Balhoff and Suzi A. Aleksander and Michael J. Alexander and J. Michael Cherry and Stacia R. Engel and Felix Gondwe and Kalpana Karra and Stuart R. Miyasato and Robert S. Nash and Matt Simison and Marek S. Skrzypek and Shuai Weng and Edith D. Wong and Marc Feuermann and Pascale Gaudet and Anne Morgat and Erica Bakker and Tanya Z. Berardini and Leonore Reiser and Shabari Subramaniam and Eva Huala and Cecilia N. Arighi and Andrea H. Auchincloss and Kristian B. Axelsen and Ghislaine Argoud{-}Puy and Alex Bateman and Marie{-}Claude Blatter and Emmanuel Boutet and Emily Bowler and Lionel Breuza and Alan J. Bridge and Ramona Britto and Hema Bye{-}A{-}Jee and Cristina Casals{-}Casas and Elisabeth Coudert and Paul Denny and Anne Estreicher and Maria Livia Famiglietti and George E. Georghiou and Arnaud Gos and Nadine Gruaz{-}Gumowski and Emma Hatton{-}Ellis and Chantal Hulo and Alexandr Ignatchenko and Florence Jungo and Kati Laiho and Philippe Le Mercier and Damien Lieberherr and Antonia Lock and Yvonne Lussi and Alistair MacDougall and Michele Magrane and Maria Jesus Martin and Patrick Masson and Darren A. Natale and Nevila Hyka{-}Nouspikel and Ivo Pedruzzi and Lucille Pourcel and Sylvain Poux and Sangya Pundir and Catherine Rivoire and Elena Speretta and Shyamala Sundaram and Nidhi Tyagi and Kate Warner and Rossana Zaru and Cathy H. Wu and Alexander D. Diehl and Juancarlos Chan and Christian A. Grove and Raymond Y. N. Lee and Hans{-}Michael M{\"{u}}ller and Daniela Raciti and Kimberly Van Auken and Paul W. Sternberg and Matthew Berriman and Michael Paulini and Kevin L. Howe and Sibyl Gao and Adam Wright and Lincoln Stein and Douglas G. Howe and Sabrina Toro and Monte Westerfield and Pankaj Jaiswal and Laurel Cooper and Justin Elser}, title = {The Gene Ontology resource: enriching a GOld mine}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D325--D334}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1113}, doi = {10.1093/NAR/GKAA1113}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/CarbonDGUHMBCDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WoodburnBWLWLSC21, author = {Mackenzie Woodburn and Cheyenne L. Bricken and Zhengwang Wu and Gang Li and Li Wang and Weili Lin and Margaret A. Sheridan and Jessica R. Cohen}, title = {The maturation and cognitive relevance of structural brain network organization from early infancy to childhood}, journal = {NeuroImage}, volume = {238}, pages = {118232}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118232}, doi = {10.1016/J.NEUROIMAGE.2021.118232}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/WoodburnBWLWLSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/HaoS21, author = {Yue Hao and Valeria Simoncini}, title = {The Sherman-Morrison-Woodbury formula for generalized linear matrix equations and applications}, journal = {Numer. Linear Algebra Appl.}, volume = {28}, number = {5}, year = {2021}, url = {https://doi.org/10.1002/nla.2384}, doi = {10.1002/NLA.2384}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nla/HaoS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/JamaliSLWE21, author = {Najmeh Jamali and Ahmad Sadegheih and Mohammad Mehdi Lotfi and Lincoln C. Wood and Mohammad Javad Ebadi}, title = {Estimating the Depth of Anesthesia During the Induction by a Novel Adaptive Neuro-Fuzzy Inference System: {A} Case Study}, journal = {Neural Process. Lett.}, volume = {53}, number = {1}, pages = {131--175}, year = {2021}, url = {https://doi.org/10.1007/s11063-020-10369-7}, doi = {10.1007/S11063-020-10369-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npl/JamaliSLWE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pajais/NimmagaddaMRW21, author = {Shastri L. Nimmagadda and Neel Mani and Torsten Reiners and Lincoln C. Wood}, title = {Big Data Guided Unconventional Digital Reservoir Energy Ecosystem and its Knowledge Management}, journal = {Pac. Asia J. Assoc. Inf. Syst.}, volume = {13}, number = {1}, pages = {1}, year = {2021}, url = {https://aisel.aisnet.org/pajais/vol13/iss1/1}, timestamp = {Fri, 16 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pajais/NimmagaddaMRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangZHTGSAS21, author = {Lin Wang and Yuzhen Zhou and Qiao Hu and Zhenghong Tang and Yufeng Ge and Adam Smith and Tala Awada and Yeyin Shi}, title = {Early Detection of Encroaching Woody Juniperus virginiana and Its Classification in Multi-Species Forest Using {UAS} Imagery and Semantic Segmentation Algorithms}, journal = {Remote. Sens.}, volume = {13}, number = {10}, pages = {1975}, year = {2021}, url = {https://doi.org/10.3390/rs13101975}, doi = {10.3390/RS13101975}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WangZHTGSAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WoodwardPSGKDSC21, author = {Kyle D. Woodward and Narcisa G. Pricope and Forrest R. Stevens and Andrea E. Gaughan and Nicholas E. Kolarik and Michael D. Drake and Jonathan Salerno and Lin Cassidy and Joel Hartter and Karen M. Bailey and Henry Maseka Luwaya}, title = {Modeling Community-Scale Natural Resource Use in a Transboundary Southern African Landscape: Integrating Remote Sensing and Participatory Mapping}, journal = {Remote. Sens.}, volume = {13}, number = {4}, pages = {631}, year = {2021}, url = {https://doi.org/10.3390/rs13040631}, doi = {10.3390/RS13040631}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WoodwardPSGKDSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanECSYKBS21, author = {Jae{-}Soub Han and Tae{-}Hyeok Eom and Seong{-}Wook Choi and Kiho Seong and Dong{-}Hyun Yoon and Tony Tae{-}Hyoung Kim and Kwang{-}Hyun Baek and Yong Shim}, title = {A Reference-Sampling Based Calibration-Free Fractional-N {PLL} with a PI-Linked Sampling Clock Generator}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6824}, year = {2021}, url = {https://doi.org/10.3390/s21206824}, doi = {10.3390/S21206824}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanECSYKBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonSCLJLKK21, author = {Munsu Jeon and Yejune Seo and Junghyun Cho and Changhyeong Lee and Jiyeon Jang and Yejin Lee and Hyung{-}Wook Kwon and Sungtek Kahng}, title = {Investigation on Beam Alignment of a Microstrip-Line Butler Matrix and an {SIW} Butler Matrix for 5G Beamforming Antennas through RF-to-RF Wireless Sensing and 64-QAM Tests}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6830}, year = {2021}, url = {https://doi.org/10.3390/s21206830}, doi = {10.3390/S21206830}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JeonSCLJLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoK21, author = {Jeong{-}Woo Seo and Hyeong{-}Sic Kim}, title = {Biomechanical Analysis in Five Bar Linkage Prototype Machine of Gait Training and Rehabilitation by {IMU} Sensor and Electromyography}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1726}, year = {2021}, url = {https://doi.org/10.3390/s21051726}, doi = {10.3390/S21051726}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WhiteWMCSM21, author = {Samuel R. White and Kieran Wood and Peter G. Martin and Dean T. Connor and Thomas B. Scott and David Megson{-}Smith}, title = {Radioactive Source Localisation via Projective Linear Reconstruction}, journal = {Sensors}, volume = {21}, number = {3}, pages = {807}, year = {2021}, url = {https://doi.org/10.3390/s21030807}, doi = {10.3390/S21030807}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WhiteWMCSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHLKH21, author = {Woo Seok Yang and Seungoh Han and Gyu{-}Ri Lim and Hyun You Kim and Sung{-}Hoon Hong}, title = {Effects and Mechanism of Surface Water Wettability and Operating Frequency on Response Linearity of Flexible {IDE} Capacitive Humidity Sensor}, journal = {Sensors}, volume = {21}, number = {19}, pages = {6633}, year = {2021}, url = {https://doi.org/10.3390/s21196633}, doi = {10.3390/S21196633}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangHLKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/YeWRS21, author = {Lintao Ye and Nathaniel Woodford and Sandip Roy and Shreyas Sundaram}, title = {On the Complexity and Approximability of Optimal Sensor Selection and Attack for Kalman Filtering}, journal = {{IEEE} Trans. Autom. Control.}, volume = {66}, number = {5}, pages = {2146--2161}, year = {2021}, url = {https://doi.org/10.1109/TAC.2020.3007383}, doi = {10.1109/TAC.2020.3007383}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/YeWRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/BrunnerYS21, author = {David Brunner and Han Woong Yoo and Georg Schitter}, title = {Linear Modeling and Control of Comb-Actuated Resonant {MEMS} Mirror With Nonlinear Dynamics}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {4}, pages = {3315--3323}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2982124}, doi = {10.1109/TIE.2020.2982124}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/BrunnerYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ElmqvistFLMMMNR21, author = {Niklas Elmqvist and Brian Fisher and Peter Lindstrom and Ross Maciejewski and Miriah Meyer and Silvia Miksch and Luis Gustavo Nonato and Nathalie Riche and Han{-}Wei Shen and R{\"{u}}diger Westermann and Jo Wood and Jing Yang}, title = {Preface}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {27}, number = {2}, pages = {xviii--xxv}, year = {2021}, url = {https://doi.org/10.1109/TVCG.2020.3033678}, doi = {10.1109/TVCG.2020.3033678}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/ElmqvistFLMMMNR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JungKL21, author = {Haejoon Jung and Seung{-}Woo Ko and In{-}Ho Lee}, title = {Secure Transmission Using Linearly Distributed Virtual Antenna Array With Element Position Perturbations}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {1}, pages = {474--489}, year = {2021}, url = {https://doi.org/10.1109/TVT.2020.3045751}, doi = {10.1109/TVT.2020.3045751}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/JungKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KongSM21, author = {Kyeongbo Kong and Woo{-}Jin Song and Moonsik Min}, title = {Knowledge Distillation-Aided End-to-End Learning for Linear Precoding in Multiuser {MIMO} Downlink Systems With Finite-Rate Feedback}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {10}, pages = {11095--11100}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3110608}, doi = {10.1109/TVT.2021.3110608}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KongSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/DoHPAD21, author = {Youngwook Do and Linh Thai Hoang and Jung Wook Park and Gregory D. Abowd and Sauvik Das}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {Spidey Sense: Designing Wrist-Mounted Affective Haptics for Communicating Cybersecurity Warnings}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {125--137}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3462027}, doi = {10.1145/3461778.3462027}, timestamp = {Mon, 05 Jul 2021 09:54:05 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/DoHPAD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/Chohlas-WoodNYL21, author = {Alex Chohlas{-}Wood and Joe Nudell and Keniel Yao and Zhiyuan (Jerry) Lin and Julian Nyarko and Sharad Goel}, editor = {Marion Fourcade and Benjamin Kuipers and Seth Lazar and Deirdre K. Mulligan}, title = {Blind Justice: Algorithmically Masking Race in Charging Decisions}, booktitle = {{AIES} '21: {AAAI/ACM} Conference on AI, Ethics, and Society, Virtual Event, USA, May 19-21, 2021}, pages = {35--45}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461702.3462524}, doi = {10.1145/3461702.3462524}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aies/Chohlas-WoodNYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/WoonYCLDS21, author = {Fei Ting Woon and De{-}Fu Yap and Shirong Cai and Evelyn C. Law and Lourdes Mary Daniel and Suzy J. Styles}, editor = {W. Tecumseh Fitch and Claus Lamm and Helmut Leder and Kristin Te{\ss}mar{-}Raible}, title = {From Alien Zoo to Spy School: {A} Preregistered Study of Linguistic Sound Symbolism and its Links to Reading in 8-year-olds}, booktitle = {Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021}, publisher = {cognitivesciencesociety.org}, year = {2021}, url = {https://escholarship.org/uc/item/1rp4r0gg}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/WoonYCLDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/complexnetworks/CohenHTKWW0021, author = {Sarel Cohen and Moshik Hershcovitch and Martin Taraz and Otto Ki{\ss}ig and Andrew Wood and Daniel G. Waddington and Peter Chin and Tobias Friedrich}, editor = {Rosa Mar{\'{\i}}a Benito and Chantal Cherifi and Hocine Cherifi and Esteban Moro and Luis M. Rocha and Marta Sales{-}Pardo}, title = {Drug Repurposing Using Link Prediction on Knowledge Graphs with Applications to Non-volatile Memory}, booktitle = {Complex Networks {\&} Their Applications {X} - Volume 2, Proceedings of the Tenth International Conference on Complex Networks and Their Applications {COMPLEX} {NETWORKS} 2021, Madrid, Spain, November 30 - December 2, 2021}, series = {Studies in Computational Intelligence}, volume = {1016}, pages = {742--753}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93413-2\_61}, doi = {10.1007/978-3-030-93413-2\_61}, timestamp = {Mon, 26 Jun 2023 20:46:27 +0200}, biburl = {https://dblp.org/rec/conf/complexnetworks/CohenHTKWW0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimZTSL21, author = {Jinwoo Kim and Lingjun Zhu and Hakki Mert Torun and Madhavan Swaminathan and Sung Kyu Lim}, title = {Micro-bumping, Hybrid Bonding, or Monolithic? {A} {PPA} Study for Heterogeneous 3D {IC} Options}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1189--1194}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586229}, doi = {10.1109/DAC18074.2021.9586229}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimZTSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimKK21, author = {Seok Young Kim and Chang Hyun Kim and Seon Wook Kim}, title = {Applying Piecewise Linear Approximation for {DNN} Non-Linear Activation Functions to Bfloat16 MACs}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369759}, doi = {10.1109/ICEIC51217.2021.9369759}, timestamp = {Fri, 26 Mar 2021 08:44:08 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeSLYKCJ21, author = {Woonghee Lee and Minkyo Shim and Yunhee Lee and Heejin Yang and Han{-}Gon Ko and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {0.37-pJ/b/dB {PAM-4} Transmitter and Adaptive Receiver with Fixed Data and Threshold Levels for 12-m Automotive Camera Link}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {475--478}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567857}, doi = {10.1109/ESSCIRC53450.2021.9567857}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LeeSLYKCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/Jun21, author = {Sang{-}Woo Jun}, title = {Virtual avatars for instruction and student response during streaming video-based on-line teaching}, booktitle = {16th International Conference on Computer Science {\&} Education, {ICCSE} 2021, Lancaster, United Kingdom, August 17-21, 2021}, pages = {456--459}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCSE51940.2021.9569514}, doi = {10.1109/ICCSE51940.2021.9569514}, timestamp = {Wed, 03 Nov 2021 16:14:37 +0100}, biburl = {https://dblp.org/rec/conf/iccse2/Jun21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/AtchisonPWSDL21, author = {Abigail Atchison and Gabriela Pinto and Ali Woodward and Elizabeth Stevens and Dennis Dixon and Erik Linstead}, editor = {M. Arif Wani and Ishwar K. Sethi and Weisong Shi and Guangzhi Qu and Daniela Stan Raicu and Ruoming Jin}, title = {Classifying Challenging Behaviors in Autism Spectrum Disorder with Word Embeddings}, booktitle = {20th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2021, Pasadena, CA, USA, December 13-16, 2021}, pages = {1325--1332}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMLA52953.2021.00215}, doi = {10.1109/ICMLA52953.2021.00215}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/AtchisonPWSDL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnidc/HamedaniK21, author = {Masoud Reyhani Hamedani and Sang{-}Wook Kim}, title = {Embedding Methods or Link-based Similarity Measures, Which is Better for Link Prediction?}, booktitle = {7th {IEEE} International Conference on Network Intelligence and Digital Content, {IC-NIDC} 2021, Beijing, China, November 17-19, 2021}, pages = {378--382}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IC-NIDC54101.2021.9660590}, doi = {10.1109/IC-NIDC54101.2021.9660590}, timestamp = {Wed, 12 Jan 2022 13:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icnidc/HamedaniK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkLKWJ21a, author = {Sun Woo Park and Hyunju Lee and Byung Chun Kim and Youngho Woo and Kyungtaek Jun}, title = {On the application of matrix congruence to {QUBO} formulations for systems of linear equations}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1363--1367}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620851}, doi = {10.1109/ICTC52510.2021.9620851}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkLKWJ21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/DoJJ21, author = {Young Soo Do and Chang Young Jo and Jae Wook Jeon}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Redundancy method to solve {CAN-FD} bus line breakage problem}, booktitle = {15th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2021, Seoul, South Korea, January 4-6, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMCOM51814.2021.9377400}, doi = {10.1109/IMCOM51814.2021.9377400}, timestamp = {Thu, 25 Mar 2021 12:01:12 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/DoJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/TiampoWHSCKBSEW21, author = {Kristy F. Tiampo and Clay Woods and Lingcao Huang and Prativa Sharma and ZhiQiang Chen and Bandana Kar and Douglas Bausch and Conor Simmons and Rigo Estrada and Michael J. Willis and Margaret T. Glasscoe}, title = {A Machine Learning Approach to Flood Depth and Extent Detection Using Sentinel 1A/B Synthetic Aperture Radar}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {558--561}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553601}, doi = {10.1109/IGARSS47720.2021.9553601}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/TiampoWHSCKBSEW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/KarMLWDLNYMSSGW21, author = {Snigdhaswin Kar and Prabodh Mishra and Ju Lin and Min{-}Jae Woo and Nicholas Deas and Caleb Linduff and Sufeng Niu and Yuzhe Yang and Jerome McClendon and D. Hudson Smith and Melissa C. Smith and Ronald W. Gimbel and Kuang{-}Ching Wang}, title = {Systematic Evaluation and Enhancement of Speech Recognition in Operational Medical Environments}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533607}, doi = {10.1109/IJCNN52387.2021.9533607}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/KarMLWDLNYMSSGW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/NguyenSK21, author = {Tran Thien Dat Nguyen and Changbeom Shim and Wooil Kim}, title = {Biological Cell Tracking And Lineage Inference Via Random Finite Sets}, booktitle = {18th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2021, Nice, France, April 13-16, 2021}, pages = {339--343}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISBI48211.2021.9433957}, doi = {10.1109/ISBI48211.2021.9433957}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/NguyenSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LingKLKTF21, author = {Huan Ling and Karsten Kreis and Daiqing Li and Seung Wook Kim and Antonio Torralba and Sanja Fidler}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {EditGAN: High-Precision Semantic Image Editing}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {16331--16345}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/880610aa9f9de9ea7c545169c716f477-Abstract.html}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LingKLKTF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/HadfieldLWSBKHR21, author = {Steven M. Hadfield and Scott C. Livingston and Justin Woo and Maya Slavin and Dominic Buraglio and Caden Kulp and Matthew Hageman and Caleb Richardson}, editor = {Mark Sherriff and Laurence D. Merkle and Pamela A. Cutter and Alvaro E. Monge and Judithe Sheard}, title = {On-Line {STEAM} Outreach with Remote Robot Access}, booktitle = {{SIGCSE} '21: The 52nd {ACM} Technical Symposium on Computer Science Education, Virtual Event, USA, March 13-20, 2021}, pages = {1325}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3408877.3439619}, doi = {10.1145/3408877.3439619}, timestamp = {Wed, 07 Apr 2021 16:26:26 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/HadfieldLWSBKHR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KimCP0HH21, author = {Hyunjoon Kim and Yunyoung Choi and Kunsoo Park and Xuemin Lin and Seok{-}Hee Hong and Wook{-}Shin Han}, editor = {Guoliang Li and Zhanhuai Li and Stratos Idreos and Divesh Srivastava}, title = {Versatile Equivalences: Speeding up Subgraph Query Processing and Subgraph Matching}, booktitle = {{SIGMOD} '21: International Conference on Management of Data, Virtual Event, China, June 20-25, 2021}, pages = {925--937}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448016.3457265}, doi = {10.1145/3448016.3457265}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/KimCP0HH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/LiuLZJZ21, author = {Xiao{-}Fang Liu and Bo{-}Cheng Lin and Zhi{-}Hui Zhan and Sang{-}Woon Jeon and Jun Zhang}, title = {An Efficient Ant Colony System for Multi-Robot Task Allocation with Large-scale Cooperative Tasks and Precedence Constraints}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2021, Orlando, FL, USA, December 5-7, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SSCI50451.2021.9659912}, doi = {10.1109/SSCI50451.2021.9659912}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssci/LiuLZJZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/svr/WoodUPPK21, author = {Kody Wood and Alvaro Uribe{-}Quevedo and Lina Pe{\~{n}}uela and Sharman Perera and Bill Kapralos}, title = {Virtual Reality Assessment and Customization Using Physiological Measures: {A} Literature Analysis}, booktitle = {SVR'21: 23rd Symposium on Virtual and Augmented Reality, Virtual Event, Brazil, October 18 - 21, 2021}, pages = {64--73}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3488162.3488228}, doi = {10.1145/3488162.3488228}, timestamp = {Tue, 04 Jan 2022 16:48:10 +0100}, biburl = {https://dblp.org/rec/conf/svr/WoodUPPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/WooCNN21, author = {Jong Hun Woo and Young In Cho and So Hyun Nam and Jong Ho Nam}, title = {Development of a Reinforcement Learning-Based Adaptive Scheduling Algorithm for Block Assembly Production Line}, booktitle = {Winter Simulation Conference, {WSC} 2021, Phoenix, AZ, USA, December 12-15, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WSC52266.2021.9715509}, doi = {10.1109/WSC52266.2021.9715509}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/WooCNN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11847, author = {Noah Shutty and Mary Wootters}, title = {Low-bandwidth recovery of linear functions of Reed-Solomon-encoded data}, journal = {CoRR}, volume = {abs/2107.11847}, year = {2021}, url = {https://arxiv.org/abs/2107.11847}, eprinttype = {arXiv}, eprint = {2107.11847}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11847.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-03186, author = {Huan Ling and Karsten Kreis and Daiqing Li and Seung Wook Kim and Antonio Torralba and Sanja Fidler}, title = {EditGAN: High-Precision Semantic Image Editing}, journal = {CoRR}, volume = {abs/2111.03186}, year = {2021}, url = {https://arxiv.org/abs/2111.03186}, eprinttype = {arXiv}, eprint = {2111.03186}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-03186.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-07265, author = {Taeyong Kong and Taeri Kim and Jinsung Jeon and Jeongwhan Choi and Yeon{-}Chang Lee and Noseong Park and Sang{-}Wook Kim}, title = {Linear, or Non-Linear, That is the Question!}, journal = {CoRR}, volume = {abs/2111.07265}, year = {2021}, url = {https://arxiv.org/abs/2111.07265}, eprinttype = {arXiv}, eprint = {2111.07265}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-07265.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeRCC20, author = {Yeong Geol Lee and Wooseok Ryu and Han Shin Choi and Yong Je Choi}, title = {The Conditions for a Linear Vibration System to Have Only Pure Rotation Modes}, journal = {{IEEE} Access}, volume = {8}, pages = {75860--75873}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2988675}, doi = {10.1109/ACCESS.2020.2988675}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeRCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/BanSGJK20, author = {Jaepil Ban and Minseok Seo and Taedong Goh and Hyeyun Jeong and Sang Woo Kim}, title = {Improved co-design of event-triggered dynamic output feedback controllers for linear systems}, journal = {Autom.}, volume = {111}, year = {2020}, url = {https://doi.org/10.1016/j.automatica.2019.108600}, doi = {10.1016/J.AUTOMATICA.2019.108600}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/BanSGJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/YuSPPJ20, author = {Jihun Yu and Hoseob Song and Chul{-}woo Park and Ilhyung Park and Sanghyun Joung}, title = {Fluoroscopic images-based aiming and targeting system with two line lasers for insertion guidance of interlocking screw}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {15}, number = {6}, pages = {1001--1012}, year = {2020}, url = {https://doi.org/10.1007/s11548-020-02196-x}, doi = {10.1007/S11548-020-02196-X}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/YuSPPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/heuristics/YangJY20, author = {Hyun Jun Yang and Suk Jae Jeong and Sung Wook Yoon}, title = {Enhancement for human resource management in the {ULD} build-up process of air-cargo terminal: a strategic linkage approach}, journal = {J. Heuristics}, volume = {26}, number = {2}, pages = {301--333}, year = {2020}, url = {https://doi.org/10.1007/s10732-020-09436-y}, doi = {10.1007/S10732-020-09436-Y}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/heuristics/YangJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimKBIBL20, author = {Wooksoo Kim and Isok Kim and Krisztina Baltimore and Ahmed Salman Imtiaz and Biplab Bhattacharya and Li Lin}, title = {Simple contents and good readability: Improving health literacy for {LEP} populations}, journal = {Int. J. Medical Informatics}, volume = {141}, pages = {104230}, year = {2020}, url = {https://doi.org/10.1016/j.ijmedinf.2020.104230}, doi = {10.1016/J.IJMEDINF.2020.104230}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/KimKBIBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/RuanGWW20, author = {Lingfeng Ruan and Bin Gao and Shichun Wu and Wai Lok Woo}, title = {DeftectNet: Joint loss structured deep adversarial network for thermography defect detecting system}, journal = {Neurocomputing}, volume = {417}, pages = {441--457}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2020.07.093}, doi = {10.1016/J.NEUCOM.2020.07.093}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/RuanGWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/BaekP20, author = {Sung Hoon Baek and Ki{-}Woong Park}, title = {Compatible byte-addressable direct {I/O} for peripheral memory devices in Linux}, journal = {Inf. Syst.}, volume = {91}, pages = {101488}, year = {2020}, url = {https://doi.org/10.1016/j.is.2019.101488}, doi = {10.1016/J.IS.2019.101488}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/BaekP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ShinHKHHKK20, author = {Gun{-}Yoon Shin and Sung{-}Sam Hong and Dong{-}Wook Kim and Cheol{-}Hun Hwang and Myung{-}Mook Han and Hwayoung Kim and Young Jae Kim}, title = {A framework of Multi Linear Regression based on Fuzzy Theory and Situation Awareness and its application to Beach Risk Assessment}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {7}, pages = {3039--3056}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.07.017}, doi = {10.3837/TIIS.2020.07.017}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ShinHKHHKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/LeeAGGLLMPRTTXS20, author = {Tai{-}Sung Lee and Bryce K. Allen and Timothy J. Giese and Zhenyu Guo and Pengfei Li and Charles Lin and T. Dwight McGee Jr. and David A. Pearlman and Brian K. Radak and Yujun Tao and Hsu{-}Chun Tsai and Huafeng Xu and Woody Sherman and Darrin M. York}, title = {Alchemical Binding Free Energy Calculations in {AMBER20:} Advances and Best Practices for Drug Discovery}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {11}, pages = {5595--5623}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.0c00613}, doi = {10.1021/ACS.JCIM.0C00613}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/LeeAGGLLMPRTTXS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/LeePPSC20, author = {Jaejoon Lee and Yoonseo Park and Hyunseo Park and Changsoo Shin and Wookeen Chung}, title = {A staggered time integrator for the linear acoustic wave equation using the Jacobi-Anger expansion}, journal = {J. Comput. Phys.}, volume = {416}, pages = {109489}, year = {2020}, url = {https://doi.org/10.1016/j.jcp.2020.109489}, doi = {10.1016/J.JCP.2020.109489}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/LeePPSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/KooLCJ20, author = {Kwangmin Koo and Wooyeob Lee and Sungryung Cho and Inwhee Joe}, title = {A Secure Operating System Architecture Based on Linux against Communication Offense with Root Exploit for Unmanned Aerial Vehicles}, journal = {J. Inf. Process. Syst.}, volume = {16}, number = {1}, pages = {42--48}, year = {2020}, url = {https://doi.org/10.3745/JIPS.03.0130}, doi = {10.3745/JIPS.03.0130}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/KooLCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/PorwalPKDSBLWLG20, author = {Prasanna Porwal and Samiksha Pachade and Manesh Kokare and Girish Deshmukh and Jaemin Son and Woong Bae and Lihong Liu and Jianzong Wang and Xinhui Liu and Liangxin Gao and Tianbo Wu and Jing Xiao and Fengyan Wang and Baocai Yin and Yunzhi Wang and Gopichandh Danala and Linsheng He and Yoon Ho Choi and Fabrice M{\'{e}}riaudeau}, title = {IDRiD: Diabetic Retinopathy - Segmentation and Grading Challenge}, journal = {Medical Image Anal.}, volume = {59}, year = {2020}, url = {https://doi.org/10.1016/j.media.2019.101561}, doi = {10.1016/J.MEDIA.2019.101561}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/PorwalPKDSBLWLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LuoHGHKLMSJLBGL20, author = {Yunhai Luo and Benjamin C. Hitz and Idan Gabdank and Jason A. Hilton and Meenakshi S. Kagda and Bonita Lam and Zachary Myers and Paul Sud and Jennifer Jou and Khine Lin and Ulugbek K. Baymuradov and Keenan Graham and Casey Litton and Stuart R. Miyasato and J. Seth Strattan and Otto Jolanki and Jin{-}Wook Lee and Forrest Tanaka and Philip Adenekan and Emma O'Neill and J. Michael Cherry}, title = {New developments on the Encyclopedia of {DNA} Elements {(ENCODE)} data portal}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D882--D889}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz1062}, doi = {10.1093/NAR/GKZ1062}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/LuoHGHKLMSJLBGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JungYJCKNKL20, author = {Woojin Jung and Jaeyeon Yoon and Sooyeon Ji and Joon Yul Choi and Jae Myung Kim and Yoonho Nam and Eung{-}Yeop Kim and Jongho Lee}, title = {Exploring linearity of deep neural network trained {QSM:} QSMnet\({}^{\mbox{+}}\)}, journal = {NeuroImage}, volume = {211}, pages = {116619}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116619}, doi = {10.1016/J.NEUROIMAGE.2020.116619}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JungYJCKNKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChungPC20, author = {Seokhyun Chung and Young Woong Park and Taesu Cheong}, title = {A mathematical programming approach for integrated multiple linear regression subset selection and validation}, journal = {Pattern Recognit.}, volume = {108}, pages = {107565}, year = {2020}, url = {https://doi.org/10.1016/j.patcog.2020.107565}, doi = {10.1016/J.PATCOG.2020.107565}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ChungPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/KimMPLHH20, author = {Hyunjoon Kim and Seunghwan Min and Kunsoo Park and Xuemin Lin and Seok{-}Hee Hong and Wook{-}Shin Han}, title = {{IDAR:} Fast Supergraph Search Using {DAG} Integration}, journal = {Proc. {VLDB} Endow.}, volume = {13}, number = {9}, pages = {1456--1468}, year = {2020}, url = {http://www.vldb.org/pvldb/vol13/p1456-kim.pdf}, doi = {10.14778/3397230.3397241}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/KimMPLHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sac/LiW20, author = {Zheyuan Li and Simon N. Wood}, title = {Faster model matrix crossproducts for large generalized linear models with discretized covariates}, journal = {Stat. Comput.}, volume = {30}, number = {1}, pages = {19--25}, year = {2020}, url = {https://doi.org/10.1007/s11222-019-09864-2}, doi = {10.1007/S11222-019-09864-2}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sac/LiW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JohnstonJMPLDWM20, author = {Joshua M. Johnston and Natasha Jackson and Colin McFayden and Linh Ngo Phong and Brian Lawrence and Didier Davignon and Martin J. Wooster and Helena van Mierlo and Dan K. Thompson and Alan S. Cantin and Daniel Johnston and Lynn M. Johnston and Meghan Sloane and Rebecca Ramos and Timothy J. Lynham}, title = {Development of the User Requirements for the Canadian WildFireSat Satellite Mission}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5081}, year = {2020}, url = {https://doi.org/10.3390/s20185081}, doi = {10.3390/S20185081}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JohnstonJMPLDWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KimKH20, author = {Min Su Kim and Yong Wook Kim and Tae Hee Han}, title = {System-Level Signal Analysis Methodology for Optical Network-on-Chip Using Linear Model-Based Characterization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2761--2771}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2945709}, doi = {10.1109/TCAD.2019.2945709}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KimKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/GammohPPC20, author = {Khalil Gammoh and Cameron K. Peterson and David A. Penry and Shiuh{-}Hua Wood Chiang}, title = {Linearity Theory of Stochastic Phase-Interpolation Time-to-Digital Converter}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {12}, pages = {4348--4359}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.3013709}, doi = {10.1109/TCSI.2020.3013709}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/GammohPPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JeonKYYKYB20, author = {Sejun Jeon and Woohyun Kwon and Jong{-}Hyeok Yoon and Taehun Yoon and Kyeongha Kwon and Jaehyeok Yang and Hyeon{-}Min Bae}, title = {A Framed-Pulsewidth Modulation Transceiver for High-Speed Broadband Communication Links}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {67-I}, number = {8}, pages = {2825--2835}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2982050}, doi = {10.1109/TCSI.2020.2982050}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JeonKYYKYB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LinCSJX20, author = {Feng Lin and Kun Woo Cho and Chen Song and Zhanpeng Jin and Wenyao Xu}, title = {Exploring a Brain-Based Cancelable Biometrics for Smart Headwear: Concept, Implementation, and Evaluation}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {19}, number = {12}, pages = {2774--2792}, year = {2020}, url = {https://doi.org/10.1109/TMC.2019.2936559}, doi = {10.1109/TMC.2019.2936559}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/LinCSJX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ZhangWYSHTWRMXX20, author = {Ling Zhang and Xiaosong Wang and Dong Yang and Thomas Sanford and Stephanie A. Harmon and Baris Turkbey and Bradford J. Wood and Holger Roth and Andriy Myronenko and Daguang Xu and Ziyue Xu}, title = {Generalizing Deep Learning for Medical Image Segmentation to Unseen Domains via Deep Stacked Transformation}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {7}, pages = {2531--2540}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.2973595}, doi = {10.1109/TMI.2020.2973595}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ZhangWYSHTWRMXX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsoco/KimGKPKHHKKL20, author = {Joongyum Kim and Taesik Gong and Bogoan Kim and Jaeyeon Park and Woojeong Kim and Evey Huang and Kyungsik Han and Juho Kim and JeongGil Ko and Sung{-}Ju Lee}, title = {No More One Liners: Bringing Context into Emoji Recommendations}, journal = {{ACM} Trans. Soc. Comput.}, volume = {3}, number = {2}, pages = {9:1--9:25}, year = {2020}, url = {https://doi.org/10.1145/3373146}, doi = {10.1145/3373146}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsoco/KimGKPKHHKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KaoLWLKH20, author = {Yueying Kao and Weiming Li and Qiang Wang and Zhouchen Lin and Wooshik Kim and Sunghoon Hong}, title = {Synthetic Depth Transfer for Monocular 3D Object Pose Estimation in the Wild}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {11221--11228}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i07.6781}, doi = {10.1609/AAAI.V34I07.6781}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KaoLWLKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/NimmagaddaBRW20, author = {Shastri L. Nimmagadda and Gustavo Cardenas Barragan and Torsten Reiners and Lincoln C. Wood}, title = {Information System Artefact Development for Evaluating New Business Opportunities}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2020, Wellington, New Zealand, December 1-4, 2020}, pages = {61}, year = {2020}, url = {https://aisel.aisnet.org/acis2020/61}, timestamp = {Thu, 16 May 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/acis/NimmagaddaBRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/EladWMS20, author = {Elad Michael and Tony A. Wood and Chris Manzie and Iman Shames}, title = {Global Sensitivity Analysis for the Linear Assignment Problem}, booktitle = {2020 American Control Conference, {ACC} 2020, Denver, CO, USA, July 1-3, 2020}, pages = {3387--3392}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ACC45564.2020.9147216}, doi = {10.23919/ACC45564.2020.9147216}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/EladWMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/GuruswamiLMRSW20, author = {Venkatesan Guruswami and Ray Li and Jonathan Mosheiff and Nicolas Resch and Shashwat Silas and Mary Wootters}, editor = {Jaroslaw Byrka and Raghu Meka}, title = {Bounds for List-Decoding and List-Recovery of Random Linear Codes}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2020, August 17-19, 2020, Virtual Conference}, series = {LIPIcs}, volume = {176}, pages = {9:1--9:21}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2020.9}, doi = {10.4230/LIPICS.APPROX/RANDOM.2020.9}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/approx/GuruswamiLMRSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LeeSK20, author = {Yeon{-}Chang Lee and Nayoun Seo and Sang{-}Wook Kim}, editor = {Mathieu d'Aquin and Stefan Dietze and Claudia Hauff and Edward Curry and Philippe Cudr{\'{e}}{-}Mauroux}, title = {Are Negative Links Really Beneficial to Network Embedding?: In-Depth Analysis and Interesting Results}, booktitle = {{CIKM} '20: The 29th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Ireland, October 19-23, 2020}, pages = {2113--2116}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3340531.3412107}, doi = {10.1145/3340531.3412107}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LeeSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/ZhuWL20, author = {Shucheng Zhu and Xi Wang and Pengyuan Liu}, editor = {Meichun Liu and Chunyu Kit and Qi Su}, title = {Who Killed Sanmao and Virginia Woolf? {A} Comparative Study of Writers with Suicidal Attempt Based on a Quantitative Linguistic Method}, booktitle = {Chinese Lexical Semantics - 21st Workshop, {CLSW} 2020, Hong Kong, China, May 28-30, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12278}, pages = {408--420}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-81197-6\_34}, doi = {10.1007/978-3-030-81197-6\_34}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clsw/ZhuWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colt/BravermanHSW20, author = {Mark Braverman and Elad Hazan and Max Simchowitz and Blake E. Woodworth}, editor = {Jacob D. Abernethy and Shivani Agarwal}, title = {The Gradient Complexity of Linear Regression}, booktitle = {Conference on Learning Theory, {COLT} 2020, 9-12 July 2020, Virtual Event [Graz, Austria]}, series = {Proceedings of Machine Learning Research}, volume = {125}, pages = {627--647}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v125/braverman20a.html}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/colt/BravermanHSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/ChoiBAD20, author = {Woojin Choi and Venkatesh Balasubramanian and Peter M. Asbeck and Shadi A. Dayeh}, title = {Linearity by Synthesis: An Intrinsically Linear AlGaN/GaN-on-Si Transistor with {OIP3/(F-1)PDC} of 10.1 at 30 GHz}, booktitle = {2020 Device Research Conference, {DRC} 2020, Columbus, OH, USA, June 21-24, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DRC50226.2020.9135184}, doi = {10.1109/DRC50226.2020.9135184}, timestamp = {Mon, 27 Jul 2020 11:51:23 +0200}, biburl = {https://dblp.org/rec/conf/drc/ChoiBAD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/NtavelisRBTHWGS20, author = {Evangelos Ntavelis and Andr{\'{e}}s Romero and Siavash Bigdeli and Radu Timofte and Zheng Hui and Xiumei Wang and Xinbo Gao and Chajin Shin and Taeoh Kim and Hanbin Son and Sangyoun Lee and Chao Li and Fu Li and Dongliang He and Shilei Wen and Errui Ding and Mengmeng Bai and Shuchen Li and Yu Zeng and Zhe Lin and Jimei Yang and Jianming Zhang and Eli Shechtman and Huchuan Lu and Weijian Zeng and Haopeng Ni and Yiyang Cai and Chenghua Li and Dejia Xu and Haoning Wu and Yu Han and S. M. Nadim Uddin and Hae Woong Jang and Soikat Hasan Ahmed and Jungmin Yoon and Yong Ju Jung and Chu{-}Tak Li and Zhi{-}Song Liu and Li{-}Wen Wang and Wan{-}Chi Siu and Daniel Pak{-}Kong Lun and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Pratik Narang and Murari Mandal and Pranjal Singh Chauhan}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Image Extreme Inpainting}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {716--741}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_43}, doi = {10.1007/978-3-030-67070-2\_43}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/NtavelisRBTHWGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhangDLTLTWZHXL20, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Xiaotong Luo and Liang Chen and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. V. Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {5--40}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_1}, doi = {10.1007/978-3-030-67070-2\_1}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhangDLTLTWZHXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/BravermanDMMUWZ20, author = {Vladimir Braverman and Petros Drineas and Cameron Musco and Christopher Musco and Jalaj Upadhyay and David P. Woodruff and Samson Zhou}, editor = {Sandy Irani}, title = {Near Optimal Linear Algebra in the Online and Sliding Window Models}, booktitle = {61st {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2020, Durham, NC, USA, November 16-19, 2020}, pages = {517--528}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FOCS46700.2020.00055}, doi = {10.1109/FOCS46700.2020.00055}, timestamp = {Wed, 15 Dec 2021 10:49:16 +0100}, biburl = {https://dblp.org/rec/conf/focs/BravermanDMMUWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YaoBRSPS20, author = {Lin Yao and Jonathan L. Baker and Jae{-}Wook Ryou and Nicholas D. Schiff and Keith P. Purpura and Mahsa Shoaran}, title = {Mental Fatigue Prediction from Multi-Channel {ECOG} Signal}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1259--1263}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053358}, doi = {10.1109/ICASSP40776.2020.9053358}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YaoBRSPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenJJKKLLW20, author = {Jianli Chen and Iris Hui{-}Ru Jiang and Jinwook Jung and Andrew B. Kahng and Victor N. Kravets and Yih{-}Lang Li and Shih{-}Ting Lin and Mingyu Woo}, title = {{DATC} {RDF-2020:} Strengthening the Foundation for Academic Research in {IC} Physical Design}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {71:1--71:6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415742}, doi = {10.1145/3400302.3415742}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenJJKKLLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/NguyenCK0L20, author = {Anh{-}Duc Nguyen and Seonghwa Choi and Woojae Kim and Sanghoon Lee and Weisi Lin}, title = {Statistical Convolution On Unordered Point Set}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {3468--3472}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9190709}, doi = {10.1109/ICIP40778.2020.9190709}, timestamp = {Tue, 03 Nov 2020 11:48:53 +0100}, biburl = {https://dblp.org/rec/conf/icip/NguyenCK0L20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/MatukYPABBCHCCD20, author = {Camillia Matuk and Susan A. Yoon and Joseph L. Polman and Anna Amato and Jacob Barton and Nicole Bulalacao and Francesco Cafaro and Lina Chopra Haldar and Amanda M. Cottone and Krista Cortes and Kayla DesPortes and Tim Erickson and William Finzer and Katie Headrick Taylor and Beth Herbel{-}Eisenmann and Cynthia Graville and Kris D. Guti{\'{e}}rrez and Traci Higgins and Blanca E. Himes and Kathryn A. Lanouette and Hollylynne Lee and Vivian Lim and M. Lisette Lopez and Leilah Lyons and Dan Milz and Maria C. Olivares and Elizabeth Osche and Tapan S. Parikh and Thomas M. Philip and Laurie Rubel and Joey Shelley and Edward Rivero and Jessica Roberts and Collette Roberto and Tony Petrosino and Andee Rubin and Jooeun Shim and Megan Silander and Stephen Sommer and David Stokes and Marian Tes and Milka Trajkova and Ryan J. Urbanowicz and Ralph Vacca and Sarah Van Wart and Veena Vasudevan and Michelle Hoda Wilkerson and Peter J. Woods}, title = {Data Literacy for Social Justice}, booktitle = {Interdisciplinarity in the Learning Sciences: Proceedings of the 14th International Conference of the Learning Sciences, {ICLS} 2020, [Nashville, Tennessee, USA], Online Conference, June 19-23, 2020}, publisher = {International Society of the Learning Sciences}, year = {2020}, url = {https://repository.isls.org/handle/1/6656}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icls/MatukYPABBCHCCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/ZhuTSBMRHTTNNDL20, author = {Gaoxia Zhu and Chew Lee Teo and Marlene Scardamalia and Mohamed Faizal Badron and Kit Martin and Preeti Raman and Jim Hewitt and Tang Wee Teo and Aik{-}Ling Tan and Dingxuan Andy Ng and Raadiyah Nazeem and Zoe Donoahue and Zhixin Lai and Leanne Ma and Earl Woodruff}, title = {Emotional and Cognitive Affordances of Collaborative Learning Environments}, booktitle = {Interdisciplinarity in the Learning Sciences: Proceedings of the 14th International Conference of the Learning Sciences, {ICLS} 2020, [Nashville, Tennessee, USA], Online Conference, June 19-23, 2020}, publisher = {International Society of the Learning Sciences}, year = {2020}, url = {https://repository.isls.org/handle/1/6662}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icls/ZhuTSBMRHTTNNDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icores/ShinBKM20, author = {Yang Woo Shin and Gyeong Min Baek and Dong Ok Kim and Dug Hee Moon}, editor = {Greg H. Parlier and Federico Liberatore and Marc Demange}, title = {Approximate Analysis of Transfer Line with PH-service Time and Parts Assemble}, booktitle = {Proceedings of the 9th International Conference on Operations Research and Enterprise Systems, {ICORES} 2020, Valletta, Malta, February 22-24, 2020}, pages = {212--217}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009092802120217}, doi = {10.5220/0009092802120217}, timestamp = {Fri, 17 Apr 2020 13:20:39 +0200}, biburl = {https://dblp.org/rec/conf/icores/ShinBKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LinCLQXZL20, author = {Ye Lin and Dan Chen and Shijia Liang and Yang Qiu and Zhezhuang Xu and Jiahao Zhang and Xinxiang Liu}, title = {Wood Color Classification Based on Color Spatial Features and K-means Algorithm}, booktitle = {The 46th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2020, Singapore, October 18-21, 2020}, pages = {3847--3851}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IECON43393.2020.9255104}, doi = {10.1109/IECON43393.2020.9255104}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LinCLQXZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HaydenWHHWH20, author = {Linda Bailey Hayden and Steffi Walthall and Garry Harris and Wanda Hathaway and Jeffrey A. Wood and Jessica Hathaway}, title = {Continuing Education Units {(CEUS)} for NASA's Global Learning and Observations to Benefit the Environment {(GLOBE)} World Wide Program}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {3169--3171}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9323064}, doi = {10.1109/IGARSS39084.2020.9323064}, timestamp = {Mon, 22 Feb 2021 16:46:47 +0100}, biburl = {https://dblp.org/rec/conf/igarss/HaydenWHHWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeYHCCNSKLNKTJ20, author = {Tae Young Lee and Kazutaka Yamane and Lee Yong Hau and Robin Chao and Nyuk Leong Chung and Vinayak Bharat Naik and K. Sivabalan and Jae Hyun Kwon and Jia Hao Lim and Wah{-}Peng Neo and Kevin Khua and Naganivetha Thiyagarajah and Suk Hee Jang and Behtash Behin{-}Aein and Eng{-}Huat Toh and Yuichi Otani and Dinggui Zeng and Nivetha Balasankaran and Lian Choo Goh and Timothy Ling and Jay Hwang and Lei Zhang and Rachel Low and Soon Leng Tan and Chim Seng Seet and Jia Wen Ting and Stanley Ong and Young Seon You and Swee Tuck Woo and Elgin Quek and Soh Yun Siah}, title = {Magnetic Immunity Guideline for Embedded {MRAM} Reliability to Realize Mass Production}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128317}, doi = {10.1109/IRPS45951.2020.9128317}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LeeYHCCNSKLNKTJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PrasadCGHKLMNOP20, author = {Chetan Prasad and Sunny Chugh and Hannes Greve and I{-}chen Ho and Enamul Kabir and Cheyun Lin and Mahjabin Maksud and Steven R. Novak and Benjamin Orr and Keun Woo Park and Anthony Schmitz and Zhizheng Zhang and Peng Bai and Doug B. Ingerly and Emre Armagan and Hsinwei Wu and Patrick N. Stover and Lance Hibbeler and Michael O'Day and Daniel Pantuso}, title = {Silicon Reliability Characterization of Intel's Foveros 3D Integration Technology for Logic-on-Logic Die Stacking}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129277}, doi = {10.1109/IRPS45951.2020.9129277}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/PrasadCGHKLMNOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImZCZKCWHTLRCCC20, author = {Jay Im and Kevin Zheng and Adam Chou and Lei Zhou and Jae Wook Kim and Stanley Chen and Yipeng Wang and Hao{-}Wei Hung and Kee Hian Tan and Winson Lin and Arianne Roldan and Declan Carey and Ilias Chlis and Ronan Casey and Ade Bekele and Ying Cao and David Mahashin and Hong Ahn and Hongtao Zhang and Yohan Frans and Ken Chang}, title = {6.1 {A} 112Gb/s {PAM-4} Long-Reach Wireline Transceiver Using a 36-Way Time-Interleaved {SAR-ADC} and Inverter-Based {RX} Analog Front-End in 7nm FinFET}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {116--118}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063081}, doi = {10.1109/ISSCC19947.2020.9063081}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImZCZKCWHTLRCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooHY20, author = {Si{-}Wook Yoo and Shih{-}Chang Hung and Sang{-}Min Yoo}, title = {24.4 {A} Watt-Level Multimode Multi-Efficiency-Peak Digital Polar Power Amplifier with Linear Single-Supply Class-G Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {368--370}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063069}, doi = {10.1109/ISSCC19947.2020.9063069}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LingAKKF20, author = {Huan Ling and David Acuna and Karsten Kreis and Seung Wook Kim and Sanja Fidler}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Variational Amodal Object Completion}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/bacadc62d6e67d7897cef027fa2d416c-Abstract.html}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LingAKKF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MoroshkoWGLSS20, author = {Edward Moroshko and Blake E. Woodworth and Suriya Gunasekar and Jason D. Lee and Nati Srebro and Daniel Soudry}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Implicit Bias in Deep Linear Classification: Initialization Scale vs Training Accuracy}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/fc2022c89b61c76bbef978f1370660bf-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/MoroshkoWGLSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/JuanL20, author = {Shih{-}Jung Juan and Wootsong Lin}, editor = {Doug Vogel and Kathy Ning Shen and Pan Shan Ling and Carol Hsu and James Y. L. Thong and Marco De Marco and Moez Limayem and Sean Xin Xu}, title = {Tacit Knowledge Acquisition in Organizations}, booktitle = {24th Pacific Asia Conference on Information Systems, {PACIS} 2020, Dubai, UAE, June 22-24, 2020}, pages = {178}, year = {2020}, url = {https://aisel.aisnet.org/pacis2020/178}, timestamp = {Wed, 10 Jun 2020 14:48:21 +0200}, biburl = {https://dblp.org/rec/conf/pacis/JuanL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/JungWHAL20, author = {Sungchul Jung and Andrew L. Wood and Simon Hoermann and Pramuditha L. Abhayawardhana and Robert W. Lindeman}, title = {The Impact of Multi-sensory Stimuli on Confidence Levels for Perceptual-cognitive Tasks in {VR}}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2010, Atlanta, GA, USA, March 22-26, 2020}, pages = {463--472}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VR46266.2020.1580947852943}, doi = {10.1109/VR46266.2020.1580947852943}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/JungWHAL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/woot/ChoPKB0SDA20, author = {Haehyun Cho and Jinbum Park and Joonwon Kang and Tiffany Bao and Ruoyu Wang and Yan Shoshitaishvili and Adam Doup{\'{e}} and Gail{-}Joon Ahn}, editor = {Yuval Yarom and Sarah Zennou}, title = {Exploiting Uses of Uninitialized Stack Variables in Linux Kernels to Leak Kernel Pointers}, booktitle = {14th {USENIX} Workshop on Offensive Technologies, {WOOT} 2020, August 11, 2020}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/woot20/presentation/cho}, timestamp = {Mon, 01 Feb 2021 08:41:50 +0100}, biburl = {https://dblp.org/rec/conf/woot/ChoPKB0SDA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AhnS20, author = {Dohyun Ahn and Dongwook Shin}, title = {Ordinal Optimization with Generalized Linear Model}, booktitle = {Winter Simulation Conference, {WSC} 2020, Orlando, FL, USA, December 14-18, 2020}, pages = {3008--3019}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WSC48552.2020.9384070}, doi = {10.1109/WSC48552.2020.9384070}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/AhnS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-11951, author = {Lintao Ye and Nathaniel Woodford and Sandip Roy and Shreyas Sundaram}, title = {On the Complexity and Approximability of Optimal Sensor Selection and Attack for Kalman Filtering}, journal = {CoRR}, volume = {abs/2003.11951}, year = {2020}, url = {https://arxiv.org/abs/2003.11951}, eprinttype = {arXiv}, eprint = {2003.11951}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-11951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-13247, author = {Venkatesan Guruswami and Ray Li and Jonathan Mosheiff and Nicolas Resch and Shashwat Silas and Mary Wootters}, title = {Bounds for list-decoding and list-recovery of random linear codes}, journal = {CoRR}, volume = {abs/2004.13247}, year = {2020}, url = {https://arxiv.org/abs/2004.13247}, eprinttype = {arXiv}, eprint = {2004.13247}, timestamp = {Sat, 02 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-13247.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06738, author = {Edward Moroshko and Suriya Gunasekar and Blake E. Woodworth and Jason D. Lee and Nathan Srebro and Daniel Soudry}, title = {Implicit Bias in Deep Linear Classification: Initialization Scale vs Training Accuracy}, journal = {CoRR}, volume = {abs/2007.06738}, year = {2020}, url = {https://arxiv.org/abs/2007.06738}, eprinttype = {arXiv}, eprint = {2007.06738}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-09890, author = {Simin Liu and Tianrui Liu and Ali Vakilian and Yulin Wan and David P. Woodruff}, title = {On Learned Sketches for Randomized Numerical Linear Algebra}, journal = {CoRR}, volume = {abs/2007.09890}, year = {2020}, url = {https://arxiv.org/abs/2007.09890}, eprinttype = {arXiv}, eprint = {2007.09890}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-09890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06943, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Liang Chen and Xiaotong Luo and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. Victor Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.06943}, year = {2020}, url = {https://arxiv.org/abs/2009.06943}, eprinttype = {arXiv}, eprint = {2009.06943}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HurRLJ19, author = {Jae Young Hur and Sang Woo Rhim and Beom Hak Lee and Wooyoung Jang}, title = {Adaptive Linear Address Map for Bank Interleaving in DRAMs}, journal = {{IEEE} Access}, volume = {7}, pages = {129604--129616}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940351}, doi = {10.1109/ACCESS.2019.2940351}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HurRLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenKKLL19, author = {Anh{-}Duc Nguyen and Woojae Kim and Jongyoo Kim and Weisi Lin and Sanghoon Lee}, title = {Video Frame Synthesis via Plug-and-Play Deep Locally Temporal Embedding}, journal = {{IEEE} Access}, volume = {7}, pages = {179304--179319}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2959019}, doi = {10.1109/ACCESS.2019.2959019}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NguyenKKLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SimLKK19, author = {Heonkyo Sim and Seongwook Lee and Seokhyun Kang and Seong{-}Cheol Kim}, title = {Enhanced {DOA} Estimation Using Linearly Predicted Array Expansion for Automotive Radar Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {47714--47727}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2910120}, doi = {10.1109/ACCESS.2019.2910120}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SimLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/LiuXSHLH19, author = {Haoyu Liu and Linlin Xie and Liwen Shi and Miaole Hou and Aiqun Li and Yungang Hu}, title = {A method of automatic extraction of parameters of multi-LoD {BIM} models for typical components in wooden architectural-heritage structures}, journal = {Adv. Eng. Informatics}, volume = {42}, year = {2019}, url = {https://doi.org/10.1016/j.aei.2019.101002}, doi = {10.1016/J.AEI.2019.101002}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/LiuXSHLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ajis/HintonWSR19, author = {Sean Hinton and Lincoln C. Wood and Harminder Singh and Torsten Reiners}, title = {Enterprise gamification systems and employment legislation: a systematic literature review}, journal = {Australas. J. Inf. Syst.}, volume = {23}, year = {2019}, url = {https://journal.acs.org.au/index.php/ajis/article/view/2037}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ajis/HintonWSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/BernabeTGSCWBS19, author = {Beatriz Pe{\~{n}}alver Bernab{\'{e}} and Ines Thiele and Eugene Galdones and Anaar Siletz and Sriram Chandrasekaran and Teresa K. Woodruff and Linda J. Broadbelt and Lonnie D. Shea}, title = {Dynamic genome-scale cell-specific metabolic models reveal novel inter-cellular and intra-cellular metabolic communications during ovarian follicle development}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {307:1--307:16}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2825-2}, doi = {10.1186/S12859-019-2825-2}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/BernabeTGSCWBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/DjordjevicTCKLQ19, author = {Djordje Djordjevic and Joshua Y. S. Tang and Yun Xin Chen and Shu Lun Shannon Kwan and Raymond W. K. Ling and Gordon Qian and Chelsea Y. Y. Woo and Samuel J. Ellis and Joshua Wing Kei Ho}, title = {Discovery of perturbation gene targets via free text metadata mining in Gene Expression Omnibus}, journal = {Comput. Biol. Chem.}, volume = {80}, pages = {152--158}, year = {2019}, url = {https://doi.org/10.1016/j.compbiolchem.2019.03.014}, doi = {10.1016/J.COMPBIOLCHEM.2019.03.014}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/DjordjevicTCKLQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ByunKJKCYJ19, author = {Sangwon Byun and Ah{-}Young Kim and Eun{-}Hye Jang and Seunghwan Kim and Kwang{-}Wook Choi and Han{-}Young Yu and Hong Jin Jeon}, title = {Detection of major depressive disorder from linear and nonlinear heart rate variability features during mental task protocol}, journal = {Comput. Biol. Medicine}, volume = {112}, year = {2019}, url = {https://doi.org/10.1016/j.compbiomed.2019.103381}, doi = {10.1016/J.COMPBIOMED.2019.103381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ByunKJKCYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/TongHZ19, author = {Xinjie Tong and Se{-}Woon Hong and Lingying Zhao}, title = {{CFD} modeling of airflow, thermal environment, and ammonia concentration distribution in a commercial manure-belt layer house with mixed ventilation systems}, journal = {Comput. Electron. Agric.}, volume = {162}, pages = {281--299}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.03.031}, doi = {10.1016/J.COMPAG.2019.03.031}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/TongHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/NaLLC19, author = {Woongsoo Na and Demeke Shumeye Lakew and Jihoon Lee and Sungrae Cho}, title = {Congestion control vs. link failure: {TCP} behavior in mmWave connected vehicular networks}, journal = {Future Gener. Comput. Syst.}, volume = {101}, pages = {1213--1222}, year = {2019}, url = {https://doi.org/10.1016/j.future.2019.07.065}, doi = {10.1016/J.FUTURE.2019.07.065}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/NaLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/WoodleyTGNC19, author = {Alan Woodley and Ling{-}Xiang Tang and Shlomo Geva and Richi Nayak and Timothy Chappell}, title = {Parallel K-Tree: {A} multicore, multinode solution to extreme clustering}, journal = {Future Gener. Comput. Syst.}, volume = {99}, pages = {333--345}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.09.038}, doi = {10.1016/J.FUTURE.2018.09.038}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/WoodleyTGNC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/KimLWKL19, author = {Nan{-}Hee Kim and Ju{-}Hyung Lee and Seo{-}Jin Woo and Dong{-}Lin Kwon and Suk{-}Gyu Lee}, title = {Wearable Walking Care Checking Gait Device Using the {AHRS} Sensor}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {19}, number = {2}, pages = {112--118}, year = {2019}, url = {https://doi.org/10.5391/IJFIS.2019.19.2.112}, doi = {10.5391/IJFIS.2019.19.2.112}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/KimLWKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ByunL19, author = {Sung{-}Woo Byun and Seok{-}Pil Lee}, title = {Stochastic Non-linear Hashing for Near-Duplicate Video Retrieval using Deep Feature applicable to Large-scale Datasets}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {8}, pages = {4300--4314}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.08.028}, doi = {10.3837/TIIS.2019.08.028}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ByunL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/AbrahamABBBBCCC19, author = {Mark James Abraham and Rossen Apostolov and Jonathan Barnoud and Paul Bauer and Christian Blau and Alexandre M. J. J. Bonvin and Matthieu Chavent and John D. Chodera and Karmen Condic{-}Jurkic and Lucie Delemotte and Helmut Grubm{\"{u}}ller and Rebecca J. Howard and E. Joseph Jordan and Erik Lindahl and Samuli Ollila and Jana Selent and Daniel G. A. Smith and Phillip J. Stansfeld and Johanna K. S. Tiemann and Mika{\"{e}}l Trellet and Christopher J. Woods and Artem A. Zhmurov}, title = {Sharing Data from Molecular Simulations}, journal = {J. Chem. Inf. Model.}, volume = {59}, number = {10}, pages = {4093--4099}, year = {2019}, url = {https://doi.org/10.1021/acs.jcim.9b00665}, doi = {10.1021/ACS.JCIM.9B00665}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/AbrahamABBBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcns/HanCPY19, author = {Sungmin Han and Jun{-}Uk Chu and Jong Woong Park and Inchan Youn}, title = {Linear feature projection-based real-time decoding of limb state from dorsal root ganglion recordings}, journal = {J. Comput. Neurosci.}, volume = {46}, number = {1}, pages = {77--90}, year = {2019}, url = {https://doi.org/10.1007/s10827-018-0686-8}, doi = {10.1007/S10827-018-0686-8}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcns/HanCPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/GasiorRLWPSLCBL19, author = {Alessandra C. Gasior and Carlos Reck and Victoria Lane and Richard J. Wood and Jeremy Patterson and Robert Strouse and Simon M. Lin and Jennifer N. Cooper and D. Gregory Bates and Marc A. Levitt}, title = {Transcending Dimensions: a Comparative Analysis of Cloaca Imaging in Advancing the Surgeon's Understanding of Complex Anatomy}, journal = {J. Digit. Imaging}, volume = {32}, number = {5}, pages = {761--765}, year = {2019}, url = {https://doi.org/10.1007/s10278-018-0139-y}, doi = {10.1007/S10278-018-0139-Y}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/GasiorRLWPSLCBL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/WickhamABCMFGHH19, author = {Hadley Wickham and Mara Averick and Jennifer Bryan and Winston Chang and Lucy D'Agostino McGowan and Romain Fran{\c{c}}ois and Garrett Grolemund and Alex Hayes and Lionel Henry and Jim Hester and Max Kuhn and Thomas Lin Pedersen and Evan Miller and Stephan Bache and Kirill M{\"{u}}ller and Jeroen Ooms and David Robinson and Dana Paige Seidel and Vitalie Spinu and Kohske Takahashi and Davis Vaughan and Claus O. Wilke and Kara H. Woo and Hiroaki Yutani}, title = {Welcome to the Tidyverse}, journal = {J. Open Source Softw.}, volume = {4}, number = {43}, pages = {1686}, year = {2019}, url = {https://doi.org/10.21105/joss.01686}, doi = {10.21105/JOSS.01686}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/WickhamABCMFGHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaileyRHLCMWMIW19, author = {Steven Bailey and Paul Rigge and Jaeduk Han and Richard Lin and Eric Chang and Howard Mao and Zhongkai Wang and Chick Markley and Adam M. Izraelevitz and Angie Wang and Nathan Narevsky and Woo{-}Rham Bae and Steve Shauck and Sergio Montano and Justin Norsworthy and Munir Razzaque and Wen Hau Ma and Akalu Lentiro and Matthew Doerflein and Darin Heckendorn and Jim McGrath and Franco DeSeta and Ronen Shoham and Mike Stellfox and Mark Snowden and Joseph Cole and Dan Fuhrman and Brian C. Richards and Jonathan Bachrach and Elad Alon and Borivoje Nikolic}, title = {A Mixed-Signal {RISC-V} Signal Analysis SoC Generator With a 16-nm FinFET Instance}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {10}, pages = {2786--2801}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2924090}, doi = {10.1109/JSSC.2019.2924090}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaileyRHLCMWMIW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooHY19, author = {Si{-}Wook Yoo and Shih{-}Chang Hung and Sang{-}Min Yoo}, title = {A Watt-Level Quadrature Class-G Switched-Capacitor Power Amplifier With Linearization Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {5}, pages = {1274--1287}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2904209}, doi = {10.1109/JSSC.2019.2904209}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/HarrisMHWCBBCCC19, author = {Julie A. Harris and Stefan Mihalas and Karla E. Hirokawa and Jennifer D. Whitesell and Hannah Choi and Amy Bernard and Phillip Bohn and Shiella Caldejon and Linzy Casal and Andrew Cho and Aaron Feiner and David Feng and Nathalie Gaudreault and Charles R. Gerfen and Nile Graddis and Peter A. Groblewski and Alex M. Henry and Anh Ho and Robert Howard and Joseph E. Knox and Leonard Kuan and Xiuli Kuang and J{\'{e}}r{\^{o}}me A. Lecoq and Phil Lesnar and Yaoyao Li and Jennifer Luviano and Stephen McConoughey and Marty T. Mortrud and Maitham Naeemi and Lydia Ng and Seung{-}Wook Oh and Benjamin Ouellette and Elise Shen and Staci A. Sorensen and Wayne Wakeman and Quanxin Wang and Yun Wang and Ali Williford and John W. Phillips and Allan R. Jones and Christof Koch and Hongkui Zeng}, title = {Hierarchical organization of cortical and thalamic connectivity}, journal = {Nat.}, volume = {575}, number = {7781}, pages = {195--202}, year = {2019}, url = {https://doi.org/10.1038/s41586-019-1716-z}, doi = {10.1038/S41586-019-1716-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/HarrisMHWCBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeW19, author = {Chulhee Lee and Seongyoun Woo}, title = {Linear classifier design in the weight space}, journal = {Pattern Recognit.}, volume = {88}, pages = {210--222}, year = {2019}, url = {https://doi.org/10.1016/j.patcog.2018.11.024}, doi = {10.1016/J.PATCOG.2018.11.024}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeeW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AnchangPKRJKLSD19, author = {Julius Y. Anchang and Lara Prihodko and Armel T. Kaptu{\'{e}} and Christopher W. Ross and Wenjie Ji and Sanath Sathyachandran Kumar and Brianna Lind and Mamadou A. Sarr and Abdoul Aziz Diouf and Niall P. Hanan}, title = {Trends in Woody and Herbaceous Vegetation in the Savannas of West Africa}, journal = {Remote. Sens.}, volume = {11}, number = {5}, pages = {576}, year = {2019}, url = {https://doi.org/10.3390/rs11050576}, doi = {10.3390/RS11050576}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AnchangPKRJKLSD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BouvetTBBCFGHMM19, author = {Marc Bouvet and Kurtis J. Thome and B{\'{e}}atrice Berthelot and Agnieszka Bialek and Jeffrey Czapla{-}Myers and Nigel P. Fox and Philippe Goryl and Patrice Henry and Lingling Ma and S{\'{e}}bastien Marcq and Aim{\'{e}} Meygret and Brian N. Wenny and Emma R. Woolliams}, title = {RadCalNet: {A} Radiometric Calibration Network for Earth Observing Imagers Operating in the Visible to Shortwave Infrared Spectral Range}, journal = {Remote. Sens.}, volume = {11}, number = {20}, pages = {2401}, year = {2019}, url = {https://doi.org/10.3390/rs11202401}, doi = {10.3390/RS11202401}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/BouvetTBBCFGHMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiC19, author = {Hojong Choi and Se{-}woon Choe}, title = {Acoustic Stimulation by Shunt-Diode Pre-Linearizer Using Very High Frequency Piezoelectric Transducer for Cancer Therapeutics}, journal = {Sensors}, volume = {19}, number = {2}, pages = {357}, year = {2019}, url = {https://doi.org/10.3390/s19020357}, doi = {10.3390/S19020357}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SiekanskiMMRSKK19, author = {Piotr Siekanski and Krzysztof Magda and Krzysztof Malowany and Jan Rutkiewicz and Adam Styk and Jakub Krzeslowski and Tomasz Kowaluk and Andrzej Zag{\'{o}}rski}, title = {On-Line Laser Triangulation Scanner for Wood Logs Surface Geometry Measurement}, journal = {Sensors}, volume = {19}, number = {5}, pages = {1074}, year = {2019}, url = {https://doi.org/10.3390/s19051074}, doi = {10.3390/S19051074}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SiekanskiMMRSKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MoonYRKSK19, author = {Yong{-}Hwan Moon and Jae{-}Wook Yoo and Young{-}Soo Ryu and Sang{-}Ho Kim and Kyung{-}Sub Son and Jin{-}Ku Kang}, title = {A 2.41-pJ/bit 5.4-Gb/s Dual-Loop Reference-Less {CDR} With Fully Digital Quarter-Rate Linear Phase Detector for Embedded DisplayPort}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {8}, pages = {2907--2920}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2906877}, doi = {10.1109/TCSI.2019.2906877}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MoonYRKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimPJH19, author = {Seon Wook Kim and Sewon Park and Jaeyung Jun and Youngsun Han}, title = {Design and Implementation of Display Stream Compression Decoder With Line Buffer Optimization}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {65}, number = {3}, pages = {322--328}, year = {2019}, url = {https://doi.org/10.1109/TCE.2019.2923989}, doi = {10.1109/TCE.2019.2923989}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimPJH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ShiW19, author = {Xiaofei Shi and David P. Woodruff}, title = {Sublinear Time Numerical Linear Algebra for Structured Matrices}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {4918--4925}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33014918}, doi = {10.1609/AAAI.V33I01.33014918}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ShiW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/NimmagaddaRWM19, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Neel Mani}, title = {Information System Guided Supply Chains and their Visual Analytics in Integrated Project Management}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2019, Curtin University, Perth, Australia, December 9-11, 2019}, pages = {60}, year = {2019}, url = {https://aisel.aisnet.org/acis2019/60}, timestamp = {Thu, 16 May 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/acis/NimmagaddaRWM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/LinCG19, author = {Zhiyuan Lin and Alex Chohlas{-}Wood and Sharad Goel}, editor = {Vincent Conitzer and Gillian K. Hadfield and Shannon Vallor}, title = {Guiding Prosecutorial Decisions with an Interpretable Statistical Model}, booktitle = {Proceedings of the 2019 {AAAI/ACM} Conference on AI, Ethics, and Society, {AIES} 2019, Honolulu, HI, USA, January 27-28, 2019}, pages = {469--476}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3306618.3314235}, doi = {10.1145/3306618.3314235}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aies/LinCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/NimmagaddaRWC19, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Sashi K. Chitti}, title = {On Modelling Digital Healthcare Ecosystems and their Knowledge Management}, booktitle = {25th Americas Conference on Information Systems, {AMCIS} 2019, Canc{\'{u}}n, Mexico, August 15-17, 2019}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/amcis2019/healthcare\_it/healthcare\_it/2}, timestamp = {Wed, 21 Aug 2019 10:43:25 +0200}, biburl = {https://dblp.org/rec/conf/amcis/NimmagaddaRWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/YangGWLSSSH19, author = {Chen Yang and Tong Geng and Tianqi Wang and Charles Lin and Jiayi Sheng and Vipin Sachdeva and Woody Sherman and Martin C. Herbordt}, title = {Molecular Dynamics Range-Limited Force Evaluation Optimized for FPGAs}, booktitle = {30th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2019, New York, NY, USA, July 15-17, 2019}, pages = {263--271}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASAP.2019.00016}, doi = {10.1109/ASAP.2019.00016}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/YangGWLSSSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compgeom/BravermanCKWY19, author = {Vladimir Braverman and Moses Charikar and William Kuszmaul and David P. Woodruff and Lin F. Yang}, editor = {Gill Barequet and Yusu Wang}, title = {The One-Way Communication Complexity of Dynamic Time Warping Distance}, booktitle = {35th International Symposium on Computational Geometry, SoCG 2019, June 18-21, 2019, Portland, Oregon, {USA}}, series = {LIPIcs}, volume = {129}, pages = {16:1--16:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {https://doi.org/10.4230/LIPIcs.SoCG.2019.16}, doi = {10.4230/LIPICS.SOCG.2019.16}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/compgeom/BravermanCKWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedTB19, author = {Abdelrahman Abdelhamed and Radu Timofte and Michael S. Brown and Songhyun Yu and Bumjun Park and Jechang Jeong and Seung{-}Won Jung and Dong{-}Wook Kim and Jae Ryun Chung and Jiaming Liu and Yuzhi Wang and Chi{-}Hao Wu and Qin Xu and Chuan Wang and Shaofan Cai and Yifan Ding and Haoqiang Fan and Jue Wang and Kai Zhang and Wangmeng Zuo and Magauiya Zhussip and Dongwon Park and Shakarim Soltanayev and Se Young Chun and Zhiwei Xiong and Chang Chen and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Sung{-}Jea Ko and Dong{-}Pan Lim and Seung{-}Wook Kim and Seo{-}Won Ji and Sang{-}Won Lee and Wenyi Tang and Yuchen Fan and Yuqian Zhou and Ding Liu and Thomas S. Huang and Deyu Meng and Lei Zhang and Hongwei Yong and Yiyun Zhao and Pengliang Tang and Yue Lu and Raimondo Schettini and Simone Bianco and Simone Zini and Chi Li and Yang Wang and Zhiguo Cao}, title = {{NTIRE} 2019 Challenge on Real Image Denoising: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2197--2210}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Abdelhamed\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Denoising\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00273}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/BriesemeisterGD19, author = {Linda Briesemeister and Woodrow Gustafson and Grit Denker and April Martin and Karsten Martiny and Ron Moore and Dusko Pavlovic and Mark F. St. John}, editor = {Abbas Moallem}, title = {Policy Creation for Enterprise-Level Data Sharing}, booktitle = {{HCI} for Cybersecurity, Privacy and Trust - First International Conference, {HCI-CPT} 2019, Held as Part of the 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11594}, pages = {249--265}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22351-9\_17}, doi = {10.1007/978-3-030-22351-9\_17}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/BriesemeisterGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotos/RazaSCADJKMW19, author = {Ali Raza and Parul Sohal and James Cadden and Jonathan Appavoo and Ulrich Drepper and Richard Jones and Orran Krieger and Renato Mancuso and Larry Woodman}, title = {Unikernels: The Next Stage of Linux's Dominance}, booktitle = {Proceedings of the Workshop on Hot Topics in Operating Systems, HotOS 2019, Bertinoro, Italy, May 13-15, 2019}, pages = {7--13}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3317550.3321445}, doi = {10.1145/3317550.3321445}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotos/RazaSCADJKMW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ParkPAKKKN19, author = {WooHyun Park and DoJin Park and ByungJune Ahn and SeokHun Kang and HaengYeong Kim and RaeHyeon Kim and JaeHeum Na}, title = {Interactive {AI} for Linguistic Education Built on {VR} Environment Using User Generated Contents}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {385--389}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701950}, doi = {10.23919/ICACT.2019.8701950}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/ParkPAKKKN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenJJKKLLW19, author = {Jianli Chen and Iris Hui{-}Ru Jiang and Jinwook Jung and Andrew B. Kahng and Victor N. Kravets and Yih{-}Lang Li and Shih{-}Ting Lin and Mingyu Woo}, editor = {David Z. Pan}, title = {{DATC} {RDF-2019:} Towards a Complete Academic Reference Design Flow}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942120}, doi = {10.1109/ICCAD45719.2019.8942120}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenJJKKLLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/OlszewskiTWLL19, author = {Kyle Olszewski and Sergey Tulyakov and Oliver J. Woodford and Hao Li and Linjie Luo}, title = {Transformable Bottleneck Networks}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {7647--7656}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00774}, doi = {10.1109/ICCV.2019.00774}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/OlszewskiTWLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/IgnatovKSRXHDYL19, author = {Andrey Ignatov and Praveen Kandula and Maitreya Suin and A. N. Rajagopalan and Zhiwei Xiong and Jie Huang and Guanting Dong and Mingde Yao and Dong Liu and Wenjin Yang and Ming Hong and Wenying Lin and Jagruti Patel and Yanyun Qu and Jae{-}Seok Choi and Woonsung Park and Munchurl Kim and Rui Liu and Xiangyu Mao and Chengxi Yang and Qiong Yan and Wenxiu Sun and Junkai Fang and Radu Timofte and Meimei Shang and Fei Gao and Sujoy Ghosh and Prasen Kumar Sharma and Arijit Sur and Bolun Zheng and Xin Ye and Li Huang and Xiang Tian and Saikat Dutta and Kuldeep Purohit}, title = {{AIM} 2019 Challenge on Bokeh Effect Synthesis: Methods and Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3591--3598}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00444}, doi = {10.1109/ICCVW.2019.00444}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/IgnatovKSRXHDYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icite/KimWYS19, author = {Sanggi Kim and Rinara Woo and Eun{-}Ju Yang and Dae{-}Wha Seo}, title = {Real Time Multi-lane Detection Using Relevant Lines Based on Line Labeling Method}, booktitle = {4th International Conference on Intelligent Transportation Engineering, {ICITE} 2019, Singapore, September 5-7, 2019}, pages = {301--305}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICITE.2019.8880189}, doi = {10.1109/ICITE.2019.8880189}, timestamp = {Tue, 31 Mar 2020 17:12:46 +0200}, biburl = {https://dblp.org/rec/conf/icite/KimWYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/AnLCMY19, author = {Inkyu An and Doheon Lee and Jung{-}Woo Choi and Dinesh Manocha and Sung{-}Eui Yoon}, title = {Diffraction-Aware Sound Localization for a Non-Line-of-Sight Source}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {4061--4067}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8794093}, doi = {10.1109/ICRA.2019.8794093}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/AnLCMY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLLS19, author = {Kwang{-}Yul Kim and Sang Hoon Lee and Seung{-}Woo Lee and Yoan Shin}, title = {Multiple Linear Chirp-Based Partial-Band Chirp Jamming for Chirp Spread Spectrum Systems}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1088--1090}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939918}, doi = {10.1109/ICTC46691.2019.8939918}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeNLY19, author = {Jaeyong Lee and Jaeho Noh and Sungon Lee and Woosung Yang}, title = {A Novel 4-DoF Robotic Link Mechanism with E-CoSMo: Kinematics Based Torque Analysis}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {3577--3582}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967851}, doi = {10.1109/IROS40897.2019.8967851}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeNLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgteurope/MansorTGYW19, author = {Mohd Nazrin Mansor and Wooi{-}Nee Tan and Ming{-}Tao Gan and Sook{-}Chin Yip and Hwee Ling Wong}, title = {Optimal Scheduling of Dynamic Energy Demand in Smart Grid Using Time-slotting Linear Programming}, booktitle = {2019 {IEEE} {PES} Innovative Smart Grid Technologies Europe, ISGT-Europe 2019, Bucharest, Romania, September 29 - October 2, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISGTEurope.2019.8905601}, doi = {10.1109/ISGTEUROPE.2019.8905601}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isgteurope/MansorTGYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/ChoiKKK19, author = {Hyeyeon Choi and Gyogwon Koo and Bum Jun Kim and Sang Woo Kim}, title = {Real-time Power Line Detection Network using Visible Light and Infrared Images}, booktitle = {2019 International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2019, Dunedin, New Zealand, December 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IVCNZ48456.2019.8961002}, doi = {10.1109/IVCNZ48456.2019.8961002}, timestamp = {Wed, 05 Feb 2020 17:19:08 +0100}, biburl = {https://dblp.org/rec/conf/ivcnz/ChoiKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/ParkMWMLR19, author = {Jung{-}Woo Noel Park and Steven Mills and Hemi Whaanga and Paora Mato and Robert W. Lindeman and Holger Regenbrecht}, title = {Towards a M{\={a}}ori Telepresence System}, booktitle = {2019 International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2019, Dunedin, New Zealand, December 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IVCNZ48456.2019.8961016}, doi = {10.1109/IVCNZ48456.2019.8961016}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivcnz/ParkMWMLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/NimmagaddaRW19, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood}, editor = {Imre J. Rudas and J{\'{a}}nos Csirik and Carlos Toro and J{\'{a}}nos Botzheim and Robert J. Howlett and Lakhmi C. Jain}, title = {On Modelling Big Data Guided Supply Chains in Knowledge-Base Geographic Information Systems}, booktitle = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 23rd International Conference KES-2019, Budapest, Hungary, 4-6 September 2019}, series = {Procedia Computer Science}, volume = {159}, pages = {1155--1164}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.09.284}, doi = {10.1016/J.PROCS.2019.09.284}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/kes/NimmagaddaRW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KimKTLB19, author = {Gain Kim and Woohyun Kwon and Thomas Toifl and Yusuf Leblebici and Hyeon{-}Min Bae}, editor = {Hoi Lee and Randall L. Geiger}, title = {Design Considerations and Performance Trade-Offs for 56Gb/s Discrete Multi-Tone Electrical Link}, booktitle = {62nd {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2019, Dallas, TX, USA, August 4-7, 2019}, pages = {1147--1150}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MWSCAS.2019.8885299}, doi = {10.1109/MWSCAS.2019.8885299}, timestamp = {Wed, 06 Nov 2019 15:39:18 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KimKTLB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WuHWLWKCWFL19, author = {Wei{-}Li Wu and Cheng{-}Yi Huang and Huai{-}Yung Wang and Yu{-}Hong Lin and Cheng{-}Han Wu and Hao{-}Chung Kuo and Wood{-}Hi Cheng and Chao{-}Hsin Wu and Milton Feng and Gong{-}Ru Lin}, title = {{VCSEL} with Bi-Layer Oxidized Aperture Enables 140-Gbit/s {OFDM} Transmission over 100-m-Long {OM5} {MMF}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696961}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WuHWLWKCWFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/JuanL19, author = {Shih{-}Jung Juan and Woo{-}Tsong Lin}, editor = {Kwok Kee Wei and Wayne Wei Huang and Jae Kyu Lee and Dongming Xu and James J. Jiang and Hee{-}Woong Kim}, title = {The Roles of Dynamic Capabilities and Supply Chain Resilience in Enabling Supply Chain Performance with Disruption Considerations}, booktitle = {23rd Pacific Asia Conference on Information Systems, {PACIS} 2019, X'ian, China, July 8-12, 2019}, pages = {24}, year = {2019}, url = {https://aisel.aisnet.org/pacis2019/24}, timestamp = {Fri, 06 Aug 2021 15:04:59 +0200}, biburl = {https://dblp.org/rec/conf/pacis/JuanL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/NimmagaddaRMW19, author = {Shastri L. Nimmagadda and Torsten Reiners and Neel Mani and Lincoln C. Wood}, editor = {Kwok Kee Wei and Wayne Wei Huang and Jae Kyu Lee and Dongming Xu and James J. Jiang and Hee{-}Woong Kim}, title = {On a Multidimensional Research Framework for Managing the Complex Disease Ecosystems}, booktitle = {23rd Pacific Asia Conference on Information Systems, {PACIS} 2019, X'ian, China, July 8-12, 2019}, pages = {11}, year = {2019}, url = {https://aisel.aisnet.org/pacis2019/11}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacis/NimmagaddaRMW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/NimmagaddaRWZ19, author = {Shastri L. Nimmagadda and Torsten Reiners and Lincoln C. Wood and Dengya Zhu}, editor = {Kwok Kee Wei and Wayne Wei Huang and Jae Kyu Lee and Dongming Xu and James J. Jiang and Hee{-}Woong Kim}, title = {On Big Data guided Unconventional Digital Ecosystems and their Knowledge Management}, booktitle = {23rd Pacific Asia Conference on Information Systems, {PACIS} 2019, X'ian, China, July 8-12, 2019}, pages = {7}, year = {2019}, url = {https://aisel.aisnet.org/pacis2019/7}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacis/NimmagaddaRWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/SuCHWLSCL19, author = {Zih{-}Chun Su and Chih{-}Hsien Cheng and Bo{-}Ji Huang and Huai{-}Yung Wang and Chun{-}Nien Liu and Tien{-}Tsorng Shih and Wood{-}Hi Cheng and Gong{-}Ru Lin}, title = {Filtering of Mixed Data Streams with Orthogonal Polarization up to 50 Gbps in Micro-Ring/Bus Waveguide}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817775}, doi = {10.23919/PS.2019.8817775}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/SuCHWLSCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ricai/YangSO19, author = {Songwen Yang and Ling Sha and Junchen Ou}, title = {Woodworking Saw Blade Size Detection System Based on Machine Vision}, booktitle = {{RICAI} 2019: International Conference on Robotics, Intelligent Control and Artificial Intelligence, Shanghai, China, 20-22 September, 2019}, pages = {41--45}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3366194.3366202}, doi = {10.1145/3366194.3366202}, timestamp = {Thu, 02 Jun 2022 16:09:55 +0200}, biburl = {https://dblp.org/rec/conf/ricai/YangSO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KarlinPSWSBBCCC19, author = {Ian Karlin and Yoonho Park and Bronis R. de Supinski and Peng Wang and Bert Still and David Beckingsale and Robert Blake and Tong Chen and Guojing Cong and Carlos H. A. Costa and Johann Dahm and Giacomo Domeniconi and Thomas Epperly and Aaron Fisher and Sara Kokkila Schumacher and Steven H. Langer and Hai Le and Eun Kyung Lee and Naoya Maruyama and Xinyu Que and David F. Richards and Bj{\"{o}}rn Sj{\"{o}}green and Jonathan Wong and Carol S. Woodward and Ulrike Meier Yang and Xiaohua Zhang and Bob Anderson and David Appelhans and Levi Barnes and Peter D. Barnes Jr. and Sorin Bastea and David B{\"{o}}hme and Jamie A. Bramwell and James M. Brase and Jos{\'{e}} R. Brunheroto and Barry Chen and Charway R. Cooper and Tony Degroot and Robert D. Falgout and Todd Gamblin and David J. Gardner and James N. Glosli and John A. Gunnels and Max P. Katz and Tzanio V. Kolev and I{-}Feng W. Kuo and Matthew P. LeGendre and Ruipeng Li and Pei{-}Hung Lin and Shelby Lockhart and Kathleen McCandless and Claudia Misale and Jaime H. Moreno and Rob Neely and Jarom Nelson and Rao Nimmakayala and Kathryn M. O'Brien and Kevin O'Brien and Ramesh Pankajakshan and Roger Pearce and Slaven Peles and Phil Regier and Steven C. Rennich and Martin Schulz and Howard Scott and James C. Sexton and Kathleen Shoga and Shiv Sundram and Guillaume Thomas{-}Collignon and Brian Van Essen and Alexey Voronin and Bob Walkup and Lu Wang and Chris Ward and Hui{-}Fang Wen and Daniel A. White and Christopher Young and Cyril Zeller and Edward Zywicz}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Preparation and optimization of a diverse workload for a large-scale heterogeneous system}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {32:1--32:17}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356192}, doi = {10.1145/3295500.3356192}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/KarlinPSWSBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/YangGWPXSWSLSSH19, author = {Chen Yang and Tong Geng and Tianqi Wang and Rushi Patel and Qingqing Xiong and Ahmed Sanaullah and Chunshu Wu and Jiayi Sheng and Charles Lin and Vipin Sachdeva and Woody Sherman and Martin C. Herbordt}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Fully integrated {FPGA} molecular dynamics simulations}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {67:1--67:31}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356179}, doi = {10.1145/3295500.3356179}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/YangGWPXSWSLSSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socrob/WaverenBCL19, author = {Sanne van Waveren and Linn{\'{e}}a Bj{\"{o}}rklund and Elizabeth J. Carter and Iolanda Leite}, editor = {Miguel A. Salichs and Shuzhi Sam Ge and Emilia Ivanova Barakova and John{-}John Cabibihan and Alan R. Wagner and {\'{A}}lvaro Castro Gonz{\'{a}}lez and Hongsheng He}, title = {Knock on Wood: The Effects of Material Choice on the Perception of Social Robots}, booktitle = {Social Robotics - 11th International Conference, {ICSR} 2019, Madrid, Spain, November 26-29, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11876}, pages = {211--221}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35888-4\_20}, doi = {10.1007/978-3-030-35888-4\_20}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socrob/WaverenBCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visualization/MagallanesGWV19, author = {Jessica Magallanes and Lindsey van Gemeren and Steven Wood and Maria{-}Cruz Villa{-}Uriol}, title = {Analyzing Time Attributes in Temporal Event Sequences}, booktitle = {30th {IEEE} Visualization Conference, {IEEE} {VIS} 2019 - Short Papers, Vancouver, BC, Canada, October 20-25, 2019}, pages = {201--205}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VISUAL.2019.8933770}, doi = {10.1109/VISUAL.2019.8933770}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visualization/MagallanesGWV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-01331, author = {Carleton Coffrin and James Arnold and Stephan J. Eidenbenz and Derek Aberle and John Ambrosiano and Zachary K. Baker and Sara Brambilla and Michael J. Brown and K. Nolan Carter and Pinghan Chu and Patrick Conry and Keeley Costigan and Ariane Eberhardt and David M. Fobes and Adam Gausmann and Sean N. Harris and Donovan Heimer and Marlin Holmes and Bill Junor and Csaba Kiss and Steve Linger and Rodman R. Linn and Li{-}Ta Lo and Jonathan MacCarthy and Omar Marcillo and Clay McGinnis and Alexander McQuarters and Eric Michalak and Arvind Mohan and Matt Nelson and Diane Oyen and Nidhi Parikh and Donatella Pasqualini and Aaron S. Pope and Reid B. Porter and Chris Rawlings and Hannah Reinbolt and Reid D. Rivenburgh and Philip Romero and Kevin Schoonover and Alexei N. Skurikhin and Daniel R. Tauritz and Dima Tretiak and Zhehui Wang and James Wernicke and Brad Wolfe and Phillip Wolfram and Jonathan Woodring}, title = {The {ISTI} Rapid Response on Exploring Cloud Computing 2018}, journal = {CoRR}, volume = {abs/1901.01331}, year = {2019}, url = {http://arxiv.org/abs/1901.01331}, eprinttype = {arXiv}, eprint = {1901.01331}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-01331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-03520, author = {Vladimir Braverman and Moses Charikar and William Kuszmaul and David P. Woodruff and Lin F. Yang}, title = {The One-Way Communication Complexity of Dynamic Time Warping Distance}, journal = {CoRR}, volume = {abs/1903.03520}, year = {2019}, url = {http://arxiv.org/abs/1903.03520}, eprinttype = {arXiv}, eprint = {1903.03520}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-03520.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-06458, author = {Kyle Olszewski and Sergey Tulyakov and Oliver J. Woodford and Hao Li and Linjie Luo}, title = {Transformable Bottleneck Networks}, journal = {CoRR}, volume = {abs/1904.06458}, year = {2019}, url = {http://arxiv.org/abs/1904.06458}, eprinttype = {arXiv}, eprint = {1904.06458}, timestamp = {Sun, 28 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-06458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-05359, author = {Chen Yang and Tong Geng and Tianqi Wang and Rushi Patel and Qingqing Xiong and Ahmed Sanaullah and Jiayi Sheng and Charles Lin and Vipin Sachdeva and Woody Sherman and Martin C. Herbordt}, title = {Fully Integrated On-FPGA Molecular Dynamics Simulations}, journal = {CoRR}, volume = {abs/1905.05359}, year = {2019}, url = {http://arxiv.org/abs/1905.05359}, eprinttype = {arXiv}, eprint = {1905.05359}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-05359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-00903, author = {Jessica Magallanes and Lindsey van Gemeren and Steven Wood and Maria{-}Cruz Villa{-}Uriol}, title = {Analyzing Time Attributes in Temporal Event Sequences}, journal = {CoRR}, volume = {abs/1908.00903}, year = {2019}, url = {http://arxiv.org/abs/1908.00903}, eprinttype = {arXiv}, eprint = {1908.00903}, timestamp = {Fri, 09 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-00903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-02212, author = {Mark Braverman and Elad Hazan and Max Simchowitz and Blake E. Woodworth}, title = {The gradient complexity of linear regression}, journal = {CoRR}, volume = {abs/1911.02212}, year = {2019}, url = {http://arxiv.org/abs/1911.02212}, eprinttype = {arXiv}, eprint = {1911.02212}, timestamp = {Mon, 11 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-02212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-06060, author = {Xiaofei Shi and David P. Woodruff}, title = {Sublinear Time Numerical Linear Algebra for Structured Matrices}, journal = {CoRR}, volume = {abs/1912.06060}, year = {2019}, url = {http://arxiv.org/abs/1912.06060}, eprinttype = {arXiv}, eprint = {1912.06060}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-06060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/MaWPY18, author = {Shan Ma and Matthew J. Woolley and Ian R. Petersen and Naoki Yamamoto}, title = {Cascade and locally dissipative realizations of linear quantum systems for pure Gaussian state covariance assignment}, journal = {Autom.}, volume = {90}, pages = {263--270}, year = {2018}, url = {https://doi.org/10.1016/j.automatica.2017.12.061}, doi = {10.1016/J.AUTOMATICA.2017.12.061}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/MaWPY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/DuFHFC18, author = {Xiaochen Du and Hailin Feng and Mingyue Hu and Yiming Fang and Shengyong Chen}, title = {Three-dimensional stress wave imaging of wood internal defects using TKriging method}, journal = {Comput. Electron. Agric.}, volume = {148}, pages = {63--71}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2018.03.005}, doi = {10.1016/J.COMPAG.2018.03.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/DuFHFC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/HongZZ18, author = {Se{-}Woon Hong and Lingying Zhao and Heping Zhu}, title = {{CFD} simulation of airflow inside tree canopies discharged from air-assisted sprayers}, journal = {Comput. Electron. Agric.}, volume = {149}, pages = {121--132}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2017.07.011}, doi = {10.1016/J.COMPAG.2017.07.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/HongZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/HongZZ18a, author = {Se{-}Woon Hong and Lingying Zhao and Heping Zhu}, title = {SAAS, a computer program for estimating pesticide spray efficiency and drift of air-assisted pesticide applications}, journal = {Comput. Electron. Agric.}, volume = {155}, pages = {58--68}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2018.09.031}, doi = {10.1016/J.COMPAG.2018.09.031}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/HongZZ18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/BehrischBKSEFSD18, author = {Michael Behrisch and Michael Blumenschein and Nam Wook Kim and Lin Shao and Mennatallah El{-}Assady and Johannes Fuchs and Daniel Seebacher and Alexandra Diehl and Ulrik Brandes and Hanspeter Pfister and Tobias Schreck and Daniel Weiskopf and Daniel A. Keim}, title = {Quality Metrics for Information Visualization}, journal = {Comput. Graph. Forum}, volume = {37}, number = {3}, pages = {625--662}, year = {2018}, url = {https://doi.org/10.1111/cgf.13446}, doi = {10.1111/CGF.13446}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/BehrischBKSEFSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/MoonCYBSC18, author = {Woo Kyung Moon and I{-}Ling Chen and Ann Yi and Min Sun Bae and Sung Ui Shin and Ruey{-}Feng Chang}, title = {Computer-aided prediction model for axillary lymph node metastasis in breast cancer using tumor morphological and textural features on ultrasound}, journal = {Comput. Methods Programs Biomed.}, volume = {162}, pages = {129--137}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2018.05.011}, doi = {10.1016/J.CMPB.2018.05.011}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/MoonCYBSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/SooLMW18, author = {Wooi{-}King Soo and Teck Chaw Ling and Aung Htein Maw and Su Thawda Win}, title = {Survey on Load-Balancing Methods in 802.11 Infrastructure Mode Wireless Networks for Improving Quality of Service}, journal = {{ACM} Comput. Surv.}, volume = {51}, number = {2}, pages = {34:1--34:21}, year = {2018}, url = {https://doi.org/10.1145/3172868}, doi = {10.1145/3172868}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/SooLMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firstmonday/JiowACELLNW18, author = {Hee Jhee Jiow and Rayvinder Jit Singh Athwal and Ling Ling Chew and Muhammad Helmi Elias and Nina Lim and Qin Ting Lye and Xin Yu Ng and Kenneth Woo}, title = {Perceptions of video gaming careers and its implications on parental mediation}, journal = {First Monday}, volume = {23}, number = {2}, year = {2018}, url = {https://doi.org/10.5210/fm.v23i2.8048}, doi = {10.5210/FM.V23I2.8048}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firstmonday/JiowACELLNW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KangKPLP18, author = {Woo{-}Geun Kang and Tae{-}Hong Kim and Sung{-}Won Park and Il{-}Yong Lee and Jeong{-}Ki Pack}, title = {Modeling of Effective Path-Length Based on Rain Cell Statistics for Total Attenuation Prediction in Satellite Link}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {12}, pages = {2483--2486}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2018.2873007}, doi = {10.1109/LCOMM.2018.2873007}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KangKPLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/ZhangLTYWST18, author = {Xiao{-}Zhi Zhang and Bingo Wing{-}Kuen Ling and Ran Tao and Zhijing Yang and Wai Lok Woo and Saeid Sanei and Kok Lay Teo}, title = {Optimal design of orders of DFrFTs for sparse representations}, journal = {{IET} Signal Process.}, volume = {12}, number = {8}, pages = {1023--1033}, year = {2018}, url = {https://doi.org/10.1049/iet-spr.2017.0283}, doi = {10.1049/IET-SPR.2017.0283}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/ZhangLTYWST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/NaLDVMC18, author = {Woongsoo Na and Yunseong Lee and Nhu{-}Ngoc Dao and Duc{-}Nghia Vu and Arooj Masood and Sungrae Cho}, title = {Directional Link Scheduling for Real-Time Data Processing in Smart Manufacturing System}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {5}, pages = {3661--3671}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2018.2865756}, doi = {10.1109/JIOT.2018.2865756}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/NaLDVMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhuC0ZK18, author = {Konglin Zhu and Zhicheng Chen and Lin Zhang and Yuan Zhang and Sang{-}Wook Kim}, title = {Geo-cascading and community-cascading in social networks: Comparative analysis and its implications to edge caching}, journal = {Inf. Sci.}, volume = {436-437}, pages = {1--12}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2018.01.012}, doi = {10.1016/J.INS.2018.01.012}, timestamp = {Fri, 13 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhuC0ZK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jche/WoodMS18, author = {Eileen Wood and Amna Mirza and Lindsay Shaw}, title = {Using technology to promote classroom instruction: assessing incidences of on-task and off-task multitasking and learning}, journal = {J. Comput. High. Educ.}, volume = {30}, number = {3}, pages = {553--571}, year = {2018}, url = {https://doi.org/10.1007/s12528-018-9185-1}, doi = {10.1007/S12528-018-9185-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jche/WoodMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinSQRWC18, author = {Jianfu Lin and Zheng Song and Nan Qi and Woogeun Rhee and Zhihua Wang and Baoyong Chi}, title = {A 77-GHz Mixed-Mode {FMCW} Signal Generator Based on Bang-Bang Phase Detector}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2850--2863}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2856248}, doi = {10.1109/JSSC.2018.2856248}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinSQRWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/HaroonWHNWMB18, author = {Shamil Haroon and Darren Wooldridge and Jan Hoogewerf and Krishnarajah Nirantharakumar and John Williams and Lina Martino and Neeraj Bhala}, title = {Information standards for recording alcohol use in electronic health records: findings from a national consultation}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {36:1--36:11}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0612-z}, doi = {10.1186/S12911-018-0612-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/HaroonWHNWMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZouZCMLLLY18, author = {Jie Zou and Yinguo Zhuang and Francesco Chianucci and Chunna Mai and Weimu Lin and Peng Leng and Shezhou Luo and Bojie Yan}, title = {Comparison of Seven Inversion Models for Estimating Plant and Woody Area Indices of Leaf-on and Leaf-off Forest Canopy Using Explicit 3D Forest Scenes}, journal = {Remote. Sens.}, volume = {10}, number = {8}, pages = {1297}, year = {2018}, url = {https://doi.org/10.3390/rs10081297}, doi = {10.3390/RS10081297}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZouZCMLLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/BellinghamDFFFJ18, author = {James G. Bellingham and Pierre E. Dupont and Peer Fischer and Luciano Floridi and Robert J. Full and Neil Jacobstein and Vijay Kumar and Marcia McNutt and Robert D. Merrifield and Bradley J. Nelson and Brian Scassellati and Mariarosaria Taddeo and Russell H. Taylor and Manuela M. Veloso and Zhong Lin Wang and Robert J. Wood}, title = {The grand challenges of \emph{Science Robotics}}, journal = {Sci. Robotics}, volume = {3}, number = {14}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aar7650}, doi = {10.1126/SCIROBOTICS.AAR7650}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/BellinghamDFFFJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoeC18, author = {Se{-}woon Choe and Hojong Choi}, title = {Suppression Technique of HeLa Cell Proliferation Using Ultrasonic Power Amplifiers Integrated with a Series-Diode Linearizer}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4248}, year = {2018}, url = {https://doi.org/10.3390/s18124248}, doi = {10.3390/S18124248}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoeC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKLKWKKLPL18, author = {Seungyeop Choi and Kwanhwi Ko and Jongwon Lim and Sung Hoon Kim and Sung{-}Hun Woo and Yoon Suk Kim and Jaehong Key and Sei Young Lee and Insu Park and Sang Woo Lee}, title = {Non-Linear Cellular Dielectrophoretic Behavior Characterization Using Dielectrophoretic Tweezers-Based Force Spectroscopy inside a Microfluidic Device}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3543}, year = {2018}, url = {https://doi.org/10.3390/s18103543}, doi = {10.3390/S18103543}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKLKWKKLPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Woo0BLC18, author = {Wai Lok Woo and Bin Gao and Ahmed Bouridane and Bingo Wing{-}Kuen Ling and Cheng Siong Chin}, title = {Unsupervised Learning for Monaural Source Separation Using Maximization-Minimization Algorithm with Time-Frequency Deconvolution}, journal = {Sensors}, volume = {18}, number = {5}, pages = {1371}, year = {2018}, url = {https://doi.org/10.3390/s18051371}, doi = {10.3390/S18051371}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Woo0BLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LyuPHKC18, author = {Hong{-}Kun Lyu and Chi{-}Ho Park and Dong{-}Hee Han and Seong Woo Kwak and Byeongdae Choi}, title = {Orchard Free Space and Center Line Estimation Using Naive Bayesian Classifier for Unmanned Ground Self-Driving Vehicle}, journal = {Symmetry}, volume = {10}, number = {9}, pages = {355}, year = {2018}, url = {https://doi.org/10.3390/sym10090355}, doi = {10.3390/SYM10090355}, timestamp = {Tue, 16 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LyuPHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ShinK18, author = {Dongwook Shin and Halil Kilicoglu}, title = {Linking Section Labels with Biomedical Relations in SemMedDB}, booktitle = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, publisher = {{AMIA}}, year = {2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402/t007-1.4262189/t007-1.4262190/2969147-1.4262419/2976673-1.4262416}, timestamp = {Wed, 17 Apr 2024 11:47:15 +0200}, biburl = {https://dblp.org/rec/conf/amia/ShinK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/BaileyHRLCMWMIW18, author = {Stevo Bailey and Jaeduk Han and Paul Rigge and Richard Lin and Eric Chang and Howard Mao and Zhongkai Wang and Chick Markley and Adam M. Izraelevitz and Angie Wang and Nathan Narevsky and Woo{-}Rham Bae and Steve Shauck and Sergio Montano and Justin Norsworthy and Munir Razzaque and Wen Hau Ma and Akalu Lentiro and Matthew Doerflein and Darin Heckendorn and Jim McGrath and Franco DeSeta and Ronen Shoham and Mike Stellfox and Mark Snowden and Joseph Cole and Dan Fuhrman and Brian C. Richards and Jonathan Bachrach and Elad Alon and Borivoje Nikolic}, title = {A Generated Multirate Signal Analysis {RISC-V} SoC in 16nm FinFET}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {285--288}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579326}, doi = {10.1109/ASSCC.2018.8579326}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/BaileyHRLCMWMIW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/KimWS18, author = {Kiyoung Kim and Hyunsoo Woo and Jungwook Suh}, title = {Design and Evaluation of a Continuum Robot with Discreted link joints for Cardiovascular Interventions}, booktitle = {7th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2018, Enschede, The Netherlands, August 26-29, 2018}, pages = {627--633}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOROB.2018.8487633}, doi = {10.1109/BIOROB.2018.8487633}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/KimWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WoodfordS18, author = {Nathaniel Woodford and Shreyas Sundaram}, title = {Sensor Selection and Removal for State Estimation of Linear Systems with Unknown Inputs}, booktitle = {57th {IEEE} Conference on Decision and Control, {CDC} 2018, Miami, FL, USA, December 17-19, 2018}, pages = {6662--6667}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CDC.2018.8618918}, doi = {10.1109/CDC.2018.8618918}, timestamp = {Fri, 04 Mar 2022 13:30:11 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WoodfordS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/WoonCDBCS18, author = {Fei Ting Woon and Yap{-}Seng Chong and Lourdes Mary Daniel and Birit F. P. Broekman and Shirong Cai and Suzy J. Styles}, editor = {Chuck Kalish and Martina A. Rau and Xiaojin (Jerry) Zhu and Timothy T. Rogers}, title = {Pre-Readers at the Alien Zoo: {A} Preregistered Study of the Predictors of Dyslexia and Linguistic Sound Symbolism in 6-year-olds}, booktitle = {Proceedings of the 40th Annual Meeting of the Cognitive Science Society, CogSci 2018, Madison, WI, USA, July 25-28, 2018}, publisher = {cognitivesciencesociety.org}, year = {2018}, url = {https://mindmodeling.org/cogsci2018/papers/0512/index.html}, timestamp = {Wed, 17 Apr 2024 12:43:20 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/WoonCDBCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/ParkPSSWYLCCHKP18, author = {Giseung Park and Sangwoo Park and Junyeong Seo and Jungho So and Woowan Wang and Seungmin Yoo and Seung{-}Chan Lim and Jihoon Chung and Myungsik Cho and Daehan Ha and Joonhyuk Kang and Hyuncheol Park and Youngchul Sung}, title = {5G K-Simulator: Link Level Simulator for 5G}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610463}, doi = {10.1109/DYSPAN.2018.8610463}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/ParkPSSWYLCCHKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTVLPNKCK18, author = {Andrey Ignatov and Radu Timofte and Thang Van Vu and Tung Minh Luu and Trung X. Pham and Cao Van Nguyen and Yongwoo Kim and Jae{-}Seok Choi and Munchurl Kim and Jie Huang and Jiewen Ran and Chen Xing and Xingguang Zhou and Pengfei Zhu and Mingrui Geng and Yawei Li and Eirikur Agustsson and Shuhang Gu and Luc Van Gool and Etienne de Stoutz and Nikolay Kobyshev and Kehui Nie and Yan Zhao and Gen Li and Tong Tong and Qinquan Gao and Hanwen Liu and Pablo Navarrete Michelini and Dan Zhu and Hu Fengshuo and Zheng Hui and Xiumei Wang and Lirui Deng and Rang Meng and Jinghui Qin and Yukai Shi and Wushao Wen and Liang Lin and Ruicheng Feng and Shixiang Wu and Chao Dong and Yu Qiao and Subeesh Vasu and Thekke Madam Nimisha and Praveen Kandula and A. N. Rajagopalan and Jie Liu and Cheolkon Jung}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {{PIRM} Challenge on Perceptual Image Enhancement on Smartphones: Report}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {315--333}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_20}, doi = {10.1007/978-3-030-11021-5\_20}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTVLPNKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/WuHQFPSHKLCFW18, author = {Cheng{-}Han Wu and Ting{-}Yu Huang and Junyi Qiu and Wenning Fu and Chun{-}Yen Peng and Tien{-}Tsorng Shih and Jian{-}Jang Huang and Hao{-}Chung Kuo and Gong{-}Ru Lin and Wood{-}Hi Cheng and Milton Feng and Chao{-}Hsin Wu}, title = {50 Gb/s Error-Free Data Transmission Using a {NRZ-OOK} Modulated 850 nm {VCSEL}}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535442}, doi = {10.1109/ECOC.2018.8535442}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/WuHQFPSHKLCFW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/DongDJSZHIW18, author = {Chris Dong and Lingzhi Du and Feiran Ji and Zizhen Song and Yuedi Zheng and Alexander Howard and Paul Intrevado and Diane Woodbridge}, title = {Forecasting Smart Meter Energy Usage Using Distributed Systems and Machine Learning}, booktitle = {20th {IEEE} International Conference on High Performance Computing and Communications; 16th {IEEE} International Conference on Smart City; 4th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2018, Exeter, United Kingdom, June 28-30, 2018}, pages = {1293--1298}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2018.00216}, doi = {10.1109/HPCC/SMARTCITY/DSS.2018.00216}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/DongDJSZHIW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/NealSW18, author = {Tempestt J. Neal and Kalaivani Sundararajan and Damon L. Woodard}, title = {Exploiting Linguistic Style as a Cognitive Biometric for Continuous Verification}, booktitle = {2018 International Conference on Biometrics, {ICB} 2018, Gold Coast, Australia, February 20-23, 2018}, pages = {270--276}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICB2018.2018.00048}, doi = {10.1109/ICB2018.2018.00048}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icb/NealSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/BravermanCKLWY18, author = {Vladimir Braverman and Stephen R. Chestnut and Robert Krauthgamer and Yi Li and David P. Woodruff and Lin F. Yang}, editor = {Jennifer G. Dy and Andreas Krause}, title = {Matrix Norms in Data Streams: Faster, Multi-Pass and Row-Order}, booktitle = {Proceedings of the 35th International Conference on Machine Learning, {ICML} 2018, Stockholmsm{\"{a}}ssan, Stockholm, Sweden, July 10-15, 2018}, series = {Proceedings of Machine Learning Research}, volume = {80}, pages = {648--657}, publisher = {{PMLR}}, year = {2018}, url = {http://proceedings.mlr.press/v80/braverman18a.html}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/BravermanCKLWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKLJY18, author = {Dae{-}Wook Kim and Hyoungjin Kwon and Sang{-}Kwang Lee and Wooyoung Jeong and Seong{-}Il Yang}, title = {Social Link Prediction and Feature Analysis in Mobile Game}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {906--909}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539486}, doi = {10.1109/ICTC.2018.8539486}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimKLJY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiK18, author = {Sung{-}Woo Choi and Ilgyu Kim}, title = {Link Level Simulation of {MHN-E} System}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {851--854}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436638}, doi = {10.1109/ICUFN.2018.8436638}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HuangCLD18, author = {Shaojia Huang and Tze Wood Ching and Wenlong Li and Baoqing Deng}, title = {Overview of Linear Motors for Transportation Applications}, booktitle = {27th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2018, Cairns, Australia, June 13-15, 2018}, pages = {150--154}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIE.2018.8433682}, doi = {10.1109/ISIE.2018.8433682}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/HuangCLD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoLSK18, author = {Keewon Cho and Young{-}Woo Lee and Sungyoul Seo and Sungho Kang}, title = {2-D Failure Bitmap Compression Using Line Fault Marking Method}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {21--22}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649886}, doi = {10.1109/ISOCC.2018.8649886}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChoLSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/WareBGHHJLMWWHB18, author = {Frederick A. Ware and Javier Bueno and Liji Gopalakrishnan and Brent Haukness and Chris Haywood and Toni Juan and Eric Linstadt and Sally A. McKee and Steven C. Woo and Kenneth L. Wright and Craig Hampel and Gary Bronner}, editor = {Bruce L. Jacob}, title = {Architecting a hardware-managed hybrid {DIMM} optimized for cost/performance}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2018, Old Town Alexandria, VA, USA, October 01-04, 2018}, pages = {327--340}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240302.3240303}, doi = {10.1145/3240302.3240303}, timestamp = {Fri, 13 Nov 2020 09:24:44 +0100}, biburl = {https://dblp.org/rec/conf/memsys/WareBGHHJLMWWHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LinCSXJ18, author = {Feng Lin and Kun Woo Cho and Chen Song and Wenyao Xu and Zhanpeng Jin}, editor = {J{\"{o}}rg Ott and Falko Dressler and Stefan Saroiu and Prabal Dutta}, title = {Brain Password: {A} Secure and Truly Cancelable Brain Biometrics for Smart Headwear}, booktitle = {Proceedings of the 16th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2018, Munich, Germany, June 10-15, 2018}, pages = {296--309}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210240.3210344}, doi = {10.1145/3210240.3210344}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/LinCSXJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/WooKCK18, author = {Sanghyun Woo and Dahun Kim and Donghyeon Cho and In So Kweon}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {LinkNet: Relational Embedding for Scene Graph}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {558--568}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/58238e9ae2dd305d79c2ebc8c1883422-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/WooKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/LaiSL18, author = {Shihchuan Lai and Jialang Seng and Wootsong Lin}, editor = {Masaaki Hirano and Michael D. Myers and Kyoichi Kijima and Motonari Tanabu and Dai Senoo}, title = {Prediction of financial distress with text mining and hidden Markov model}, booktitle = {22nd Pacific Asia Conference on Information Systems, {PACIS} 2018, Yokohama, Japan, June 26-30, 2018}, pages = {203}, year = {2018}, url = {https://aisel.aisnet.org/pacis2018/203}, timestamp = {Wed, 07 Nov 2018 09:42:06 +0100}, biburl = {https://dblp.org/rec/conf/pacis/LaiSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimJSRW18, author = {Seoyeon Kim and Jinman Jung and Taeil Son and Changhyung Ryu and Heesung Woo}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Implement of mobile server based on micro-webpage for multi-lingual support menu}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {132--133}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264804}, doi = {10.1145/3264746.3264804}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/KimJSRW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SaputraWK18, author = {Azhar Aulia Saputra and Jinseok Woo and Naoyuki Kubota}, title = {Optimization Model of Fast and Untrapped Neural Based Inverse Kinematic: Implementation on Multiple-Links Planar Robot}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {856--861}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00153}, doi = {10.1109/SMC.2018.00153}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/SaputraWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/Choi0KPS18, author = {Woong Choi and Jongsun Park and Hoonki Kim and Changnam Park and Taejoong Song}, title = {Half-and-Half Compare Content Addressable Memory with Charge-Sharing Based Selective Match-Line Precharge Scheme}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {17--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502311}, doi = {10.1109/VLSIC.2018.8502311}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/Choi0KPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/MorrisHRSHPHW18, author = {Derek L. Morris III and Matthew D. Hill and Lilshay M. Rogers and Alma D. Salisbury and Hagen C. Hodgkins and Sincere J. Ponton and Linda B. Hayden and Jeffrey A. Wood}, editor = {Sergiu Sanielevici}, title = {Establishing Paradigms for Modifying and Developing the Workforce Development Section of the Science Gateways Community Institute Site}, booktitle = {Proceedings of the Practice and Experience on Advanced Research Computing, {PEARC} 2018, Pittsburgh, PA, USA, July 22-26, 2018}, pages = {67:1--67:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3219104.3229284}, doi = {10.1145/3219104.3229284}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xsede/MorrisHRSHPHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-07651, author = {Alexander Kott and Benjamin A. Blakely and Diane Henshel and Gregory Wehner and James Rowell and Nathaniel Evans and Luis Mu{\~{n}}oz{-}Gonz{\'{a}}lez and Nandi Leslie and Donald W. French and Donald Woodard and Kerry Krutilla and Amanda Joyce and Igor Linkov and Carmen Mas Machuca and Janos Sztipanovits and Hugh Harney and Dennis Kergl and Perri Nejib and Edward Yakabovicz and Steven Noel and Tim Dudman and Pierre Trepagnier and Sowdagar Badesha and Alfred M{\o}ller}, title = {Approaches to Enhancing Cyber Resilience: Report of the North Atlantic Treaty Organization {(NATO)} Workshop {IST-153}}, journal = {CoRR}, volume = {abs/1804.07651}, year = {2018}, url = {http://arxiv.org/abs/1804.07651}, eprinttype = {arXiv}, eprint = {1804.07651}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-07651.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-03765, author = {Vladimir Braverman and Petros Drineas and Cameron Musco and Christopher Musco and Jalaj Upadhyay and David P. Woodruff and Samson Zhou}, title = {Near Optimal Linear Algebra in the Online and Sliding Window Models}, journal = {CoRR}, volume = {abs/1805.03765}, year = {2018}, url = {http://arxiv.org/abs/1805.03765}, eprinttype = {arXiv}, eprint = {1805.03765}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-03765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-01462, author = {Anh{-}Duc Nguyen and Woojae Kim and Jongyoo Kim and Sanghoon Lee}, title = {Video Frame Interpolation by Plug-and-Play Deep Locally Linear Embedding}, journal = {CoRR}, volume = {abs/1807.01462}, year = {2018}, url = {http://arxiv.org/abs/1807.01462}, eprinttype = {arXiv}, eprint = {1807.01462}, timestamp = {Thu, 03 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-01462.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-07524, author = {Inkyu An and Doheon Lee and Jung{-}Woo Choi and Dinesh Manocha and Sung{-}Eui Yoon}, title = {Diffraction-Aware Sound Localization for a Non-Line-of-Sight Source}, journal = {CoRR}, volume = {abs/1809.07524}, year = {2018}, url = {http://arxiv.org/abs/1809.07524}, eprinttype = {arXiv}, eprint = {1809.07524}, timestamp = {Mon, 04 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-07524.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01641, author = {Andrey Ignatov and Radu Timofte and Thang Van Vu and Tung Minh Luu and Trung X. Pham and Cao Van Nguyen and Yongwoo Kim and Jae{-}Seok Choi and Munchurl Kim and Jie Huang and Jiewen Ran and Chen Xing and Xingguang Zhou and Pengfei Zhu and Mingrui Geng and Yawei Li and Eirikur Agustsson and Shuhang Gu and Luc Van Gool and Etienne de Stoutz and Nikolay Kobyshev and Kehui Nie and Yan Zhao and Gen Li and Tong Tong and Qinquan Gao and Hanwen Liu and Pablo Navarrete Michelini and Dan Zhu and Hu Fengshuo and Zheng Hui and Xiumei Wang and Lirui Deng and Rang Meng and Jinghui Qin and Yukai Shi and Wushao Wen and Liang Lin and Ruicheng Feng and Shixiang Wu and Chao Dong and Yu Qiao and Subeesh Vasu and Thekke Madam Nimisha and Praveen Kandula and A. N. Rajagopalan and Jie Liu and Cheolkon Jung}, title = {{PIRM} Challenge on Perceptual Image Enhancement on Smartphones: Report}, journal = {CoRR}, volume = {abs/1810.01641}, year = {2018}, url = {http://arxiv.org/abs/1810.01641}, eprinttype = {arXiv}, eprint = {1810.01641}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-06410, author = {Sanghyun Woo and Dahun Kim and Donghyeon Cho and In So Kweon}, title = {LinkNet: Relational Embedding for Scene Graph}, journal = {CoRR}, volume = {abs/1811.06410}, year = {2018}, url = {http://arxiv.org/abs/1811.06410}, eprinttype = {arXiv}, eprint = {1811.06410}, timestamp = {Sun, 25 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-06410.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JinWL17, author = {Haijing Jin and Ying{-}Wooi Wan and Zhandong Liu}, title = {Comprehensive evaluation of RNA-seq quantification methods for linearity}, journal = {{BMC} Bioinform.}, volume = {18}, number = {{S-4}}, pages = {51--59}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1526-y}, doi = {10.1186/S12859-017-1526-Y}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/JinWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/ByunWK17, author = {Jaewook Byun and Sungpil Woo and Daeyoung Kim}, title = {Efficient and privacy-enhanced object traceability based on unified and linked {EPCIS} events}, journal = {Comput. Ind.}, volume = {89}, pages = {35--49}, year = {2017}, url = {https://doi.org/10.1016/j.compind.2017.04.001}, doi = {10.1016/J.COMPIND.2017.04.001}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/ByunWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/WoodsML17, author = {David C. Woods and James M. McGree and Susan M. Lewis}, title = {Model selection via Bayesian information capacity designs for generalised linear models}, journal = {Comput. Stat. Data Anal.}, volume = {113}, pages = {226--238}, year = {2017}, url = {https://doi.org/10.1016/j.csda.2016.10.025}, doi = {10.1016/J.CSDA.2016.10.025}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/WoodsML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/disopt/WoodsPS17, author = {Brad D. Woods and Abraham P. Punnen and Tamon Stephen}, title = {A linear time algorithm for the -neighbour Travelling Salesman Problem on a Halin graph and extensions}, journal = {Discret. Optim.}, volume = {26}, pages = {163--182}, year = {2017}, url = {https://doi.org/10.1016/j.disopt.2017.08.005}, doi = {10.1016/J.DISOPT.2017.08.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/disopt/WoodsPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/MoonPHK17, author = {Ilkyeong Moon and Kun Soo Park and Jing Hao and Dongwook Kim}, title = {Joint decisions on product line selection, purchasing, and pricing}, journal = {Eur. J. Oper. Res.}, volume = {262}, number = {1}, pages = {207--216}, year = {2017}, url = {https://doi.org/10.1016/j.ejor.2017.03.062}, doi = {10.1016/J.EJOR.2017.03.062}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/MoonPHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimLHLKKS17, author = {Kwang{-}Yul Kim and Seung{-}Woo Lee and Yu{-}Min Hwang and Jae{-}Seang Lee and Yong Sin Kim and Jin{-}Young Kim and Yoan Shin}, title = {Correlation-Based Optimal Chirp Rate Allocation for Chirp Spread Spectrum Using Multiple Linear Chirps}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {4}, pages = {1088--1091}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.1088}, doi = {10.1587/TRANSFUN.E100.A.1088}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimLHLKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MunSSKHK17, author = {Seongkyu Mun and Minkyu Shin and Suwon Shon and Wooil Kim and David K. Han and Hanseok Ko}, title = {{DNN} Transfer Learning Based Non-Linear Feature Extraction for Acoustic Event Classification}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {9}, pages = {2249--2252}, year = {2017}, url = {https://doi.org/10.1587/transinf.2017EDL8048}, doi = {10.1587/TRANSINF.2017EDL8048}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MunSSKHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HamedaniK17, author = {Masoud Reyhani Hamedani and Sang{-}Wook Kim}, title = {JacSim: An accurate and efficient link-based similarity measure in graphs}, journal = {Inf. Sci.}, volume = {414}, pages = {203--224}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2017.06.005}, doi = {10.1016/J.INS.2017.06.005}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/HamedaniK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgt/JiaW17, author = {Bin Jia and David R. Wood}, title = {Hadwiger's Conjecture for {\(\mathscr{l}\)}-Link Graphs}, journal = {J. Graph Theory}, volume = {84}, number = {4}, pages = {460--476}, year = {2017}, url = {https://doi.org/10.1002/jgt.22035}, doi = {10.1002/JGT.22035}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgt/JiaW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/ShresthaLKC17, author = {Sujan Shrestha and Jung{-}Jae Lee and Sun{-}Woong Kim and Dong{-}You Choi}, title = {Rain Attenuation over Terrestrial Microwave Links at 18 GHz as Compared with Prediction by {ITU-R} Model}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {15}, number = {3}, year = {2017}, url = {https://doi.org/10.6109/jicce.2017.15.3.143}, doi = {10.6109/JICCE.2017.15.3.143}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jicce/ShresthaLKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jodl/LinKATBMJ17, author = {Xia Lin and Michael Khoo and Jae{-}wook Ahn and Douglas Tudhope and Ceri Binding and Diane Massam and Hilary Jane Jones}, title = {Mapping metadata to {DDC} classification structures for searching and browsing}, journal = {Int. J. Digit. Libr.}, volume = {18}, number = {1}, pages = {25--39}, year = {2017}, url = {https://doi.org/10.1007/s00799-016-0197-z}, doi = {10.1007/S00799-016-0197-Z}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jodl/LinKATBMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SaxenaSNTEACH17, author = {Saurabh Saxena and Guanghua Shu and Romesh Kumar Nandwana and Mrunmay Talegaonkar and Ahmed Elkholy and Tejasvi Anand and Woo{-}Seok Choi and Pavan Kumar Hanumolu}, title = {A 2.8 mW/Gb/s, 14 Gb/s Serial Link Transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {5}, pages = {1399--1411}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2645738}, doi = {10.1109/JSSC.2016.2645738}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SaxenaSNTEACH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LorenzASPBCAEBK17, author = {Matthias W. Lorenz and Negin Ashtiani Abdi and Frank Scheckenbach and Anja Pflug and Alpaslan B{\"{u}}lb{\"{u}}l and Alberico L. Catapano and Stefan Agewall and Marat Ezhov and Michiel L. Bots and Stefan Kiechl and Andreas Orth and Giuseppe D. Norata and Jean Philippe Empana and Hung{-}Ju Lin and Stela McLachlan and Lena Bokemark and Kimmo Ronkainen and Mauro Amato and Ulf Schminke and Sathanur R. Srinivasan and Lars Lind and Akihiko Kato and Chrystosomos Dimitriadis and Tadeusz Przewlocki and Shuhei Okazaki and Coen D. A. Stehouwer and Tatjana Lazarevic and Peter Willeit and David N. Yanez and Helmuth Steinmetz and Dirk Sander and Holger Poppert and Moise Desvarieux and Mohammad Arfan Ikram and Sebastjan Bevc and Daniel Staub and Cesare R. Sirtori and Bernhard Iglseder and Gunnar Engstr{\"{o}}m and Giovanni Tripepi and Oscar Beloqui and Moo{-}Sik Lee and Alfonsa Friera and Wuxiang Xie and Liliana Grigore and Matthieu Plichart and Ta{-}Chen Su and Christine Robertson and Caroline Schmidt and Tomi{-}Pekka Tuomainen and Fabrizio Veglia and Henry V{\"{o}}lzke and Giel Nijpels and Aleksandar Jovanovic and Johann Willeit and Ralph L. Sacco and Oscar H. Franco and Radovan Hojs and Heiko Uthoff and Bo Hedblad and Hyun Woong Park and Carmen Z. Suarez and Dong Zhao and Pierre Ducimetiere and Kuo{-}Liong Chien and Jackie F. Price and G{\"{o}}ran Bergstr{\"{o}}m and Jussi Kauhanen and Elena Tremoli and Marcus D{\"{o}}rr and Gerald Berenson and Aikaterini Papagianni and Anna Kablak{-}Ziembicka and Kazuo Kitagawa and Jaqueline M. Dekker and Radojica Stolic and Joseph F. Polak and Matthias Sitzer and Horst Bickel and Tatjana Rundek and Albert Hofman and Robert Ekart and Beat Frauchiger and Samuela Castelnuovo and Maria Rosvall and Carmine Zoccali and Manuel F. Landecho and Jang{-}Ho Bae and Rafael Gabriel and Jing Liu and Damiano Baldassarre and Maryam Kavousi}, title = {Automatic identification of variables in epidemiological datasets using logic regression}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {17}, number = {1}, pages = {40:1--40:11}, year = {2017}, url = {https://doi.org/10.1186/s12911-017-0429-1}, doi = {10.1186/S12911-017-0429-1}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/LorenzASPBCAEBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ZhangLHP17, author = {Shuye Zhang and Tiesong Lin and Peng He and Kyung{-}Wook Paik}, title = {Effects of acrylic adhesives property and optimized bonding parameters on Sn-58Bi solder joint morphology for flex-on-board assembly}, journal = {Microelectron. Reliab.}, volume = {78}, pages = {181--189}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.08.009}, doi = {10.1016/J.MICROREL.2017.08.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ZhangLHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenTSRC17, author = {Gang Chen and Paul A. Taylor and Yong{-}Wook Shin and Richard C. Reynolds and Robert W. Cox}, title = {Untangling the relatedness among correlations, Part {II:} Inter-subject correlation group analysis through linear mixed-effects modeling}, journal = {NeuroImage}, volume = {147}, pages = {825--840}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2016.08.029}, doi = {10.1016/J.NEUROIMAGE.2016.08.029}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenTSRC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LindquistKLJWKR17, author = {Martin A. Lindquist and Anjali Krishnan and Marina L{\'{o}}pez{-}Sol{\`{a}} and Marieke Jepma and Choong{-}Wan Woo and Leonie Koban and Mathieu Roy and Lauren Y. Atlas and Liane Schmidt and Luke J. Chang and Elizabeth A. Reynolds Losin and Hedwig Eisenbarth and Yoni K. Ashar and Elizabeth Delk and Tor D. Wager}, title = {Group-regularized individual prediction: theory and application to pain}, journal = {NeuroImage}, volume = {145}, pages = {274--287}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2015.10.074}, doi = {10.1016/J.NEUROIMAGE.2015.10.074}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LindquistKLJWKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FusaroMSCBCCMCM17, author = {Lina Fusaro and Federica Marando and Alessandro Sebastiani and Giulia Capotorti and Carlo Blasi and Riccardo Copiz and Luca Congedo and Michele Munaf{\`{o}} and Luisella Ciancarella and Fausto Manes}, title = {Mapping and Assessment of PM\({}_{\mbox{10}}\) and O\({}_{\mbox{3}}\) Removal by Woody Vegetation at Urban and Regional Level}, journal = {Remote. Sens.}, volume = {9}, number = {8}, pages = {791}, year = {2017}, url = {https://doi.org/10.3390/rs9080791}, doi = {10.3390/RS9080791}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/FusaroMSCBCCMCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scl/MaPW17, author = {Shan Ma and Ian R. Petersen and Matthew J. Woolley}, title = {Linear quantum systems with diagonal passive Hamiltonian and a single dissipative channel}, journal = {Syst. Control. Lett.}, volume = {99}, pages = {64--71}, year = {2017}, url = {https://doi.org/10.1016/j.sysconle.2016.11.013}, doi = {10.1016/J.SYSCONLE.2016.11.013}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scl/MaPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/semweb/LefortHTSTPW17, author = {Laurent Lefort and Armin Haller and Kerry Taylor and Geoffrey Squire and Peter Taylor and Dale Percival and Andrew Woolf}, title = {The {ACORN-SAT} linked climate dataset}, journal = {Semantic Web}, volume = {8}, number = {6}, pages = {959--967}, year = {2017}, url = {https://doi.org/10.3233/SW-160241}, doi = {10.3233/SW-160241}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/semweb/LefortHTSTPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/OhJPJ17, author = {Tae Woo Oh and Hanwool Jeong and Juhyun Park and Seong{-}Ook Jung}, title = {Pre-Charged Local Bit-Line Sharing {SRAM} Architecture for Near-Threshold Operation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {10}, pages = {2737--2747}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2702587}, doi = {10.1109/TCSI.2017.2702587}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/OhJPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShinCP17, author = {Kyungho Shin and Woong Choi and Jongsun Park}, title = {Half-Select Free and Bit-Line Sharing 9T {SRAM} for Reliable Supply Voltage Scaling}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {8}, pages = {2036--2048}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2691354}, doi = {10.1109/TCSI.2017.2691354}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ShinCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HanJLK17, author = {Jinsoo Han and Jin{-}Doo Jeong and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {Low-cost monitoring of photovoltaic systems at panel level in residential homes based on power line communication}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {63}, number = {4}, pages = {435--441}, year = {2017}, url = {https://doi.org/10.1109/TCE.2017.015074}, doi = {10.1109/TCE.2017.015074}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HanJLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShinJKHP17, author = {Dong{-}Hun Shin and Dae{-}Hwan Jung and Dong{-}Chan Kim and Jong{-}Wook Ham and Seong{-}Ook Park}, title = {A Distributed {FMCW} Radar System Based on Fiber-Optic Links for Small Drone Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {2}, pages = {340--347}, year = {2017}, url = {https://doi.org/10.1109/TIM.2016.2626038}, doi = {10.1109/TIM.2016.2626038}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShinJKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/WoodLY17, author = {Richard G. Wood and Tam{\'{a}}s Linder and Serdar Y{\"{u}}ksel}, title = {Optimal Zero Delay Coding of Markov Sources: Stationary and Finite Memory Codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {63}, number = {9}, pages = {5968--5980}, year = {2017}, url = {https://doi.org/10.1109/TIT.2017.2692215}, doi = {10.1109/TIT.2017.2692215}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/WoodLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/YangJK17, author = {Minho Yang and Sang{-}Woon Jeon and Dong Ku Kim}, title = {Linear Degrees of Freedom of {MIMO} Broadcast Channels With Reconfigurable Antennas in the Absence of {CSIT}}, journal = {{IEEE} Trans. Inf. Theory}, volume = {63}, number = {1}, pages = {320--335}, year = {2017}, url = {https://doi.org/10.1109/TIT.2016.2623792}, doi = {10.1109/TIT.2016.2623792}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/YangJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/BeechamDMSTW17, author = {Roger Beecham and Jason Dykes and Wouter Meulemans and Aidan Slingsby and Cagatay Turkay and Jo Wood}, title = {Map LineUps: Effects of spatial structure on graphical inference}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {23}, number = {1}, pages = {391--400}, year = {2017}, url = {https://doi.org/10.1109/TVCG.2016.2598862}, doi = {10.1109/TVCG.2016.2598862}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/BeechamDMSTW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChoW17, author = {Je{-}Kwang Cho and Sunsik Woo}, title = {A 6-mW, 70.1-dB SNDR, and 20-MHz {BW} Continuous-Time Sigma-Delta Modulator Using Low-Noise High-Linearity Feedback {DAC}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {5}, pages = {1742--1755}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2651055}, doi = {10.1109/TVLSI.2017.2651055}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChoW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/FeltwellWLL17, author = {Tom Feltwell and Gavin Wood and Conor Linehan and Shaun W. Lawson}, editor = {Oli H. Mival and Michael Smyth and Peter Dalsgaard}, title = {An Augmented Reality Game using Face Recognition Technology}, booktitle = {Companion Publication of the 2017 {ACM} Conference Companion Publication on Designing Interactive Systems, {DIS} '17, Edinburgh, United Kingdom, June 10-14, 2017}, pages = {44--49}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3064857.3079117}, doi = {10.1145/3064857.3079117}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/FeltwellWLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/DasHGPLCP017, author = {Arghya Kusum Das and Jae{-}Ki Hong and Sayan Goswami and Richard Platania and Kisung Lee and Wooseok Chang and Seung{-}Jong Park and Ling Liu}, editor = {Geoffrey C. Fox}, title = {Augmenting Amdahl's Second Law: {A} Theoretical Model to Build Cost-Effective Balanced {HPC} Infrastructure for Data-Driven Science}, booktitle = {2017 {IEEE} 10th International Conference on Cloud Computing (CLOUD), Honolulu, HI, USA, June 25-30, 2017}, pages = {147--154}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CLOUD.2017.27}, doi = {10.1109/CLOUD.2017.27}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/DasHGPLCP017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/Sanchez-RadaISS17, author = {J. Fernando S{\'{a}}nchez{-}Rada and Carlos Angel Iglesias and Hesam Sagha and Bj{\"{o}}rn W. Schuller and Ian D. Wood and Paul Buitelaar}, title = {Multimodal multimodel emotion analysis as linked data}, booktitle = {Seventh International Conference on Affective Computing and Intelligent Interaction Workshops and Demos, {ACII} Workshops 2017, San Antonio, TX, USA, October 23-26, 2017}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ACIIW.2017.8272599}, doi = {10.1109/ACIIW.2017.8272599}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/Sanchez-RadaISS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinSQRC17, author = {Jianfu Lin and Zheng Song and Nan Qi and Woogeun Rhee and Baoyong Chi}, title = {A 77-GHz mixed-mode {FMCW} signal generator based on bang-bang phase detector}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {317--320}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240280}, doi = {10.1109/ASSCC.2017.8240280}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LinSQRC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/VuTCSLCHDX17, author = {Tri Vu and Hoan Tran and Kun Woo Cho and Chen Song and Feng Lin and Chang Wen Chen and Michelle Hartley{-}McAndrew and Kathy Ralabate Doody and Wenyao Xu}, title = {Effective and efficient visual stimuli design for quantitative autism screening: An exploratory study}, booktitle = {2017 {IEEE} {EMBS} International Conference on Biomedical {\&} Health Informatics, {BHI} 2017, Orland, FL, USA, February 16-19, 2017}, pages = {297--300}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BHI.2017.7897264}, doi = {10.1109/BHI.2017.7897264}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bhi/VuTCSLCHDX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/PalWPSNJ17, author = {Susovan Pal and Roger P. Woods and Suchit Panjiyar and Elizabeth R. Sowell and Katherine L. Narr and Shantanu H. Joshi}, title = {A Riemannian Framework for Linear and Quadratic Discriminant Analysis on the Tangent Space of Shapes}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {726--734}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.102}, doi = {10.1109/CVPRW.2017.102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/PalWPSNJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/KimS17, author = {Jinwoo Kim and Seungwon Shin}, title = {Software-Defined HoneyNet: Towards Mitigating Link Flooding Attacks}, booktitle = {47th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks Workshops, {DSN} Workshops 2017, Denver, CO, USA, June 26-29, 2017}, pages = {99--100}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DSN-W.2017.10}, doi = {10.1109/DSN-W.2017.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/KimS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/SchneiderW17, author = {Nathan Schneider and Chuck Wooters}, editor = {Lucia Specia and Matt Post and Michael Paul}, title = {The {NLTK} FrameNet {API:} Designing for Discoverability with a Rich Linguistic Resource}, booktitle = {Proceedings of the 2017 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2017, Copenhagen, Denmark, September 9-11, 2017 - System Demonstrations}, pages = {1--6}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/d17-2001}, doi = {10.18653/V1/D17-2001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/SchneiderW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HanJLK17, author = {Jinsoo Han and Jin{-}Doo Jeong and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {Low-cost monitoring of photovoltaic systems at panel level in residential homes based on power line communication}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, pages = {285--286}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCE.2017.7889321}, doi = {10.1109/ICCE.2017.7889321}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/HanJLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JangS17, author = {Ju Wook Jang and Bong Keol Shin}, title = {Improved throughput for wireless backhaul networks configured in a linear array of wireless relays}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {833--838}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993916}, doi = {10.1109/ICUFN.2017.7993916}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JangS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiLLGY17, author = {Shihua Li and Zuqin Liang and Sen Lin and Adu Gong and Jianwei Yue}, title = {Estimating clumping index of woody canopy with terrestrial lidar data}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {5790--5793}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128324}, doi = {10.1109/IGARSS.2017.8128324}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiLLGY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/KimLM17, author = {Woongrae Kim and Taizhi Liu and Linda Milor}, title = {On-line monitoring of system health using on-chip SRAMs as a wearout sensor}, booktitle = {23rd {IEEE} International Symposium on On-Line Testing and Robust System Design, {IOLTS} 2017, Thessaloniki, Greece, July 3-5, 2017}, pages = {253--258}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IOLTS.2017.8046230}, doi = {10.1109/IOLTS.2017.8046230}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/KimLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/SunXZK17, author = {Mingxuan Sun and Guangyue Xu and Junjie Zhang and Dae Wook Kim}, editor = {Antonio A. F. Loureiro and Hsiao{-}Chun Wu and F. Richard Yu}, title = {Tracking You through {DNS} Traffic: Linking User Sessions by Clustering with Dirichlet Mixture Model}, booktitle = {Proceedings of the 20th {ACM} International Conference on Modelling, Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2017, Miami, FL, USA, November 21 - 25, 2017}, pages = {303--310}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3127540.3127567}, doi = {10.1145/3127540.3127567}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mswim/SunXZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KaoTPLWCKHLSJCW17, author = {Hsuan{-}Yun Kao and Cheng{-}Ting Tsai and Chun{-}Yen Pong and Shan{-}Fong Liang and Zu{-}Kai Weng and Yu{-}Chieh Chi and Hao{-}Chung Kuo and Jian Jang Huang and Tai{-}Cheng Lee and Tien{-}Tsorng Shih and Jau{-}Ji Jou and Wood{-}Hi Cheng and Chao{-}Hsin Wu and Gong{-}Ru Lin}, title = {Few-mode 850-nm {VCSEL} chip with direct 16-QAM {OFDM} encoding at 80-Gbit/s for 100-m {OM4} {MMF} link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7936987}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KaoTPLWCKHLSJCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/SmithWDWHHPWS17, author = {Wally Smith and Greg Wadley and Oliver Daly and Marianne Webb and Jo Hughson and John Hajek and Anna Parker and Robyn Woodward{-}Kron and David Story}, editor = {Margot Brereton and Alessandro Soro and Dhaval Vyas and Bernd Ploderer and Ann Morrison and Jenny Waycott}, title = {Designing an app for pregnancy care for a culturally and linguistically diverse community}, booktitle = {Proceedings of the 29th Australian Conference on Computer-Human Interaction, {OZCHI} 2017, Brisbane, QLD, Australia, November 28 - December 01, 2017}, pages = {337--346}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3152771.3152807}, doi = {10.1145/3152771.3152807}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ozchi/SmithWDWHHPWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/ShahiWL17, author = {Ahmad Shahi and Brendon J. Woodford and Hanhe Lin}, editor = {U Kang and Ee{-}Peng Lim and Jeffrey Xu Yu and Yang{-}Sae Moon}, title = {Dynamic Real-Time Segmentation and Recognition of Activities Using a Multi-feature Windowing Approach}, booktitle = {Trends and Applications in Knowledge Discovery and Data Mining - {PAKDD} 2017 Workshops, MLSDA, BDM, DM-BPM, Jeju, South Korea, May 23, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10526}, pages = {26--38}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-67274-8\_3}, doi = {10.1007/978-3-319-67274-8\_3}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/ShahiWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saso/KimKKKP17, author = {Jang Woong Kim and Jae{-}Hoon Kim and Awais Khan and Youngjae Kim and Sungyong Park}, title = {ZonFS: {A} Storage Class Memory File System with Memory Zone Partitioning on Linux}, booktitle = {2nd {IEEE} International Workshops on Foundations and Applications of Self* Systems, FAS*W@SASO/ICCAC 2017, Tucson, AZ, USA, September 18-22, 2017}, pages = {277--282}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/FAS-W.2017.159}, doi = {10.1109/FAS-W.2017.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/saso/KimKKKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/LeeYCKKK17, author = {Sang Jun Lee and Jong Pil Yun and Hyeyeon Choi and Wookyong Kwon and Gyogwon Koo and Sang Woo Kim}, title = {Weakly supervised learning with convolutional neural networks for power line localization}, booktitle = {2017 {IEEE} Symposium Series on Computational Intelligence, {SSCI} 2017, Honolulu, HI, USA, November 27 - Dec. 1, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SSCI.2017.8285410}, doi = {10.1109/SSCI.2017.8285410}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ssci/LeeYCKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/MoonS17, author = {Dug Hee Moon and Yang Woo Shin}, title = {A note on simulation for estimating the variance of throughput in flow lines with finite buffers}, booktitle = {2017 Winter Simulation Conference, {WSC} 2017, Las Vegas, NV, USA, December 3-6, 2017}, pages = {4572--4573}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WSC.2017.8248210}, doi = {10.1109/WSC.2017.8248210}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/wsc/MoonS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SchneiderW17, author = {Nathan Schneider and Chuck Wooters}, title = {The {NLTK} FrameNet {API:} Designing for Discoverability with a Rich Linguistic Resource}, journal = {CoRR}, volume = {abs/1703.07438}, year = {2017}, url = {http://arxiv.org/abs/1703.07438}, eprinttype = {arXiv}, eprint = {1703.07438}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SchneiderW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-03465, author = {Seongkyu Mun and Minkyu Shin and Suwon Shon and Wooil Kim and David K. Han and Hanseok Ko}, title = {{DNN} Transfer Learning based Non-linear Feature Extraction for Acoustic Event Classification}, journal = {CoRR}, volume = {abs/1708.03465}, year = {2017}, url = {http://arxiv.org/abs/1708.03465}, eprinttype = {arXiv}, eprint = {1708.03465}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-03465.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-07217, author = {Abraham P. Punnen and Brad D. Woods and Santosh N. Kabadi}, title = {A characterization of Linearizable instances of the Quadratic Traveling Salesman Problem}, journal = {CoRR}, volume = {abs/1708.07217}, year = {2017}, url = {http://arxiv.org/abs/1708.07217}, eprinttype = {arXiv}, eprint = {1708.07217}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-07217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aslib/GreenhillHWLSGC16, author = {Anita Greenhill and Kate Holmes and Jamie Woodcock and Chris J. Lintott and Brooke Simmons and Gary Graham and Joe Cox and Eun Young Oh and Karen Masters}, title = {Playing with science: Exploring how game activity motivates users participation on an online citizen science platform}, journal = {Aslib J. Inf. Manag.}, volume = {68}, number = {3}, pages = {306--325}, year = {2016}, url = {https://doi.org/10.1108/AJIM-11-2015-0182}, doi = {10.1108/AJIM-11-2015-0182}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aslib/GreenhillHWLSGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/CodenLHTBMSWPLL16, author = {Anni Coden and W. Sabrina Lin and Keith Houck and Michael A. Tanenblatt and Jeff Boston and Julie MacNaught and Danny Soroker and Justin D. Weisz and Shimei Pan and Jui{-}Hsin Lai and Jie Lu and Steve Wood and Yinglong Xia and Ching{-}Yung Lin}, title = {Uncovering insider threats from the digital footprints of individuals}, journal = {{IBM} J. Res. Dev.}, volume = {60}, number = {4}, pages = {8}, year = {2016}, url = {https://doi.org/10.1147/JRD.2016.2568538}, doi = {10.1147/JRD.2016.2568538}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/CodenLHTBMSWPLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/YoonKP16, author = {Seok{-}Ho Yoon and Sang{-}Wook Kim and Sunju Park}, title = {C-Rank: {A} link-based similarity measure for scientific literature databases}, journal = {Inf. Sci.}, volume = {326}, pages = {25--40}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2015.07.036}, doi = {10.1016/J.INS.2015.07.036}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/YoonKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/GreenbergHCSHJB16, author = {Alan E. Greenberg and Harlen Hays and Amanda D. Castel and Thilakavathy Subramanian and Lindsey Powers Happ and Maria Jaurretche and Jeff Binkley and Mariah M. Kalmin and Kathy Wood and Rachel Hart}, title = {Development of a large urban longitudinal {HIV} clinical cohort using a web-based platform to merge electronically and manually abstracted data from disparate medical record systems: technical challenges and innovative solutions}, journal = {J. Am. Medical Informatics Assoc.}, volume = {23}, number = {3}, pages = {635--643}, year = {2016}, url = {https://doi.org/10.1093/jamia/ocv176}, doi = {10.1093/JAMIA/OCV176}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/GreenbergHCSHJB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/ChaePPYS16, author = {Gunho Chae and Jaram Park and Juyong Park and Woon Seung Yeo and Chungkon Shi}, title = {Linking and clustering artworks using social tags: Revitalizing crowd-sourced information on cultural collections}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {67}, number = {4}, pages = {885--899}, year = {2016}, url = {https://doi.org/10.1002/asi.23442}, doi = {10.1002/ASI.23442}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/ChaePPYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jds/McCarthyOWLKA16, author = {Stephen McCarthy and Paidi O'Raghallaigh and Simon Woodworth and Yoke Lin Lim and Louise C. Kenny and Fr{\'{e}}d{\'{e}}ric Adam}, title = {An integrated patient journey mapping tool for embedding quality in healthcare service reform}, journal = {J. Decis. Syst.}, volume = {25}, number = {Supplement}, pages = {354--368}, year = {2016}, url = {https://doi.org/10.1080/12460125.2016.1187394}, doi = {10.1080/12460125.2016.1187394}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jds/McCarthyOWLKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeJPCJ16, author = {Woo{-}Rham Bae and Haram Ju and Kwanseo Park and Sung{-}Yong Cho and Deog{-}Kyoon Jeong}, title = {A 7.6 mW, 414 fs RMS-Jitter 10 GHz Phase-Locked Loop for a 40 Gb/s Serial Link Transmitter Based on a Two-Stage Ring Oscillator in 65 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {10}, pages = {2357--2367}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2579159}, doi = {10.1109/JSSC.2016.2579159}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeJPCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeIKKSKQ16, author = {Sanggyun Lee and Jungho Im and Jinwoo Kim and Miae Kim and Minso Shin and Hyun{-}Cheol Kim and Lindi J. Quackenbush}, title = {Arctic Sea Ice Thickness Estimation from CryoSat-2 Satellite Data Using Machine Learning-Based Lead Detection}, journal = {Remote. Sens.}, volume = {8}, number = {9}, pages = {698}, year = {2016}, url = {https://doi.org/10.3390/rs8090698}, doi = {10.3390/RS8090698}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeIKKSKQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KwonHYHTM16, author = {Woosuk Kwon and Jaeho Hwang and Hyun{-}Koo Yang and Sunghee Hwang and Kazuyuki Takahashi and Lachlan Michael}, title = {The {ATSC} Link-layer Protocol {(ALP):} Design and Efficiency Evaluation}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {316--327}, year = {2016}, url = {https://doi.org/10.1109/TBC.2015.2506983}, doi = {10.1109/TBC.2015.2506983}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KwonHYHTM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/BaeJPCKJ16, author = {Woo{-}Rham Bae and Gyu{-}Seob Jeong and Kwanseo Park and Sung{-}Yong Cho and Yoonsoo Kim and Deog{-}Kyoon Jeong}, title = {A 0.36 pJ/bit, 0.025 mm\({}^{\mbox{2}}\), 12.5 Gb/s Forwarded-Clock Receiver With a Stuck-Free Delay-Locked Loop and a Half-Bit Delay Line in 65-nm {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {9}, pages = {1393--1403}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2578960}, doi = {10.1109/TCSI.2016.2578960}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/BaeJPCKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/RyuKPY16, author = {Jiheon Ryu and Hae{-}Ok Kwon and Seung Hyuk Park and Dong Woo Yim}, title = {A Square Patch Capacitive Voltage Divider for Measuring High-Voltage Ultrawideband Pulses in a Coaxial Pulse Forming Line}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {65}, number = {3}, pages = {680--684}, year = {2016}, url = {https://doi.org/10.1109/TIM.2015.2510551}, doi = {10.1109/TIM.2015.2510551}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/RyuKPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/TakWY16, author = {Sehyun Tak and Soomin Woo and Hwasoo Yeo}, title = {Data-Driven Imputation Method for Traffic Data in Sectional Units of Road Links}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {17}, number = {6}, pages = {1762--1771}, year = {2016}, url = {https://doi.org/10.1109/TITS.2016.2530312}, doi = {10.1109/TITS.2016.2530312}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/TakWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimCKM16, author = {Woongrae Kim and Chang{-}Chih Chen and Dae Hyun Kim and Linda Milor}, title = {Built-In Self-Test Methodology With Statistical Analysis for Electrical Diagnosis of Wearout in a Static Random Access Memory Array}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {7}, pages = {2521--2534}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2513369}, doi = {10.1109/TVLSI.2015.2513369}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimCKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/WoodleyTGNC16, author = {Alan Woodley and Ling{-}Xiang Tang and Shlomo Geva and Richi Nayak and Timothy Chappell}, editor = {James Joshi and George Karypis and Ling Liu and Xiaohua Hu and Ronay Ak and Yinglong Xia and Weijia Xu and Aki{-}Hiro Sato and Sudarsan Rachuri and Lyle H. Ungar and Philip S. Yu and Rama Govindaraju and Toyotaro Suzumura}, title = {Using parallel hierarchical clustering to address spatial big data challenges}, booktitle = {2016 {IEEE} International Conference on Big Data {(IEEE} BigData 2016), Washington DC, USA, December 5-8, 2016}, pages = {2692--2698}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BigData.2016.7840913}, doi = {10.1109/BIGDATA.2016.7840913}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/WoodleyTGNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccbd/TsoiYAKWWM16, author = {Kelvin Kam{-}fai Tsoi and Benjamin Yip and Doreen W. H. Au and Yong{-}Hong Kuo and Samuel Y. S. Wong and Jean Woo and Helen Mei{-}Ling Meng}, title = {Blood Pressure Monitoring on the Cloud System in Elderly Community Centres: {A} Data Capturing Platform for Application Research in Public Health}, booktitle = {7th International Conference on Cloud Computing and Big Data, {CCBD} 2016, Macau, China, November 16-18, 2016}, pages = {312--315}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CCBD.2016.068}, doi = {10.1109/CCBD.2016.068}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccbd/TsoiYAKWWM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/SzczepanskiMRW16, author = {Piotr L. Szczepanski and Tomasz P. Michalak and Talal Rahwan and Michael J. Wooldridge}, editor = {Gal A. Kaminka and Maria Fox and Paolo Bouquet and Eyke H{\"{u}}llermeier and Virginia Dignum and Frank Dignum and Frank van Harmelen}, title = {An Extension of the Owen-Value Interaction Index and Its Application to Inter-Links Prediction}, booktitle = {{ECAI} 2016 - 22nd European Conference on Artificial Intelligence, 29 August-2 September 2016, The Hague, The Netherlands - Including Prestigious Applications of Artificial Intelligence {(PAIS} 2016)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {285}, pages = {90--98}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-672-9-90}, doi = {10.3233/978-1-61499-672-9-90}, timestamp = {Tue, 02 Nov 2021 15:59:05 +0100}, biburl = {https://dblp.org/rec/conf/ecai/SzczepanskiMRW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HongCKLL16, author = {Seonghun Hong and Dong{-}Eun Choi and Sungchul Kang and Hyeongcheol Lee and Woosub Lee}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Design of manually reconfigurable modular manipulator with three revolute joints and links}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {5210--5215}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487728}, doi = {10.1109/ICRA.2016.7487728}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/HongCKLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isba/ChoLSXGX16, author = {Kun Woo Cho and Feng Lin and Chen Song and Xiaowei Xu and Fuxing Gu and Wenyao Xu}, title = {Thermal handprint analysis for forensic identification using Heat-Earth Mover's Distance}, booktitle = {{IEEE} International Conference on Identity, Security and Behavior Analysis, {ISBA} 2016, Sendai, Japan, February 29 - March 2, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISBA.2016.7477241}, doi = {10.1109/ISBA.2016.7477241}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isba/ChoLSXGX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SaSKKC16, author = {Yui{-}Hwan Sa and Pyo{-}Hoon Son and Ki{-}Hong Kim and Hi{-}Seok Kim and Hyeong{-}Woo Cha}, title = {A design of new voltage to current converter with high linearity and wide tuning}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {119--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799714}, doi = {10.1109/ISOCC.2016.7799714}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SaSKKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LinDWS16, author = {Hanhe Lin and Jeremiah D. Deng and Brendon J. Woodford and Ahmad Shahi}, editor = {Alan Hanjalic and Cees Snoek and Marcel Worring and Dick C. A. Bulterman and Benoit Huet and Aisling Kelliher and Yiannis Kompatsiaris and Jin Li}, title = {Online Weighted Clustering for Real-time Abnormal Event Detection in Video Surveillance}, booktitle = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, pages = {536--540}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2964284.2967279}, doi = {10.1145/2964284.2967279}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/LinDWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/ChenWBE16, author = {Daming D. Chen and Maverick Woo and David Brumley and Manuel Egele}, title = {Towards Automated Dynamic Analysis for Linux-based Embedded Firmware}, booktitle = {23rd Annual Network and Distributed System Security Symposium, {NDSS} 2016, San Diego, California, USA, February 21-24, 2016}, publisher = {The Internet Society}, year = {2016}, url = {http://wp.internetsociety.org/ndss/wp-content/uploads/sites/25/2017/09/towards-automated-dynamic-analysis-linux-based-embedded-firmware.pdf}, timestamp = {Thu, 17 Jun 2021 16:04:48 +0200}, biburl = {https://dblp.org/rec/conf/ndss/ChenWBE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeiHCTL16, author = {Szu{-}Chin Lei and Wen{-}Hsuan Hsieh and Wood{-}Hi Cheng and Ying{-}Chien Tsai and Che{-}Hsin Lin}, title = {Micro-hyperboloid lensed optical fibers for laser chip coupling}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {164--167}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEMS.2016.7758223}, doi = {10.1109/NEMS.2016.7758223}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeiHCTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TsaiCPLCWSHKCL16, author = {Cheng{-}Ting Tsai and Shuo Chang and Chun{-}Yen Pong and Shan{-}Fong Liang and Yu{-}Chieh Chi and Chao{-}Hsin Wu and Tien{-}Tsorng Shih and Jian Jang Huang and Hao{-}Chung Kuo and Wood{-}Hi Cheng and Gong{-}Ru Lin}, title = {{RIN} suppressed multimode 850-nm {VCSEL} for 56-Gbps 16-QAM {OFDM} and 22-Gbps {PAM-4} transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537478}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/TsaiCPLCWSHKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pods/BravermanCWY16, author = {Vladimir Braverman and Stephen R. Chestnut and David P. Woodruff and Lin F. Yang}, editor = {Tova Milo and Wang{-}Chiew Tan}, title = {Streaming Space Complexity of Nearly All Functions of One Variable on Frequency Vectors}, booktitle = {Proceedings of the 35th {ACM} {SIGMOD-SIGACT-SIGAI} Symposium on Principles of Database Systems, {PODS} 2016, San Francisco, CA, USA, June 26 - July 01, 2016}, pages = {261--276}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902251.2902282}, doi = {10.1145/2902251.2902282}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pods/BravermanCWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qtna/ShinM16, author = {Yang Woo Shin and Dug Hee Moon}, editor = {Winston Seah and Yutaka Takahashi}, title = {Variability of output in two-node tandem production line}, booktitle = {Proceedings of the 11th International Conference on Queueing Theory and Network Applications, {QTNA} 2016, Wellington, New Zealand, December 13-15, 2016}, pages = {24}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3016058}, timestamp = {Tue, 06 Nov 2018 16:57:05 +0100}, biburl = {https://dblp.org/rec/conf/qtna/ShinM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/RohOPC16, author = {Seok{-}Beom Roh and Sung{-}Kwun Oh and Eun Kyu Park and Woo Zin Choi}, title = {Design of Radial Basis Function Neural Networks with Principal Component Analysis and Linear Discriminant Analysis for Black Plastic Identification}, booktitle = {2016 Joint 8th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 17th International Symposium on Advanced Intelligent Systems (ISIS), Sapporo, Japan, August 25-28, 2016}, pages = {764--768}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SCIS-ISIS.2016.0166}, doi = {10.1109/SCIS-ISIS.2016.0166}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/RohOPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/utp/RibeiroCW16, author = {Pedro Ribeiro and Ana Cavalcanti and Jim Woodcock}, editor = {Jonathan P. Bowen and Huibiao Zhu}, title = {A Stepwise Approach to Linking Theories}, booktitle = {Unifying Theories of Programming - 6th International Symposium, {UTP} 2016, Reykjavik, Iceland, June 4-5, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10134}, pages = {134--154}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-52228-9\_7}, doi = {10.1007/978-3-319-52228-9\_7}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/utp/RibeiroCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/ChoLSXHDX16, author = {Kun Woo Cho and Feng Lin and Chen Song and Xiaowei Xu and Michelle Hartley{-}McAndrew and Kathy Ralabate Doody and Wenyao Xu}, title = {Gaze-Wasserstein: a quantitative screening approach to autism spectrum disorders}, booktitle = {2016 {IEEE} Wireless Health, {WH} 2016, Bethesda, MD, USA, October 25-27, 2016}, pages = {14--21}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WH.2016.7764551}, doi = {10.1109/WH.2016.7764551}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wh/ChoLSXHDX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BravermanCWY16, author = {Vladimir Braverman and Stephen R. Chestnut and David P. Woodruff and Lin F. Yang}, title = {Streaming Space Complexity of Nearly All Functions of One Variable on Frequency Vectors}, journal = {CoRR}, volume = {abs/1601.07473}, year = {2016}, url = {http://arxiv.org/abs/1601.07473}, eprinttype = {arXiv}, eprint = {1601.07473}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BravermanCWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MaWPY16, author = {Shan Ma and Matthew J. Woolley and Ian R. Petersen and Naoki Yamamoto}, title = {Cascade and locally dissipative realizations of linear quantum systems for pure Gaussian state covariance assignment}, journal = {CoRR}, volume = {abs/1604.03182}, year = {2016}, url = {http://arxiv.org/abs/1604.03182}, eprinttype = {arXiv}, eprint = {1604.03182}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MaWPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/RudeWMSBBCCCGGH16, author = {Ulrich R{\"{u}}de and Karen Willcox and Lois Curfman McInnes and Hans De Sterck and George Biros and Hans{-}Joachim Bungartz and James Corones and Evin Cramer and James Crowley and Omar Ghattas and Max D. Gunzburger and Michael Hanke and Robert J. Harrison and Michael A. Heroux and Jan S. Hesthaven and Peter K. Jimack and Chris Johnson and Kirk E. Jordan and David E. Keyes and Rolf H. Krause and Vipin Kumar and Stefan Mayer and Juan Meza and Knut Martin M{\o}rken and J. Tinsley Oden and Linda R. Petzold and Padma Raghavan and Suzanne M. Shontz and Anne E. Trefethen and Peter R. Turner and Vladimir V. Voevodin and Barbara I. Wohlmuth and Carol S. Woodward}, title = {Research and Education in Computational Science and Engineering}, journal = {CoRR}, volume = {abs/1610.02608}, year = {2016}, url = {http://arxiv.org/abs/1610.02608}, eprinttype = {arXiv}, eprint = {1610.02608}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/RudeWMSBBCCCGGH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WoodLY16, author = {Richard G. Wood and Tam{\'{a}}s Linder and Serdar Y{\"{u}}ksel}, title = {Optimal Zero Delay Coding of Markov Sources: Stationary and Finite Memory Codes}, journal = {CoRR}, volume = {abs/1606.09135}, year = {2016}, url = {http://arxiv.org/abs/1606.09135}, eprinttype = {arXiv}, eprint = {1606.09135}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WoodLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/KimM15, author = {Woo{-}sung Kim and James R. Morrison}, title = {The throughput rate of serial production lines with deterministic process times and random setups: Markovian models and applications to semiconductor manufacturing}, journal = {Comput. Oper. Res.}, volume = {53}, pages = {288--300}, year = {2015}, url = {https://doi.org/10.1016/j.cor.2014.03.022}, doi = {10.1016/J.COR.2014.03.022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/KimM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/WarnockBWSMMMCM15, author = {James D. Warnock and Christopher J. Berry and Michael H. Wood and Leon J. Sigal and Yun{-}Chan Myung and Guenter Mayer and Mark D. Mayo and Y. Chan and Frank Malgioglio and Gerald Strevig and Charudhattan Nagarajan and Sean M. Carey and Gerard Salem and Friedrich Schroeder and Howard H. Smith and Di Phan and Ricardo Nigaglioni and Thomas Strach and Matthew M. Ziegler and Niels Fricke and K. Lind and Jos{\'{e}} Neves and Sridhar H. Rangarajan and J. P. Surprise and John Isakson and John Badar and Doug Malone and Donald W. Plass and A. Aipperspach and Dieter F. Wendel and Robert M. Averill III and Ruchir Puri}, title = {{IBM} z13 circuit design and methodology}, journal = {{IBM} J. Res. Dev.}, volume = {59}, number = {4/5}, year = {2015}, url = {https://doi.org/10.1147/JRD.2015.2446871}, doi = {10.1147/JRD.2015.2446871}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/WarnockBWSMMMCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/SteinbrecherDCL15, author = {Thomas B. Steinbrecher and Markus K. Dahlgren and Daniel Cappel and Teng Lin and Lingle Wang and Goran Krilov and Robert Abel and Richard A. Friesner and Woody Sherman}, title = {Accurate Binding Free Energy Predictions in Fragment Optimization}, journal = {J. Chem. Inf. Model.}, volume = {55}, number = {11}, pages = {2411--2420}, year = {2015}, url = {https://doi.org/10.1021/acs.jcim.5b00538}, doi = {10.1021/ACS.JCIM.5B00538}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/SteinbrecherDCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jd/KhooABJLMT15, author = {Michael John Khoo and Jae{-}wook Ahn and Ceri Binding and Hilary Jane Jones and Xia Lin and Diane Massam and Douglas Tudhope}, title = {Augmenting Dublin Core digital library metadata with Dewey Decimal Classification}, journal = {J. Documentation}, volume = {71}, number = {5}, pages = {976--998}, year = {2015}, url = {https://doi.org/10.1108/JD-07-2014-0103}, doi = {10.1108/JD-07-2014-0103}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jd/KhooABJLMT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/WuLM15, author = {Wen{-}Jie Wu and Shih{-}Wei Lin and Woo Kyung Moon}, title = {An Artificial Immune System-Based Support Vector Machine Approach for Classifying Ultrasound Breast Tumor Images}, journal = {J. Digit. Imaging}, volume = {28}, number = {5}, pages = {576--585}, year = {2015}, url = {https://doi.org/10.1007/s10278-014-9757-1}, doi = {10.1007/S10278-014-9757-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/WuLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Aartsen15, author = {Mark G. Aartsen and Rasha U. Abbasi and Markus Ackermann and Jenni Adams and Juan Antonio Aguilar S{\'{a}}nchez and Markus Ahlers and David Altmann and Carlos A. Arg{\"{u}}elles Delgado and Jan Auffenberg and Xinhua Bai and Michael F. Baker and Steven W. Barwick and Volker Baum and Ryan Bay and James J. Beatty and Julia K. Becker Tjus and Karl{-}Heinz Becker and Segev BenZvi and Patrick Berghaus and David Berley and Elisa Bernardini and Anna Bernhard and David Z. Besson and G. Binder and Daniel Bindig and Martin Bissok and Erik Blaufuss and Jan Blumenthal and David J. Boersma and Christian Bohm and Debanjan Bose and Sebastian B{\"{o}}ser and Olga Botner and Lionel Brayeur and Hans{-}Peter Bretz and Anthony M. Brown and Ronald Bruijn and James Casey and Martin Casier and Dmitry Chirkin and Asen Christov and Brian John Christy and Ken Clark and Lew Classen and Fabian Clevermann and Stefan Coenders and Shirit Cohen and Doug F. Cowen and Angel H. Cruz Silva and Matthias Danninger and Jacob Daughhetee and James C. Davis and Melanie Day and Catherine De Clercq and Sam De Ridder and Paolo Desiati and Krijn D. de Vries and Meike de With and Tyce DeYoung and Juan Carlos D{\'{\i}}az{-}V{\'{e}}lez and Matthew Dunkman and Ryan Eagan and Benjamin Eberhardt and Bj{\"{o}}rn Eichmann and Jonathan Eisch and Sebastian Euler and Paul A. Evenson and Oladipo O. Fadiran and Ali R. Fazely and Anatoli Fedynitch and Jacob Feintzeig and Tom Feusels and Kirill Filimonov and Chad Finley and Tobias Fischer{-}Wasels and Samuel Flis and Anna Franckowiak and Katharina Frantzen and Tomasz Fuchs and Thomas K. Gaisser and Joseph S. Gallagher and Lisa Marie Gerhardt and Laura E. Gladstone and Thorsten Gl{\"{u}}senkamp and Azriel Goldschmidt and Geraldina Golup and Javier G. Gonz{\'{a}}lez and Jordan A. Goodman and Dariusz G{\'{o}}ra and Dylan T. Grandmont and Darren Grant and Pavel Gretskov and John C. Groh and Andreas Gro{\ss} and Chang Hyon Ha and Abd Al Karim Haj Ismail and Patrick Hallen and Allan Hallgren and Francis Halzen and Kael D. Hanson and Dustin Hebecker and David Heereman and Dirk Heinen and Klaus Helbing and Robert Eugene Hellauer III and Stephanie Virginia Hickford and Gary C. Hill and Kara D. Hoffman and Ruth Hoffmann and Andreas Homeier and Kotoyo Hoshina and Feifei Huang and Warren Huelsnitz and Per Olof Hulth and Klas Hultqvist and Shahid Hussain and Aya Ishihara and Emanuel Jacobi and John E. Jacobsen and Kai Jagielski and George S. Japaridze and Kyle Jero and Ola Jlelati and Basho Kaminsky and Alexander Kappes and Timo Karg and Albrecht Karle and Matthew Kauer and John Lawrence Kelley and Joanna Kiryluk and J. Kl{\"{a}}s and Spencer R. Klein and Jan{-}Hendrik K{\"{o}}hne and Georges Kohnen and Hermann Kolanoski and Lutz K{\"{o}}pke and Claudio Kopper and Sandro Kopper and D. Jason Koskinen and Marek Kowalski and Mark Krasberg and Anna Kriesten and Kai Michael Krings and G{\"{o}}sta Kroll and Jan Kunnen and Naoko Kurahashi and Takao Kuwabara and Mathieu L. M. Labare and Hagar Landsman and Michael James Larson and Mariola Lesiak{-}Bzdak and Martin Leuermann and Julia Leute and Jan L{\"{u}}nemann and Oscar A. Mac{\'{\i}}as{-}Ram{\'{\i}}rez and James Madsen and Giuliano Maggi and Reina Maruyama and Keiichi Mase and Howard S. Matis and Frank McNally and Kevin James Meagher and Martin Merck and Gonzalo Merino Ar{\'{e}}valo and Thomas Meures and Sandra Miarecki and Eike Middell and Natalie Milke and John Lester Miller and Lars Mohrmann and Teresa Montaruli and Robert M. Morse and Rolf Nahnhauer and Uwe Naumann and Hans Niederhausen and Sarah C. Nowicki and David R. Nygren and Anna Obertacke and Sirin Odrowski and Alex Olivas and Ahmad Omairat and Aongus Starbuck {\'{O}} Murchadha and Larissa Paul and Joshua A. Pepper and Carlos P{\'{e}}rez de los Heros and Carl Pfendner and Damian Pieloth and Elisa Pinat and Jonas Posselt and P. Buford Price and Gerald T. Przybylski and Melissa Quinnan and Leif R{\"{a}}del and Ian Rae and Mohamed Rameez and Katherine Rawlins and Peter Christian Redl and Ren{\'{e}} Reimann and Elisa Resconi and Wolfgang Rhode and Mathieu Ribordy and Michael Richman and Benedikt Riedel and J. P. Rodrigues and Carsten Rott and Tim Ruhe and Bakhtiyar Ruzybayev and Dirk Ryckbosch and Sabine M. Saba and Heinz{-}Georg Sander and Juan Marcos Santander and Subir Sarkar and Kai Schatto and Florian Scheriau and Torsten Schmidt and Martin Schmitz and Sebastian Schoenen and Sebastian Sch{\"{o}}neberg and Arne Sch{\"{o}}nwald and Anne Schukraft and Lukas Schulte and David Schultz and Olaf Schulz and David Seckel and Yolanda Sestayo de la Cerra and Surujhdeo Seunarine and Rezo Shanidze and Chris Sheremata and Miles W. E. Smith and Dennis Soldin and Glenn M. Spiczak and Christian Spiering and Michael Stamatikos and Todor Stanev and Nick A. Stanisha and Alexander Stasik and Thorsten Stezelberger and Robert G. Stokstad and Achim St{\"{o}}{\ss}l and Erik A. Strahler and Rickard Str{\"{o}}m and Nora Linn Strotjohann and Gregory W. Sullivan and Henric Taavola and Ignacio J. Taboada and Alessio Tamburro and Andreas Tepe and Samvel Ter{-}Antonyan and Gordana Tesic and Serap Tilav and Patrick A. Toale and Moriah Natasha Tobin and Simona Toscano and Maria Tselengidou and Elisabeth Unger and Marcel Usner and Sofia Vallecorsa and Nick van Eijndhoven and Arne Van Overloop and Jakob van Santen and Markus Vehring and Markus Voge and Matthias Vraeghe and Christian Walck and Tilo Waldenmaier and Marius Wallraff and Christopher N. Weaver and Mark T. Wellons and Christopher H. Wendt and Stefan Westerhoff and Nathan Whitehorn and Klaus Wiebe and Christopher Wiebusch and Dawn R. Williams and Henrike Wissing and Martin Wolf and Terri R. Wood and Kurt Woschnagg and Donglian Xu and Xianwu Xu and Juan Pablo Y{\'{a}}{\~{n}}ez Garza and Gaurang B. Yodh and Shigeru Yoshida and Pavel Zarzhitsky and Jan Ziemann and Simon Zierke and Marcel Zoll}, title = {The IceProd framework: Distributed data processing for the IceCube neutrino observatory}, journal = {J. Parallel Distributed Comput.}, volume = {75}, pages = {198--211}, year = {2015}, url = {https://doi.org/10.1016/j.jpdc.2014.08.001}, doi = {10.1016/J.JPDC.2014.08.001}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/Aartsen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiASEH15, author = {Woo{-}Seok Choi and Tejasvi Anand and Guanghua Shu and Amr Elshazly and Pavan Kumar Hanumolu}, title = {A Burst-Mode Digital Receiver With Programmable Input Jitter Filtering for Energy Proportional Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {3}, pages = {737--748}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2390613}, doi = {10.1109/JSSC.2015.2390613}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiASEH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GengLLZRW15, author = {Shuli Geng and Dang Liu and Yanfeng Li and Huiying Zhuo and Woogeun Rhee and Zhihua Wang}, title = {A 13.3 mW 500 Mb/s {IR-UWB} Transceiver With Link Margin Enhancement Technique for Meter-Range Communications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {3}, pages = {669--678}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2393815}, doi = {10.1109/JSSC.2015.2393815}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GengLLZRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/SimpsonCMCWASO15, author = {Ivor J. A. Simpson and Manuel Jorge Cardoso and Marc Modat and David M. Cash and Mark W. Woolrich and Jesper L. R. Andersson and Julia A. Schnabel and S{\'{e}}bastien Ourselin}, title = {Probabilistic non-linear registration with spatially adaptive regularisation}, journal = {Medical Image Anal.}, volume = {26}, number = {1}, pages = {203--216}, year = {2015}, url = {https://doi.org/10.1016/j.media.2015.08.006}, doi = {10.1016/J.MEDIA.2015.08.006}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/SimpsonCMCWASO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LiuCKM15, author = {Taizhi Liu and Chang{-}Chih Chen and Woongrae Kim and Linda Milor}, title = {Comprehensive reliability and aging analysis on SRAMs within microprocessor systems}, journal = {Microelectron. Reliab.}, volume = {55}, number = {9-10}, pages = {1290--1296}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.06.078}, doi = {10.1016/J.MICROREL.2015.06.078}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LiuCKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/MichelakisSBWLL15, author = {Dimitrios G. Michelakis and Neil Stuart and Matthew Brolly and Iain H. Woodhouse and German Lopez and Vinicio Linares}, title = {Estimation of Woody Biomass of Pine Savanna Woodlands From {ALOS} {PALSAR} Imagery}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {8}, number = {1}, pages = {244--254}, year = {2015}, url = {https://doi.org/10.1109/JSTARS.2014.2365253}, doi = {10.1109/JSTARS.2014.2365253}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/MichelakisSBWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/KimM15, author = {Woo{-}sung Kim and James R. Morrison}, title = {On Equilibrium Probabilities for the Delays in Deterministic Flow Lines With Random Arrivals}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {12}, number = {1}, pages = {62--74}, year = {2015}, url = {https://doi.org/10.1109/TASE.2014.2332258}, doi = {10.1109/TASE.2014.2332258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/KimM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HanLK15, author = {Jinsoo Han and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {User-friendly monitoring system for residential {PV} system based on low-cost power line communication}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {61}, number = {2}, pages = {175--180}, year = {2015}, url = {https://doi.org/10.1109/TCE.2015.7150571}, doi = {10.1109/TCE.2015.7150571}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HanLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/LeeXSW15, author = {Woongsup Lee and Lin Xiang and Robert Schober and Vincent W. S. Wong}, title = {Electric Vehicle Charging Stations With Renewable Power Generators: {A} Game Theoretical Analysis}, journal = {{IEEE} Trans. Smart Grid}, volume = {6}, number = {2}, pages = {608--617}, year = {2015}, url = {https://doi.org/10.1109/TSG.2014.2374592}, doi = {10.1109/TSG.2014.2374592}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/LeeXSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/PecKKC15, author = {Rothna Pec and Bon Woo Ku and Kyu Seok Kim and Yong Soo Cho}, title = {Receive Beamforming Techniques for an LTE-Based Mobile Relay Station With a Uniform Linear Array}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {7}, pages = {3299--3304}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2352675}, doi = {10.1109/TVT.2014.2352675}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/PecKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/HuangCLKH15, author = {Huang{-}Nan Huang and Shuo{-}Tsung Chen and Muh{-}Shi Lin and Woon{-}Man Kung and Chih{-}Yu Hsu}, title = {Optimization-Based Embedding for Wavelet-Domain Audio Watermarking}, journal = {J. Signal Process. Syst.}, volume = {80}, number = {2}, pages = {197--208}, year = {2015}, url = {https://doi.org/10.1007/s11265-013-0863-y}, doi = {10.1007/S11265-013-0863-Y}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/HuangCLKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimHL15c, author = {Jin{-}Woo Kim and Kyeong Hur and Seong{-}Ro Lee}, title = {An Efficient Link Establish Scheme for Device to Device Communications in Wireless {USB} Systems}, journal = {Wirel. Pers. Commun.}, volume = {83}, number = {1}, pages = {507--525}, year = {2015}, url = {https://doi.org/10.1007/s11277-015-2406-2}, doi = {10.1007/S11277-015-2406-2}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimHL15c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeRougeWSF15, author = {Cynthia LeRouge and Suzanne Wood and Ryan Sterling and Pamela G. Forducey}, title = {Decision Factors Influencing the Selection of a "Hand-off" Model Versus a "Hold-on" Model for Telehealth Service Lines}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t005-1.2744350/f005-1.2744351/2248096-1.2744983/2246727-1.2744980}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeRougeWSF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/BaeJPCJ15, author = {Woo{-}Rham Bae and Haram Ju and Kwanseo Park and Sung{-}Yong Cho and Deog{-}Kyoon Jeong}, title = {A 7.6 mW, 214-fs {RMS} jitter 10-GHz phase-locked loop for 40-Gb/s serial link transmitter based on two-stage ring oscillator in 65-nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men, China, November 9-11, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASSCC.2015.7387448}, doi = {10.1109/ASSCC.2015.7387448}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/BaeJPCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcshci/AbelFPHTGLVBSLT15, author = {Pete Abel and Matthew Fox and Robert Potts and Drew Hemment and Catherine Thomson and Pavol Gajdos and Sha Li and Antia Dona Vazquez and Rose Barraclough and Gabriele Schliwa and Joseph Lindley and Steve Turner and Jonathon Devitt and Jane MacDonald and Alex Lee and Chris Trueblood and Deborah Maxwell and Hadi Mehrpouya and Mel Woods and Vincent Walsh and An{\"{a}}is Moisy and Goktug Islamoglu and Graeme Sherriff and Vanessa Thomas and Lara Devitt and Kirsty Jennings and Chris Speed and Fionn Tynan{-}O'Mahony and Vera{-}Karina Gebhardt and Leon Trimble and Rob Raikes and Karl Monsen}, editor = {Shaun W. Lawson and Patrick Dickinson}, title = {Re-writing the city: negotiating and reflecting on data streams}, booktitle = {Proceedings of the 2015 British {HCI} Conference, Lincoln, United Kingdom, July 13-17, 2015}, pages = {147--156}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2783446.2783562}, doi = {10.1145/2783446.2783562}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcshci/AbelFPHTGLVBSLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/candc/TaylorBNWCWBGMB15, author = {Robyn Taylor and John Bowers and Bettina Nissen and Gavin Wood and Qasim Chaudhry and Peter C. Wright and Lindsey Bruce and Sarah Glynn and Helen Mallinson and Roy Bearpark}, editor = {Tom Maver and Ellen Yi{-}Luen Do}, title = {Making Magic: Designing for Open Interactions in Museum Settings}, booktitle = {Proceedings of the 2015 {ACM} {SIGCHI} Conference on Creativity and Cognition, C{\&}C '15, Glasgow, United Kingdom, June 22-25, 2015}, pages = {313--322}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2757226.2757241}, doi = {10.1145/2757226.2757241}, timestamp = {Sun, 29 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/candc/TaylorBNWCWBGMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/WoodsPL15, author = {Bronwyn Woods and Samuel J. Perl and Brian Lindauer}, editor = {Indrajit Ray and Tomas Sander and Moti Yung}, title = {Data Mining for Efficient Collaborative Information Discovery}, booktitle = {Proceedings of the 2nd {ACM} Workshop on Information Sharing and Collaborative Security, {WISCS} 2015, Denver, Colorado, USA, October 12, 2015}, pages = {3--12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2808128.2808130}, doi = {10.1145/2808128.2808130}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/WoodsPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dc/LinKABTJM15, author = {Xia Lin and Michael Khoo and Jae{-}wook Ahn and Ceri Binding and Douglas Tudhope and Hilary Jane Jones and Diane Massam}, editor = {Mariana Curado Malta and Silvana Aparecida Borsetti Greg{\'{o}}rio Vidotti}, title = {A {DDC} Visual Interface for Metadata Exploration}, booktitle = {Proceedings of the 2015 International Conference on Dublin Core and Metadata Applications, {DC} 2015, S{\~{a}}o Paulo, Brazil, September 1-4, 2015}, pages = {158--169}, publisher = {Dublin Core Metadata Initiative}, year = {2015}, url = {http://dcpapers.dublincore.org/pubs/article/view/3771}, timestamp = {Wed, 10 Feb 2021 22:01:39 +0100}, biburl = {https://dblp.org/rec/conf/dc/LinKABTJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/KimXLLRS15, author = {Seung{-}Hun Kim and Lei Xu and Ziyi Liu and Zhiqiang Lin and Won Woo Ro and Weidong Shi}, title = {Enhancing Software Dependability and Security with Hardware Supported Instruction Address Space Randomization}, booktitle = {45th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2015, Rio de Janeiro, Brazil, June 22-25, 2015}, pages = {251--262}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSN.2015.48}, doi = {10.1109/DSN.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/KimXLLRS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZhangMFWGIM15, author = {Lin Zhang and Ou Ma and Jennifer M. Fabre and Robert H. Wood and Stephanie U. Garcia and Kayla M. Ivey and Evan D. McCann}, title = {Classification of older adults with/without a fall history using machine learning methods}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {6760--6763}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319945}, doi = {10.1109/EMBC.2015.7319945}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZhangMFWGIM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ParkKWL15, author = {Minkee Park and Seungwoo Kim and Dong{-}Min Woo and Joo{-}Ho Lee}, title = {Traffic regulation algorithm for metro lines with time interval deviations}, booktitle = {12th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2015, Zhangjiajie, China, August 15-17, 2015}, pages = {2395--2399}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FSKD.2015.7382328}, doi = {10.1109/FSKD.2015.7382328}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ParkKWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/MishraSAHAZPAHK15, author = {Manisha Mishra and David Sidoti and Diego Fernando Martinez Ayala and Xu Han and Gopi Vinod Avvari and Lingyi Zhang and Krishna R. Pattipati and Woosun An and James A. Hansen and David L. Kleinman}, title = {Dynamic resource management and information integration for proactive decision support and planning}, booktitle = {18th International Conference on Information Fusion, {FUSION} 2015, Washington, DC, USA, July 6-9, 2015}, pages = {295--302}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7266575/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/MishraSAHAZPAHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HanLK15, author = {Jinsoo Han and Il{-}Woo Lee and Sang{-}Ha Kim}, title = {User-friendly monitoring system for residential {PV} system based on low-cost power line communication}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {623--624}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE.2015.7066554}, doi = {10.1109/ICCE.2015.7066554}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/HanLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/ZhuSDC15, author = {Dehong Zhu and Chenpu Shen and Yi Du and Tze Wood Ching}, title = {Comparison of a novel modular and complementary linear flux-switching permanent magnet motor with different phase arrangements}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2015, Seville, Spain, March 17-19, 2015}, pages = {2636--2641}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIT.2015.7125486}, doi = {10.1109/ICIT.2015.7125486}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icit2/ZhuSDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SungPKC15, author = {Jung Sik Sung and Seonghee Park and Tae Gyu Kang and Jongwoo Choi}, title = {Design and implementation of touch-link algorithm for reducing the link connection time in ZigBee}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {996--998}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354722}, doi = {10.1109/ICTC.2015.7354722}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SungPKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ChenSLCL15, author = {Yin Chen and Dan Sun and Bin Lin and Tze Wood Ching and Wenlong Li}, title = {Dead-beat direct torque and flux control based on sliding-mode stator flux observer for {PMSM} in electric vehicles}, booktitle = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, pages = {2270--2275}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IECON.2015.7392440}, doi = {10.1109/IECON.2015.7392440}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/ChenSLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MunyatiS15, author = {Christopher Munyati and Ndidzulafhi I. Sinthumule}, title = {Assessing woody vegetation cover dynamics in the Kruger National Park, South Africa: Linking historical aerial photographs and spot imagery}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {4240--4243}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7326762}, doi = {10.1109/IGARSS.2015.7326762}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/MunyatiS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/StevensonMWH15, author = {Jamal Stevenson and Raveen McKenzie and Jeff Wood and Linda B. Hayden}, title = {A comparative study to the 2011/2013 water quality assessments in the Pasquotank Watershed in Northeastern North Carolina with a sea level rise component}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {153--156}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7325722}, doi = {10.1109/IGARSS.2015.7325722}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/StevensonMWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/RoPCL15, author = {Won Woo Ro and Giyong Park and Sejin Chun and Kyong{-}Ho Lee}, title = {Complex Sensor Mashups for Linking Sensors and Formula-Based Knowledge Bases}, booktitle = {2015 {IEEE} International Conference on Information Reuse and Integration, {IRI} 2015, San Francisco, CA, USA, August 13-15, 2015}, pages = {126--133}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IRI.2015.29}, doi = {10.1109/IRI.2015.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iri/RoPCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkLKKCILCPSP15, author = {Jongwoo Park and Miji Lee and Hanbyul Kang and Wooram Ko and Eunkyeong Choi and Junsik Im and Minwoo Lee and Dohwan Chung and Jinchul Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of front-end-of line process variations and defects on retention failure of flash memory: Charge loss/gain mechanism}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112674}, doi = {10.1109/IRPS.2015.7112674}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkLKKCILCPSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/WoodLY15, author = {Richard G. Wood and Tam{\'{a}}s Linder and Serdar Y{\"{u}}ksel}, title = {Optimality of Walrand-Varaiya type policies and approximation results for zero delay coding of Markov sources}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2015, Hong Kong, China, June 14-19, 2015}, pages = {1382--1386}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISIT.2015.7282682}, doi = {10.1109/ISIT.2015.7282682}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/WoodLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ita/YangJK15, author = {Minho Yang and Sang{-}Woon Jeon and Dong Ku Kim}, title = {Linear degrees of freedom of two-user {MIMO} broadcast channels with reconfigurable antennas in the absence of {CSIT}}, booktitle = {2015 Information Theory and Applications Workshop, {ITA} 2015, San Diego, CA, USA, February 1-6, 2015}, pages = {185--190}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ITA.2015.7308987}, doi = {10.1109/ITA.2015.7308987}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ita/YangJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/KimCLCM15, author = {Woongrae Kim and Chang{-}Chih Chen and Taizhi Liu and Soonyoung Cha and Linda Milor}, title = {Estimation of remaining life using embedded {SRAM} for wearout parameter extraction}, booktitle = {6th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2015, Gallipoli, Italy, June 18-19, 2015}, pages = {243--248}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWASI.2015.7184952}, doi = {10.1109/IWASI.2015.7184952}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwasi/KimCLCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/MinALCK15, author = {Junghi Min and Sungyong Ahn and Kwanghyun La and Wooseok Chang and Jihong Kim}, title = {Cgroup++: Enhancing {I/O} Resource Management of Linux Cgroup on {NUMA} Systems with NVMe SSDs}, booktitle = {Proceedings of the Posters and Demos Session of the 16th International Middleware Conference, Middleware Posters and Demos 2015, Vancouver, BC, Canada, December 7-11, 2015}, pages = {7:1--7:2}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2830894.2830901}, doi = {10.1145/2830894.2830901}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/middleware/MinALCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/midp/KwakH0PW15, author = {Jin Tae Kwak and Stephen M. Hewitt and Sheng Xu and Peter A. Pinto and Bradford J. Wood}, editor = {Metin N. Gurcan and Anant Madabhushi}, title = {Nucleus detection using gradient orientation information and linear least squares regression}, booktitle = {Medical Imaging 2015: Digital Pathology, Orlando, Florida, United States, 21-26 February 2015}, series = {{SPIE} Proceedings}, volume = {9420}, pages = {94200N}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2081413}, doi = {10.1117/12.2081413}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/midp/KwakH0PW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BarwiczTLBNMNTJ15, author = {Tymon Barwicz and Yoichi Taira and Ted W. Lichoulas and Nicolas Boyer and Hidetoshi Numata and Yves Martin and Jae{-}Woong Nah and Shotaro Takenobu and Alexander Janta{-}Polczynski and Eddie L. Kimbrell and Robert Leidy and Marwan Khater and Swetha Kamlapurkar and Sebastian Engelmann and Yurii A. Vlasov and Paul Fortier}, title = {Photonic packaging in high-throughput microelectronic assembly lines for cost-efficiency and scalability}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1364/OFC.2015.W3H.4}, doi = {10.1364/OFC.2015.W3H.4}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BarwiczTLBNMNTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/JeongPKS15, author = {Soyun Jeong and Youngmin Park and Sangwoo Kang and Jungyun Seo}, title = {Improved Entity Linking with User History and News Articles}, booktitle = {Proceedings of the 29th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 29, Shanghai, China, October 30 - November 1, 2015}, publisher = {{ACL}}, year = {2015}, url = {https://aclanthology.org/Y15-2003/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/JeongPKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ram/GaoJXTLLJCW15, author = {Zuchang Gao and Junbo Jia and Jinlong Xie and Wei Da Toh and Pengfeng Lin and Hang Lyu and Deddy Julyanto and Cheng Siong Chin and Wai Lok Woo}, title = {Modelling and simulation of a 12-cell battery power system with fault control for underwater robot}, booktitle = {7th International Conference on Cybernetics and Intelligent Systems, {CIS} 2015, and {IEEE} Conference on Robotics, Automation and Mechatronics, {RAM} 2015, Siem Reap, Cambodia, July 15-17, 2015}, pages = {CIS:261--267}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCIS.2015.7274584}, doi = {10.1109/ICCIS.2015.7274584}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ram/GaoJXTLLJCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/KimNWNC15, author = {Eun{-}Kyung Kim and Sangha Nam and Jongsung Woo and Sejin Nam and Key{-}Sun Choi}, editor = {Pavel Shvaiko and J{\'{e}}r{\^{o}}me Euzenat and Ernesto Jim{\'{e}}nez{-}Ruiz and Michelle Cheatham and Oktie Hassanzadeh}, title = {Triple-based similarity propagation for linked data matching}, booktitle = {Proceedings of the 10th International Workshop on Ontology Matching collocated with the 14th International Semantic Web Conference {(ISWC} 2015), Bethlehem, PA, USA, October 12, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1545}, pages = {226--227}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1545/om2015\_poster3.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:04 +0100}, biburl = {https://dblp.org/rec/conf/semweb/KimNWNC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimPYJ15, author = {Hyun Woo Kim and Young Jun Park and Jun Won Yoon and Seul Jung}, title = {Line tracking control demonstration of a master-slave balancing mobile robot}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {355}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358977}, doi = {10.1109/URAI.2015.7358977}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimPYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SaxenaSNTEAKCH15, author = {Saurabh Saxena and Guanghua Shu and Romesh Kumar Nandwana and Mrunmay Talegaonkar and Ahmed Elkholy and Tejasvi Anand and Seong Joong Kim and Woo{-}Seok Choi and Pavan Kumar Hanumolu}, title = {A 2.8mW/Gb/s 14Gb/s serial link transceiver in 65nm {CMOS}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {352}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231320}, doi = {10.1109/VLSIC.2015.7231320}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/SaxenaSNTEAKCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KimCCM15, author = {Woongrae Kim and Chang{-}Chih Chen and Soonyoung Cha and Linda Milor}, title = {{MBIST} and statistical hypothesis test for time dependent dielectric breakdowns due to {GOBD} vs. {BTDDB} in an {SRAM} array}, booktitle = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April 27-29, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/VTS.2015.7116289}, doi = {10.1109/VTS.2015.7116289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/KimCCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WoodsPS15, author = {Brad D. Woods and Abraham P. Punnen and Tamon Stephen}, title = {A Linear Time Algorithm for the {\textdollar}3{\textdollar}-Neighbour Traveling Salesman Problem on Halin graphs and extensions}, journal = {CoRR}, volume = {abs/1504.02151}, year = {2015}, url = {http://arxiv.org/abs/1504.02151}, eprinttype = {arXiv}, eprint = {1504.02151}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WoodsPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/PangWCDSPL14, author = {Kaifang Pang and Ying{-}Wooi Wan and William T. Choi and Lawrence A. Donehower and Jingchun Sun and Dhruv Pant and Zhandong Liu}, title = {Combinatorial therapy discovery using mixed integer linear programming}, journal = {Bioinform.}, volume = {30}, number = {10}, pages = {1456--1463}, year = {2014}, url = {https://doi.org/10.1093/bioinformatics/btu046}, doi = {10.1093/BIOINFORMATICS/BTU046}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/PangWCDSPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HanL14, author = {Eunyoung Han and Sang{-}Woo Lee}, title = {Motivations for the complementary use of text-based media during linear {TV} viewing: An exploratory study}, journal = {Comput. Hum. Behav.}, volume = {32}, pages = {235--243}, year = {2014}, url = {https://doi.org/10.1016/j.chb.2013.12.015}, doi = {10.1016/J.CHB.2013.12.015}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/HanL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChoiSLLC14, author = {Jihwan P. Choi and Jaesub Shin and Jungwon Lee and Hui{-}Ling Lou and Ji{-}Woong Choi}, title = {Resource Allocation for {H-FDD} {OFDMA} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {18}, number = {7}, pages = {1242--1245}, year = {2014}, url = {https://doi.org/10.1109/LCOMM.2014.2326878}, doi = {10.1109/LCOMM.2014.2326878}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChoiSLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangYSS14, author = {Seung{-}Nam Kang and In{-}Sub Yoo and Myungok Shin and Seung{-}Woo Seo}, title = {Accurate inter-vehicle distance measurement based on monocular camera and line laser}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20130932}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130932}, doi = {10.1587/ELEX.11.20130932}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangYSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimJS14, author = {Byoung{-}Kwang Kim and Meiguang Jin and Woo{-}Jin Song}, title = {Local and Nonlocal Color Line Models for Image Matting}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {8}, pages = {1814--1819}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.1814}, doi = {10.1587/TRANSFUN.E97.A.1814}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/EomHSK14, author = {Ki Hwan Eom and Kyo{-}Hwan Hyun and Lin Sen and Joo{-}Woong Kim}, title = {The Meat Freshness Monitoring System Using the Smart {RFID} Tag}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/591812}, doi = {10.1155/2014/591812}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/EomHSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/SongLL14, author = {Cheeyang Song and Soonbok Lee and Woojin Lee}, title = {A Refinement Technique for Duplication and Collision Between Features in Software Product Line Engineering}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {24}, number = {4}, pages = {521--552}, year = {2014}, url = {https://doi.org/10.1142/S021819401450020X}, doi = {10.1142/S021819401450020X}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijseke/SongLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/WoodsS14, author = {Simon Woods and W. Szyszkowski}, title = {Optimal Manoeuvres of Underactuated Linear Mechanical Systems: The Case of Controlling Gantry Crane Operations}, journal = {J. Appl. Math.}, volume = {2014}, pages = {283565:1--283565:16}, year = {2014}, url = {https://doi.org/10.1155/2014/283565}, doi = {10.1155/2014/283565}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/WoodsS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/KwakC14, author = {Woo{-}Lahm Kwak and Soo Y. Chang}, title = {Order consolidation for hierarchical product lines}, journal = {J. Comb. Optim.}, volume = {27}, number = {3}, pages = {597--608}, year = {2014}, url = {https://doi.org/10.1007/s10878-012-9538-z}, doi = {10.1007/S10878-012-9538-Z}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/KwakC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ParkWKM14, author = {Dong{-}Chul Park and Dong{-}Min Woo and Chang{-}Sun Kim and Soo{-}Young Min}, title = {Clustering of 3D Line Segments using Centroid Neural Network for Building Detection}, journal = {J. Circuits Syst. Comput.}, volume = {23}, number = {5}, year = {2014}, url = {https://doi.org/10.1142/S0218126614500716}, doi = {10.1142/S0218126614500716}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ParkWKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/JangKFP14, author = {Min{-}Hee Jang and Sang{-}Wook Kim and Christos Faloutsos and Sunju Park}, title = {Accurate Approximation of the Earth Mover's Distance in Linear Time}, journal = {J. Comput. Sci. Technol.}, volume = {29}, number = {1}, pages = {142--154}, year = {2014}, url = {https://doi.org/10.1007/s11390-014-1417-x}, doi = {10.1007/S11390-014-1417-X}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/JangKFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/KimCL14, author = {Hee{-}Woong Kim and Hock Chuan Chan and So{-}Hyun Lee}, title = {User Resistance to Software Migration: The Case on Linux}, journal = {J. Database Manag.}, volume = {25}, number = {1}, pages = {59--79}, year = {2014}, url = {https://doi.org/10.4018/jdm.2014010103}, doi = {10.4018/JDM.2014010103}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/KimCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jis/KimLSKL14, author = {Dong{-}Jin Kim and Sang{-}Chul Lee and Ho{-}Yong Son and Sang{-}Wook Kim and Jae Bum Lee}, title = {C-Rank and its variants: {A} contribution-based ranking approach exploiting links and content}, journal = {J. Inf. Sci.}, volume = {40}, number = {6}, pages = {761--778}, year = {2014}, url = {https://doi.org/10.1177/0165551514545429}, doi = {10.1177/0165551514545429}, timestamp = {Thu, 06 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jis/KimLSKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/WangZLLZ14, author = {Bo Wang and Ming Zhou and Shujie Liu and Mu Li and Dongdong Zhang}, title = {Woodpecker: An Automatic Methodology for Machine Translation Diagnosis with Rich Linguistic Knowledge}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {5}, pages = {1407--1424}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201409\_07.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/WangZLLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisis/ParkBALK14, author = {Sangho Park and Jihyun Bang and Mirim Ahn and Woomin Lee and Taekyoung Kwon}, title = {A Method for Hiding Link Layer Addresses Using Bloom Filter in Wireless Sensor Networks}, journal = {J. Internet Serv. Inf. Secur.}, volume = {4}, number = {4}, pages = {71--81}, year = {2014}, url = {https://doi.org/10.22667/JISIS.2014.11.31.071}, doi = {10.22667/JISIS.2014.11.31.071}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisis/ParkBALK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/LeeXSW14, author = {Woongsup Lee and Lin Xiang and Robert Schober and Vincent W. S. Wong}, title = {Direct Electricity Trading in Smart Grid: {A} Coalitional Game Analysis}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {32}, number = {7}, pages = {1398--1411}, year = {2014}, url = {https://doi.org/10.1109/JSAC.2014.2332112}, doi = {10.1109/JSAC.2014.2332112}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/LeeXSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/ConsortiumFKRB14, author = {The Fantom Consortium and RIKEN PMII and RIKEN CLST (DGT) and Alistair R. R. Forrest and Hideya Kawaji and Michael Rehli and J. Kenneth Baillie and Michiel J. L. de Hoon and Vanja Haberle and Timo Lassmann and Ivan V. Kulakovskiy and Marina Lizio and Masayoshi Itoh and Robin Andersson and Christopher J. Mungall and Terrence F. Meehan and Sebastian Schmeier and Nicolas Bertin and Mette J{\o}rgensen and Emmanuel Dimont and Erik Arner and Christian Schmidl and Ulf Schaefer and Yulia A. Medvedeva and Charles Plessy and Morana Vitezic and Jessica Severin and Colin A. M. Semple and Yuri Ishizu and Robert S. Young and Margherita Francescatto and Intikhab Alam and Davide Albanese and Gabriel M. Altschuler and Takahiro Arakawa and John A. C. Archer and Peter Arner and Magda Babina and Sarah Rennie and Piotr J. Balwierz and Anthony G. Beckhouse and Swati Pradhan{-}Bhatt and Judith A. Blake and Antje Blumenthal and Beatrice Bodega and Alessandro Bonetti and James Briggs and Frank Brombacher and A. Maxwell Burroughs and Andrea Califano and Carlo V. Cannistraci and Daniel Carbajo and Yun Chen and Marco Chierici and Yari Ciani and Hans Clevers and Emiliano Dalla and Carrie A. Davis and Michael Detmar and Alexander D. Diehl and Taeko Dohi and Finn Drabl{\o}s and Albert S. B. Edge and Matthias Edinger and Karl Ekwall and Mitsuhiro Endoh and Hideki Enomoto and Michela Fagiolini and Lynsey Fairbairn and Hai Fang and Mary C. Farach{-}Carson and Geoffrey J. Faulkner and Alexander V. Favorov and Malcolm E. Fisher and Martin C. Frith and Rie Fujita and Shiro Fukuda and Cesare Furlanello and Masaaki Furuno and Jun{-}ichi Furusawa and Teunis B. Geijtenbeek and Andrew P. Gibson and Thomas R. Gingeras and Daniel Goldowitz and Julian Gough and Sven Guhl and Reto Guler and Stefano Gustincich and Thomas J. Ha and Masahide Hamaguchi and Mitsuko Hara and Matthias Harbers and Jayson Harshbarger and Akira Hasegawa and Yuki Hasegawa and Takehiro Hashimoto and Meenhard Herlyn and Kelly J. Hitchens and Shannan J. Ho Sui and Oliver M. Hofmann and Ilka Hoof and Fumi Hori and Lukasz Huminiecki and Kei Iida and Tomokatsu Ikawa and Boris R. Jankovic and Hui Jia and Anagha Joshi and Giuseppe Jurman and Bogumil Kaczkowski and Chieko Kai and Kaoru Kaida and Ai Kaiho and Kazuhiro Kajiyama and Mutsumi Kanamori{-}Katayama and Artem S. Kasianov and Takeya Kasukawa and Shintaro Katayama and Sachi Kato and Shuji Kawaguchi and Hiroshi Kawamoto and Yuki I. Kawamura and Tsugumi Kawashima and Judith S. Kempfle and Tony J. Kenna and Juha Kere and Levon M. Khachigian and Toshio Kitamura and S. Peter Klinken and Alan J. Knox and Miki Kojima and Soichi Kojima and Naoto Kondo and Haruhiko Koseki and Shigeo Koyasu and Sarah Krampitz and Atsutaka Kubosaki and Andrew T. Kwon and Jeroen F. J. Laros and Weonju Lee and Andreas Lennartsson and Kang Li and Berit Lilje and Leonard Lipovich and Alan Mackay{-}Sim and Ri{-}ichiroh Manabe and Jessica Cara Mar and Benoit Marchand and Anthony Mathelier and Niklas Mejhert and Alison M. Meynert and Yosuke Mizuno and David A. de Lima Morais and Hiromasa Morikawa and Mitsuru Morimoto and Kazuyo Moro and Efthymios Motakis and Hozumi Motohashi and Christine Mummery and Mitsuyoshi Murata and Sayaka Nagao{-}Sato and Yutaka Nakachi and Fumio Nakahara and Toshiyuki Nakamura and Yukio Nakamura and Kenichi Nakazato and Erik van Nimwegen and Noriko Ninomiya and Hiromi Nishiyori and Shohei Noma and Tadasuke Nozaki and Soichi Ogishima and Naganari Ohkura and Hiroko Ohmiya and Hiroshi Ohno and Mitsuhiro Ohshima and Mariko Okada{-}Hatakeyama and Yasushi Okazaki and Valerio Orlando and Dmitry A. Ovchinnikov and Arnab Pain and Robert Passier and Margaret Patrikakis and Helena Persson and Silvano Piazza and James G. D. Prendergast and Owen J. L. Rackham and Jordan A. Ramilowski and Mamoon Rashid and Timothy Ravasi and Patrizia Rizzu and Marco Roncador and Sugata Roy and Morten B. Rye and Eri Saijyo and Antti Sajantila and Akiko Saka and Shimon Sakaguchi and Mizuho Sakai and Hiroki Sato and Hironori Sato and Suzana Savvi and Alka Saxena and Claudio Schneider and Erik A. Schultes and Gundula G. Schulze{-}Tanzil and Anita Schwegmann and Thierry Sengstag and Guojun Sheng and Hisashi Shimoji and Yishai Shimoni and Jay W. Shin and Christophe Simon and Daisuke Sugiyama and Takaaki Sugiyama and Masanori Suzuki and Naoko Suzuki and Rolf K. Swoboda and Peter A. C. 't Hoen and Michihira Tagami and Naoko Takahashi and Jun Takai and Hiroshi Tanaka and Hideki Tatsukawa and Zuotian Tatum and Mark Thompson and Hiroo Toyoda and Tetsuro Toyoda and Eivind Valen and Marc van de Wetering and Linda M. van den Berg and Roberto Verardo and Dipti Vijayan and Ilya E. Vorontsov and Wyeth W. Wasserman and Shoko Watanabe and Christine A. Wells and Louise N. Winteringham and Ernst Wolvetang and Emily J. Wood and Yoko Yamaguchi and Masayuki Yamamoto and Misako Yoneda and Yohei Yonekura and Shigehiro Yoshida and Susan E. Zabierowski and Peter G. Zhang and Xiaobei Zhao and Silvia Zucchelli and Kim M. Summers and Harukazu Suzuki and Carsten O. Daub and Jun Kawai and Peter Heutink and Winston Hide and Tom C. Freeman and Boris Lenhard and Vladimir B. Bajic and Martin S. Taylor and Vsevolod J. Makeev and Albin Sandelin and David A. Hume and Piero Carninci and Yoshihide Hayashizaki}, title = {A promoter-level mammalian expression atlas}, journal = {Nat.}, volume = {507}, number = {7493}, pages = {462--470}, year = {2014}, url = {https://doi.org/10.1038/nature13182}, doi = {10.1038/NATURE13182}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nature/ConsortiumFKRB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/KimPLSM14, author = {Woojae Kim and Mark A. Pitt and Zhong{-}Lin Lu and Mark Steyvers and Jay I. Myung}, title = {A Hierarchical Adaptive Approach to Optimal Experimental Design}, journal = {Neural Comput.}, volume = {26}, number = {11}, pages = {2465--2492}, year = {2014}, url = {https://doi.org/10.1162/NECO\_a\_00654}, doi = {10.1162/NECO\_A\_00654}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/KimPLSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/MalyshevS14, author = {Alexander N. Malyshev and Miloud Sadkane}, title = {Fast solution of unsymmetric banded Toeplitz systems by means of spectral factorizations and Woodbury's formula}, journal = {Numer. Linear Algebra Appl.}, volume = {21}, number = {1}, pages = {13--23}, year = {2014}, url = {https://doi.org/10.1002/nla.1853}, doi = {10.1002/NLA.1853}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/MalyshevS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/NaPKC14, author = {Jin Hee Na and Myoung Soo Park and Woo{-}Sung Kang and Jin Young Choi}, title = {Linear boundary discriminant analysis based on {QR} decomposition}, journal = {Pattern Anal. Appl.}, volume = {17}, number = {1}, pages = {105--112}, year = {2014}, url = {https://doi.org/10.1007/s10044-012-0285-7}, doi = {10.1007/S10044-012-0285-7}, timestamp = {Sun, 10 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paa/NaPKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/AL-ShihaWD14, author = {Abeer A. Mohamad Al{-}Shiha and Wai Lok Woo and Satnam Singh Dlay}, title = {Multi-linear neighborhood preserving projection for face recognition}, journal = {Pattern Recognit.}, volume = {47}, number = {2}, pages = {544--555}, year = {2014}, url = {https://doi.org/10.1016/j.patcog.2013.08.005}, doi = {10.1016/J.PATCOG.2013.08.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/AL-ShihaWD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeidariYPSMLP14, author = {Amir Heidari and Yong{-}Jin Yoon and Woo{-}Tae Park and Pei{-}Chen Su and Jianmin Miao and Julius Ming{-}Lin Tsai and Mi Kyoung Park}, title = {Biotin-Streptavidin Binding Interactions of Dielectric Filled Silicon Bulk Acoustic Resonators for Smart Label-Free Biochemical Sensor Applications}, journal = {Sensors}, volume = {14}, number = {3}, pages = {4585--4598}, year = {2014}, url = {https://doi.org/10.3390/s140304585}, doi = {10.3390/S140304585}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HeidariYPSMLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HeTG14, author = {Jianjun He and Ee{-}Leng Tan and Woon{-}Seng Gan}, title = {Linear Estimation Based Primary-Ambient Extraction for Stereo Audio Signals}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {22}, number = {2}, pages = {505--517}, year = {2014}, url = {https://doi.org/10.1109/TASLP.2013.2297015}, doi = {10.1109/TASLP.2013.2297015}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/HeTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MahmoudiKRHU14, author = {Amin Mahmoudi and Solmaz Kahourzade and Nasrudin Abd. Rahim and Wooi Ping Hew and Mohammad Nasir Uddin}, title = {Design, Analysis, and Prototyping of a Novel-Structured Solid-Rotor-Ringed Line-Start Axial-Flux Permanent-Magnet Motor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {4}, pages = {1722--1734}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2266082}, doi = {10.1109/TIE.2013.2266082}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/MahmoudiKRHU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SunYCGLRW14, author = {Duo Sun and Yong Yan and Robert M. Carter and Lingjun Gao and Gang Lu and Gerry Riley and Matthew Wood}, title = {On-Line Nonintrusive Detection of Wood Pellets in Pneumatic Conveying Pipelines Using Vibration and Acoustic Sensors}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {63}, number = {5}, pages = {993--1001}, year = {2014}, url = {https://doi.org/10.1109/TIM.2013.2292284}, doi = {10.1109/TIM.2013.2292284}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SunYCGLRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausctw/ShangSWS14, author = {Cheng Yu Andy Shang and Peter J. Smith and Graeme K. Woodward and Himal A. Suraweera}, title = {Linear transceivers for full duplex {MIMO} relays}, booktitle = {Australian Communications Theory Workshop, AusCTW 2014, Sydney, Australia, February 3-5, 2014}, pages = {11--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/AusCTW.2014.6766420}, doi = {10.1109/AUSCTW.2014.6766420}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ausctw/ShangSWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LeeKZRP14, author = {Woo Suk Lee and Albert Kim and Babak Ziaie and Vijay Raghunathan and Charles R. Powell}, title = {UP-link: An ultra-low power implantable wireless system for long-term ambulatory urodynamics}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2014, Proceedings, Lausanne, Switzerland, October 22-24, 2014}, pages = {384--387}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BioCAS.2014.6981743}, doi = {10.1109/BIOCAS.2014.6981743}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/LeeKZRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/EnglandSLECSBW14, author = {David England and Jocelyn Spence and Celine Latulipe and Ernest A. Edmonds and Linda Candy and Thecla Schiphorst and Nick Bryan{-}Kinns and Kirk A. Woolford}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Curating the digital: spaces for art and interaction}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {21--24}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2559222}, doi = {10.1145/2559206.2559222}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/EnglandSLECSBW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/EnglandSLECSBW14a, author = {David England and Jocelyn C. Spence and Celine Latulipe and Ernest A. Edmonds and Linda Candy and Thecla Schiphorst and Nick Bryan{-}Kinns and Kirk A. Woolford}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Art and interaction {SIG:} cataloging the digital arts}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {1115--1118}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2559210}, doi = {10.1145/2559206.2559210}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/EnglandSLECSBW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WoodVB0SCMLCACW14, author = {Gavin Wood and John Vines and Madeline Balaam and Nick Taylor and Thomas Smith and Clara Crivellaro and Juliana Mensah and Helen Limon and John Challis and Linda Anderson and Adam Clarke and Peter C. Wright}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {The dept. of hidden stories: playful digital storytelling for children in a public library}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {1885--1894}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557034}, doi = {10.1145/2556288.2557034}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WoodVB0SCMLCACW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/KimPLSGM14, author = {Woojae Kim and Mark A. Pitt and Zhong{-}Lin Lu and Mark Steyvers and Hairong Gu and Jay I. Myung}, editor = {Paul Bello and Marcello Guarini and Marjorie McShane and Brian Scassellati}, title = {A Hierarchical Adaptive Approach to the Optimal Design of Experiments}, booktitle = {Proceedings of the 36th Annual Meeting of the Cognitive Science Society, CogSci 2014, Quebec City, Canada, July 23-26, 2014}, publisher = {cognitivesciencesociety.org}, year = {2014}, url = {https://escholarship.org/uc/item/4k29f6nk}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/KimPLSGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimHSK14, author = {Yong{-}Hee Kim and Jin{-}Woo Han and Kyu{-}Ha Song and Hyoung{-}Nam Kim}, title = {Emitter location based on the linearization of quadratic {TDOA} and {FDOA} curves}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914448}, doi = {10.1109/ELINFOCOM.2014.6914448}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimHSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eos/SchwarzKBWL14, author = {Mark A. Schwarz and Craig J. Kent and Steven W. Brown and John T. Woodward and Chungsan Lin}, editor = {James J. Butler and Xiaoxiong (Jack) Xiong and Xingfa Gu}, title = {Improved thermal-vacuum compatible flat plate radiometric source for system-level testing of optical sensors}, booktitle = {Earth Observing Systems XIX, {SPIE} Optical Engineering + Applications, San Diego, California, USA, 17-21 August 2014}, series = {{SPIE} Proceedings}, volume = {9218}, pages = {921810}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2061026}, doi = {10.1117/12.2061026}, timestamp = {Thu, 19 May 2022 21:17:47 +0200}, biburl = {https://dblp.org/rec/conf/eos/SchwarzKBWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/BaeJPCKJ14, author = {Woo{-}Rham Bae and Gyu{-}Seob Jeong and Kwanseo Park and Sung{-}Yong Cho and Yoonsoo Kim and Deog{-}Kyoon Jeong}, title = {A 0.36 pJ/bit, 12.5 Gb/s forwarded-clock receiver with a sample swapping scheme and a half-bit delay line}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {447--450}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942118}, doi = {10.1109/ESSCIRC.2014.6942118}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/BaeJPCKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/KimML14, author = {Jin Woo Kim and Daniel A. McAdams and Julie Linsey}, title = {Helping students to find biological inspiration: Impact of valuableness and presentation format}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2014, Proceedings, Madrid, Spain, October 22-25, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FIE.2014.7044029}, doi = {10.1109/FIE.2014.7044029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/KimML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KimPJL14, author = {Jin{-}Woo Kim and Jin Gwan Park and Min A. Jeong and Seong{-}Ro Lee}, title = {An Interference Avoidance System Using Energy Contour Line for Coexistence with Ieee 802.11 Networks in Wireless Sensor Networks}, booktitle = {2014 International Conference on {IT} Convergence and Security, 10.1109/ICITCS.2014, Beijing, China, October 28-30, 2014}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICITCS.2014.7021733}, doi = {10.1109/ICITCS.2014.7021733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/KimPJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiSLLC14, author = {Jihwan P. Choi and Jaesub Shin and Jungwon Lee and Hui{-}Ling Lou and Ji{-}Woong Choi}, title = {A universal resource allocation method for H-FDD, {FDD} and {TDD} wireless {OFDM} systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {930--935}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983336}, doi = {10.1109/ICTC.2014.6983336}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoiSLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/MoonSK14, author = {Ilkyeong Moon and Sanghoon Shin and Dongwook Kim}, editor = {Bernard Grabot and Bruno Vallespir and Samuel Gomes and Abdelaziz Bouras and Dimitris Kiritsis}, title = {Integrated Assembly Line Balancing with Skilled and Unskilled Workers}, booktitle = {Advances in Production Management Systems. Innovative and Knowledge-Based Production Management in a Global-Local World - {IFIP} {WG} 5.7 International Conference, {APMS} 2014, Ajaccio, France, September 20-24, 2014, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {438}, pages = {459--466}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44739-0\_56}, doi = {10.1007/978-3-662-44739-0\_56}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/MoonSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/KangZSSWL14, author = {Xin Kang and Qian Zhao and Karun Sharma and Raj Shekhar and Bradford J. Wood and Marius George Linguraru}, title = {Automatic labeling of liver veins in {CT} by probabilistic backward tracing}, booktitle = {{IEEE} 11th International Symposium on Biomedical Imaging, {ISBI} 2014, April 29 - May 2, 2014, Beijing, Chin, Beijing, China}, pages = {1115--1118}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISBI.2014.6868070}, doi = {10.1109/ISBI.2014.6868070}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/KangZSSWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/SmithWMJR14, author = {Steve Smith and Carol S. Woodward and Liang Min and Chaoyang Jing and Alberto Del Rosso}, title = {On-line transient stability analysis using high performance computing}, booktitle = {{IEEE} {PES} Innovative Smart Grid Technologies Conference, {ISGT} 2014, Washington, DC, USA, February 19-22, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISGT.2014.6816438}, doi = {10.1109/ISGT.2014.6816438}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/SmithWMJR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GengLLZRW14, author = {Shuli Geng and Dang Liu and Yanfeng Li and Huiying Zhuo and Woogeun Rhee and Zhihua Wang}, title = {9.2 {A} 13.3mW 500Mb/s {IR-UWB} transceiver with link-margin enhancement technique for meter-range communications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {160--161}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757381}, doi = {10.1109/ISSCC.2014.6757381}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GengLLZRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/SeoR14a, author = {Young{-}Woo Seo and Ragunathan Rajkumar}, title = {A vision system for detecting and tracking of stop-lines}, booktitle = {17th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2014, Qingdao, China, October 8-11, 2014}, pages = {1970--1975}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ITSC.2014.6957994}, doi = {10.1109/ITSC.2014.6957994}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/SeoR14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/KimLBKP14, author = {Hyunsoon Kim and Woonghee Lee and Mungyu Bae and Hwangnam Kim and Yong Seok Park}, title = {Link-aware {AP} selection for improving Wi-Fi quality}, booktitle = {{IEEE} 22nd International Symposium of Quality of Service, IWQoS 2014, Hong Kong, China, May 26-27, 2014}, pages = {308--313}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IWQoS.2014.6914333}, doi = {10.1109/IWQOS.2014.6914333}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwqos/KimLBKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mike/AbdulkadirYML14, author = {Said Jadid Abdulkadir and Suet{-}Peng Yong and Maran Marimuthu and Fong{-}Woon Lai}, editor = {Rajendra Prasath and Philip O'Reilly and T. Kathirvalavakumar}, title = {Hybridization of Ensemble Kalman Filter and Non-linear Auto-regressive Neural Network for Financial Forecasting}, booktitle = {Mining Intelligence and Knowledge Exploration - Second International Conference, {MIKE} 2014, Cork, Ireland, December 10-12, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8891}, pages = {72--81}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13817-6\_8}, doi = {10.1007/978-3-319-13817-6\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mike/AbdulkadirYML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LimLSLFTDCTPMIP14, author = {Andy Eu{-}Jin Lim and Tsung{-}Yang Liow and Junfeng Song and Chao Li and Qing Fang and Xiaoguang Tu and Ning Duan and Kok Kiong Chen and Roger Poh Cher Tern and Chuan Peng and Bong Woong Mun and Mohd Nurul Islam and Jae Soo Park and Chivukula Subbu and Guo{-}Qiang Lo}, title = {Path to silicon photonics commercialization: 25 Gb/s platform development in a {CMOS} manufacturing foundry line}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.Th2A.51}, doi = {10.1364/OFC.2014.TH2A.51}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LimLSLFTDCTPMIP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/YoonKHKRC14, author = {Seok{-}Ho Yoon and Ji{-}Soo Kim and Jiwoon Ha and Sang{-}Wook Kim and Minsoo Ryu and Ho Jin Choi}, editor = {Yookun Cho and Sung Y. Shin and Sang{-}Wook Kim and Chih{-}Cheng Hung and Jiman Hong}, title = {Reachability vectors: features for link-based similarity measures}, booktitle = {Symposium on Applied Computing, {SAC} 2014, Gyeongju, Republic of Korea - March 24 - 28, 2014}, pages = {594--597}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2554850.2554906}, doi = {10.1145/2554850.2554906}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/YoonKHKRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKS14, author = {Yong Nyeon Kim and Dong Wook Ko and Il Hong Suh}, title = {Visual navigation using place recognition with visual line words}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {676}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057494}, doi = {10.1109/URAI.2014.7057494}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KimM14, author = {Woongrae Kim and Linda Milor}, title = {Built-in self test methodology for diagnosis of backend wearout mechanisms in {SRAM} cells}, booktitle = {32nd {IEEE} {VLSI} Test Symposium, {VTS} 2014, Napa, CA, USA, April 13-17, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VTS.2014.6818746}, doi = {10.1109/VTS.2014.6818746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/KimM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/LiSWW14, author = {Yi Li and Xiaoming Sun and Chengu Wang and David P. Woodruff}, editor = {Fabian Kuhn}, title = {On the Communication Complexity of Linear Algebraic Problems in the Message Passing Model}, booktitle = {Distributed Computing - 28th International Symposium, {DISC} 2014, Austin, TX, USA, October 12-15, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8784}, pages = {499--513}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-45174-8\_34}, doi = {10.1007/978-3-662-45174-8\_34}, timestamp = {Wed, 26 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wdag/LiSWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wicsa/SmileyMW14, author = {Karen Smiley and Shakeel Mahate and Paul Wood}, title = {A Dynamic Software Product Line Architecture for Prepackaged Expert Analytics: Enabling Efficient Capture, Reuse and Adaptation of Operational Knowledge}, booktitle = {2014 {IEEE/IFIP} Conference on Software Architecture, {WICSA} 2014, Sydney, Australia, April 7-11, 2014}, pages = {205--214}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WICSA.2014.11}, doi = {10.1109/WICSA.2014.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wicsa/SmileyMW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/woot/KaplanKHD14, author = {David Kaplan and Sagi Kedmi and Roee Hay and Avi Dayan}, editor = {Sergey Bratus and Felix "FX" Lindner}, title = {Attacking the Linux {PRNG} On Android: Weaknesses in Seeding of Entropic Pools and Low Boot-Time Entropy}, booktitle = {8th {USENIX} Workshop on Offensive Technologies, {WOOT} '14, San Diego, CA, USA, August 19, 2014}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/woot14/workshop-program/presentation/kaplan}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/woot/KaplanKHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/woot/2014, editor = {Sergey Bratus and Felix "FX" Lindner}, title = {8th {USENIX} Workshop on Offensive Technologies, {WOOT} '14, San Diego, CA, USA, August 19, 2014}, publisher = {{USENIX} Association}, year = {2014}, url = {http://blogs.usenix.org/conference/woot14}, timestamp = {Mon, 04 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/woot/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiSWW14, author = {Yi Li and Xiaoming Sun and Chengu Wang and David P. Woodruff}, title = {On The Communication Complexity of Linear Algebraic Problems in the Message Passing Model}, journal = {CoRR}, volume = {abs/1407.4755}, year = {2014}, url = {http://arxiv.org/abs/1407.4755}, eprinttype = {arXiv}, eprint = {1407.4755}, timestamp = {Wed, 26 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiSWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YangJK14, author = {Minho Yang and Sang{-}Woon Jeon and Dong Ku Kim}, title = {Linear Degrees of Freedom of {MIMO} Broadcast Channels with Reconfigurable Antennas in the Absence of {CSIT}}, journal = {CoRR}, volume = {abs/1409.5532}, year = {2014}, url = {http://arxiv.org/abs/1409.5532}, eprinttype = {arXiv}, eprint = {1409.5532}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YangJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimC13, author = {Wang{-}Soo Kim and Woo{-}Young Choi}, title = {A 10-Gb/s low-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram}, journal = {{IEICE} Electron. Express}, volume = {10}, number = {4}, pages = {20130030}, year = {2013}, url = {https://doi.org/10.1587/elex.10.20130030}, doi = {10.1587/ELEX.10.20130030}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLKKKHBSKHB13, author = {Ju Young Kim and Kee Hyuk Lee and So Hye Kim and Kyung Hee Kim and Jeong Hyun Kim and Jong Soo Han and Soo Seok Bang and Jong Hyuk Shin and Seong Hye Kim and Eun Joo Hwang and Woo Kyung Bae}, title = {Needs analysis and development of a tailored mobile message program linked with electronic health records for weight reduction}, journal = {Int. J. Medical Informatics}, volume = {82}, number = {11}, pages = {1123--1132}, year = {2013}, url = {https://doi.org/10.1016/j.ijmedinf.2013.08.004}, doi = {10.1016/J.IJMEDINF.2013.08.004}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLKKKHBSKHB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/BaeMLALDEM13, author = {Hansang Bae and Dheya Mustafa and Jae{-}Woo Lee and Aurangzeb and Hao Lin and Chirag Dave and Rudolf Eigenmann and Samuel P. Midkiff}, title = {The Cetus Source-to-Source Compiler Infrastructure: Overview and Evaluation}, journal = {Int. J. Parallel Program.}, volume = {41}, number = {6}, pages = {753--767}, year = {2013}, url = {https://doi.org/10.1007/s10766-012-0211-z}, doi = {10.1007/S10766-012-0211-Z}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/BaeMLALDEM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/KangYWK13, author = {Myeongmin Kang and Sangwoon Yun and Hyenkyun Woo and Myungjoo Kang}, title = {Accelerated Bregman Method for Linearly Constrained {\(\mathscr{l}\)}\({}_{\mbox{1}}\)-{\(\mathscr{l}\)}\({}_{\mbox{2}}\) Minimization}, journal = {J. Sci. Comput.}, volume = {56}, number = {3}, pages = {515--534}, year = {2013}, url = {https://doi.org/10.1007/s10915-013-9686-z}, doi = {10.1007/S10915-013-9686-Z}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jscic/KangYWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lalc/RybickiH13, author = {Jan Rybicki and Magda Heydel}, title = {The stylistics and stylometry of collaborative translation: Woolf's \emph{Night and Day} in Polish}, journal = {Lit. Linguistic Comput.}, volume = {28}, number = {4}, pages = {708--717}, year = {2013}, url = {https://doi.org/10.1093/llc/fqt027}, doi = {10.1093/LLC/FQT027}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lalc/RybickiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/WoodDD13, author = {Scott T. Wood and Brian C. Dean and Delphine Dean}, title = {A linear programming approach to reconstructing subcellular structures from confocal images for automated generation of representative 3D cellular models}, journal = {Medical Image Anal.}, volume = {17}, number = {3}, pages = {337--347}, year = {2013}, url = {https://doi.org/10.1016/j.media.2012.12.002}, doi = {10.1016/J.MEDIA.2012.12.002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/WoodDD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/Larson-PriorOPMPBSMPPSWLBFPRCS13, author = {Linda J. Larson{-}Prior and Robert Oostenveld and Stefania Della Penna and Georgios Michalareas and Fred W. Prior and Abbas Babajani{-}Feremi and Jan{-}Mathijs Schoffelen and Laura Marzetti and Francesco de Pasquale and F. Di Pompeo and J. Stout and Mark William Woolrich and Q. Luo and R. Bucholz and Pascal Fries and Vittorio Pizzella and Gian Luca Romani and Maurizio Corbetta and Abraham Z. Snyder}, title = {Adding dynamics to the Human Connectome Project with {MEG}}, journal = {NeuroImage}, volume = {80}, pages = {190--201}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2013.05.056}, doi = {10.1016/J.NEUROIMAGE.2013.05.056}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/Larson-PriorOPMPBSMPPSWLBFPRCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShortEGSGCMWLGRG13, author = {Sarah J. Short and Jed T. Elison and Barbara Davis Goldman and Martin Styner and Hongbin Gu and Mark Connelly and Eric A. Maltbie and Sandra Woolson and Weili Lin and Guido Gerig and J. Steven Reznick and John H. Gilmore}, title = {Associations between white matter microstructure and infants' working memory}, journal = {NeuroImage}, volume = {64}, pages = {156--166}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2012.09.021}, doi = {10.1016/J.NEUROIMAGE.2012.09.021}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ShortEGSGCMWLGRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pbml/Mujdricza-MaydtKRP13, author = {{\'{E}}va M{\'{u}}jdricza{-}Maydt and Huiqin K{\"{o}}rkel{-}Qu and Stefan Riezler and Sebastian Pad{\'{o}}}, title = {High-Precision Sentence Alignment by Bootstrapping from Wood Standard Annotations}, journal = {Prague Bull. Math. Linguistics}, volume = {99}, pages = {5--16}, year = {2013}, url = {http://ufal.mff.cuni.cz/pbml/99/art-mujdricza-maydt-et-al.pdf}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pbml/Mujdricza-MaydtKRP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamnum/ConnorsBHW13, author = {Jeffrey M. Connors and Jeffrey W. Banks and Jeffrey A. F. Hittinger and Carol S. Woodward}, title = {A Method to Calculate Numerical Errors Using Adjoint Error Estimation for Linear Advection}, journal = {{SIAM} J. Numer. Anal.}, volume = {51}, number = {2}, pages = {894--926}, year = {2013}, url = {https://doi.org/10.1137/110845100}, doi = {10.1137/110845100}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamnum/ConnorsBHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/WooY13, author = {Hyenkyun Woo and Sangwoon Yun}, title = {Proximal Linearized Alternating Direction Method for Multiplicative Denoising}, journal = {{SIAM} J. Sci. Comput.}, volume = {35}, number = {2}, year = {2013}, url = {https://doi.org/10.1137/11083811X}, doi = {10.1137/11083811X}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/WooY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talg/JayramW13, author = {T. S. Jayram and David P. Woodruff}, title = {Optimal Bounds for Johnson-Lindenstrauss Transforms and Streaming Problems with Subconstant Error}, journal = {{ACM} Trans. Algorithms}, volume = {9}, number = {3}, pages = {26:1--26:17}, year = {2013}, url = {https://doi.org/10.1145/2483699.2483706}, doi = {10.1145/2483699.2483706}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talg/JayramW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeJKS13, author = {Jaekwon Lee and Sungho Jeon and Junghyun Kim and Young{-}Woo Suh}, title = {Adaptive {HPA} Linearization Technique for Practical {ATSC} {DTV} System}, journal = {{IEEE} Trans. Broadcast.}, volume = {59}, number = {2}, pages = {376--381}, year = {2013}, url = {https://doi.org/10.1109/TBC.2013.2256681}, doi = {10.1109/TBC.2013.2256681}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeeJKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KimJRG13, author = {Sunwoo Kim and Won Seob Jeong and Won Woo Ro and Jean{-}Luc Gaudiot}, title = {Design and evaluation of random linear network coding Accelerators on FPGAs}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {1}, pages = {13:1--13:24}, year = {2013}, url = {http://doi.acm.org/10.1145/2512469}, doi = {10.1145/2512469}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KimJRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/JeonC13, author = {Sang{-}Woon Jeon and Sae{-}Young Chung}, title = {Capacity of a Class of Linear Binary Field Multisource Relay Networks}, journal = {{IEEE} Trans. Inf. Theory}, volume = {59}, number = {10}, pages = {6405--6420}, year = {2013}, url = {https://doi.org/10.1109/TIT.2013.2268921}, doi = {10.1109/TIT.2013.2268921}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/JeonC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW13, author = {James C. Corbett and Jeffrey Dean and Michael Epstein and Andrew Fikes and Christopher Frost and J. J. Furman and Sanjay Ghemawat and Andrey Gubarev and Christopher Heiser and Peter Hochschild and Wilson C. Hsieh and Sebastian Kanthak and Eugene Kogan and Hongyi Li and Alexander Lloyd and Sergey Melnik and David Mwaura and David Nagle and Sean Quinlan and Rajesh Rao and Lindsay Rolig and Yasushi Saito and Michal Szymaniak and Christopher Taylor and Ruth Wang and Dale Woodford}, title = {Spanner: Google's Globally Distributed Database}, journal = {{ACM} Trans. Comput. Syst.}, volume = {31}, number = {3}, pages = {8}, year = {2013}, url = {https://doi.org/10.1145/2491245}, doi = {10.1145/2491245}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tocs/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/WooK13, author = {Shinuk Woo and Hwangnam Kim}, title = {An Empirical Interference Modeling for Link Reliability Assessment in Wireless Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {21}, number = {1}, pages = {272--285}, year = {2013}, url = {https://doi.org/10.1109/TNET.2012.2197864}, doi = {10.1109/TNET.2012.2197864}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/WooK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KookCC13, author = {Sehun Kook and Hyun Woo Choi and Abhijit Chatterjee}, title = {Low-Resolution DAC-Driven Linearity Testing of Higher Resolution ADCs Using Polynomial Fitting Measurements}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {3}, pages = {454--464}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2190433}, doi = {10.1109/TVLSI.2012.2190433}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KookCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimM13, author = {Woo{-}sung Kim and James R. Morrison}, title = {On the equilibrium probabilities of deterministic flow lines with random arrivals}, booktitle = {2013 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2013, Madison, WI, USA, August 17-20, 2013}, pages = {723--729}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CoASE.2013.6654007}, doi = {10.1109/COASE.2013.6654007}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KimM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChenLLZRKKW13, author = {Fei Chen and Yu Li and Deyuan Lin and Huiying Zhuo and Woogeun Rhee and Jongjin Kim and Dongwook Kim and Zhihua Wang}, title = {A 1.14mW 750kb/s {FM-UWB} transmitter with 8-FSK subcarrier modulation}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658523}, doi = {10.1109/CICC.2013.6658523}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChenLLZRKKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimSHPCXWK13, author = {Mino Kim and Woo{-}Yeol Shin and Gi{-}Moon Hong and Jihwan Park and Joo{-}Hyung Chae and Nan Xing and Jong{-}Kwan Woo and Suhwan Kim}, title = {High-resolution and wide-dynamic range time-to-digital converter with a multi-phase cyclic Vernier delay line}, booktitle = {{ESSCIRC} 2013 - Proceedings of the 39th European Solid-State Circuits Conference, Bucharest, Romania, September 16-20, 2013}, pages = {311--314}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESSCIRC.2013.6649135}, doi = {10.1109/ESSCIRC.2013.6649135}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimSHPCXWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimJSLC13, author = {Dong{-}Wook Kim and Eui{-}Sung Jung and Ki{-}Woong Seong and Jyung Hyun Lee and Jin{-}Ho Cho}, title = {Implementation and verification of a platform for bluetooth linked hearing aids system with smart phone and multimedia devices}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {354--355}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486926}, doi = {10.1109/ICCE.2013.6486926}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimJSLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JinKS13, author = {Meiguang Jin and Byoung{-}Kwang Kim and Woo{-}Jin Song}, title = {KNN-based color line model for image matting}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {2480--2483}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738511}, doi = {10.1109/ICIP.2013.6738511}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/JinKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MichelakisSWLL13, author = {Dimitrios G. Michelakis and Neil Stuart and Iain H. Woodhouse and German Lopez and Vinicio Linares}, title = {Establishing the sensitivity of {ALOS} {PALSAR} to above ground woody biomass: {A} case study in the pine savannas of Belize, Central America}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {953--956}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6721319}, doi = {10.1109/IGARSS.2013.6721319}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/MichelakisSWLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/WoodsCGDWY13, author = {Damien Woods and Ho{-}Lin Chen and Scott Goodfriend and Nadine Dabby and Erik Winfree and Peng Yin}, editor = {Robert D. Kleinberg}, title = {Active self-assembly of algorithmic shapes and patterns in polylogarithmic time}, booktitle = {Innovations in Theoretical Computer Science, {ITCS} '13, Berkeley, CA, USA, January 9-12, 2013}, pages = {353--354}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2422436.2422476}, doi = {10.1145/2422436.2422476}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/WoodsCGDWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoLMMKK13, author = {Shin{-}Young Cho and Il{-}Oun Lee and SangCheol Moon and Gun{-}Woo Moon and Bong{-}Chul Kim and Ki Young Kim}, title = {Constant current charging in series-series compensated non-radiative wireless power link}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2792--2795}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572458}, doi = {10.1109/ISCAS.2013.6572458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoLMMKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscram/YangCLLCWKSSF13, author = {Seungwon Yang and Haeyong Chung and Xiao Lin and Sunshin Lee and Liangzhe Chen and Andrew Wood and Andrea L. Kavanaugh and Steven D. Sheetz and Donald J. Shoemaker and Edward A. Fox}, editor = {Tina Comes and Frank Fiedrich and Simon Fortier and Jutta Geldermann and Tim M{\"{u}}ller}, title = {PhaseVis1: What, when, where, and who in visualizing the four phases of emergency management through the lens of social media}, booktitle = {10th Proceedings of the International Conference on Information Systems for Crisis Response and Management, Baden-Baden, Germany, May 12-15, 2013}, publisher = {{ISCRAM} Association}, year = {2013}, url = {http://idl.iscram.org/files/yang/2013/1122\_Yang\_etal2013.pdf}, timestamp = {Thu, 10 Nov 2022 16:58:41 +0100}, biburl = {https://dblp.org/rec/conf/iscram/YangCLLCWKSSF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinSKKLSMKIKCBHJLCHSH13, author = {Youngmin Shin and Ken Shin and Prashant Kenkare and Rajesh Kashyap and Hoi{-}Jin Lee and Dongjoo Seo and Brian Millar and Yohan Kwon and Ravi Iyengar and Min{-}Su Kim and Ahsan Chowdhury and Sung{-}il Bae and Inpyo Hong and Wookyeong Jeong and Aaron Lindner and Ukrae Cho and Keith Hawkins and Jae{-}Cheol Son and Seung Ho Hwang}, title = {28nm high- metal-gate heterogeneous quad-core CPUs for high-performance and energy-efficient mobile application processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {154--155}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487678}, doi = {10.1109/ISSCC.2013.6487678}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinSKKLSMKIKCBHJLCHSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/IpLBBHTMHWKKWLM13, author = {Ezra Ip and Ming{-}Jun Li and Kevin Bennett and Scott R. Bickham and Yue{-}Kai Huang and Akihiro Tanaka and Eduardo Mateo and Junqiang Hu and Ting Wang and Andrey Korolev and Konstantin Koreshkov and William Wood and Jesus Linares and Carlos Montero and Vicente Moreno and Xesus Prieto and Yutaka Yano and Yoshiaki Aono and Tsutomo Tajima and Kiyoshi Fukuchi}, title = {6{\texttimes}28-Gbaud few-mode recirculating loop transmission with gain-equalized inline few-mode fiber amplifier}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6533202}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/IpLBBHTMHWKKWLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiuHLWLWCHHC13, author = {Chun{-}Nien Liu and Yi{-}Chung Huang and Yen{-}Sheng Lin and Jau{-}Sheng Wang and Fang{-}Yen Lo and Wei{-}Lun Wang and Ta{-}Lung Chou and Pi Ling Huang and Sheng{-}Lung Huang and Wood{-}Hi Cheng}, title = {Broadband fluorescence enhancement in Cr-doped fibers}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6532588}, timestamp = {Thu, 07 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiuHLWLWCHHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/ParkKCCWL13, author = {HyungKun Park and Yeseul Kim and Jeeyong Chung and Sangyoung Cho and Eunji Woo and Woohun Lee}, editor = {Haifeng Shen and Ross T. Smith and Jeni Paay and Paul R. Calder and Theodor G. Wyeld}, title = {One-line {GUI:} minimized graphic user interface for interactive {TV}}, booktitle = {Augmentation, Application, Innovation, Collaboration, OzCHI '13, Adelaide, Australia - November 25 - 29, 2013}, pages = {289--292}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2541016.2541074}, doi = {10.1145/2541016.2541074}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ozchi/ParkKCCWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/HamedaniLK13, author = {Masoud Reyhani Hamedani and Sang{-}Chul Lee and Sang{-}Wook Kim}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {On combining text-based and link-based similarity measures for scientific papers}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {111--115}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513321}, doi = {10.1145/2513228.2513321}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/HamedaniLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/LeeXSW13, author = {Woongsup Lee and Lin Xiang and Robert Schober and Vincent W. S. Wong}, title = {Analysis of the behavior of electric vehicle charging stations with renewable generations}, booktitle = {{IEEE} Fourth International Conference on Smart Grid Communications, SmartGridComm 2013, Vancouver, BC, Canada, October 21-24, 2013}, pages = {145--150}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SmartGridComm.2013.6687948}, doi = {10.1109/SMARTGRIDCOMM.2013.6687948}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartgridcomm/LeeXSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/XiangNLS13, author = {Lin Xiang and Derrick Wing Kwan Ng and Woongsup Lee and Robert Schober}, title = {Optimal storage-aided wind generation integration considering ramping requirements}, booktitle = {{IEEE} Fourth International Conference on Smart Grid Communications, SmartGridComm 2013, Vancouver, BC, Canada, October 21-24, 2013}, pages = {648--653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SmartGridComm.2013.6688032}, doi = {10.1109/SMARTGRIDCOMM.2013.6688032}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartgridcomm/XiangNLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/JangWB13, author = {Jiyong Jang and Maverick Woo and David Brumley}, editor = {Samuel T. King}, title = {Towards Automatic Software Lineage Inference}, booktitle = {Proceedings of the 22th {USENIX} Security Symposium, Washington, DC, USA, August 14-16, 2013}, pages = {81--96}, publisher = {{USENIX} Association}, year = {2013}, url = {https://www.usenix.org/conference/usenixsecurity13/technical-sessions/papers/jang}, timestamp = {Mon, 01 Feb 2021 08:42:56 +0100}, biburl = {https://dblp.org/rec/conf/uss/JangWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/LeeRYJLK13, author = {Suk Kyu Lee and Jihoon Ryoo and Seungho Yoo and Jongtack Jung and Woonghee Lee and Hwangnam Kim}, title = {CoSA: Adaptive link-aware real-time streaming for mobile devices}, booktitle = {9th {IEEE} International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2013, Lyon, France, October 7-9, 2013}, pages = {681--686}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WiMOB.2013.6673430}, doi = {10.1109/WIMOB.2013.6673430}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wimob/LeeRYJLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AartsenA13, author = {Mark G. Aartsen and Rasha U. Abbasi and Markus Ackermann and Jenni Adams and Juan Antonio Aguilar S{\'{a}}nchez and Markus Ahlers and David Altmann and Carlos A. Arg{\"{u}}elles Delgado and Jan Auffenberg and Xinhua Bai and Michael F. Baker and Steven W. Barwick and Volker Baum and Ryan Bay and James J. Beatty and Julia K. Becker Tjus and Karl{-}Heinz Becker and Segev BenZvi and Patrick Berghaus and David Berley and Elisa Bernardini and Anna Bernhard and David Z. Besson and G. Binder and Daniel Bindig and Martin Bissok and Erik Blaufuss and Jan Blumenthal and David J. Boersma and Christian Bohm and Debanjan Bose and Sebastian B{\"{o}}ser and Olga Botner and Lionel Brayeur and Hans{-}Peter Bretz and Anthony M. Brown and Ronald Bruijn and James Casey and Martin Casier and Dmitry Chirkin and Asen Christov and Brian John Christy and Ken Clark and Lew Classen and Fabian Clevermann and Stefan Coenders and Shirit Cohen and Doug F. Cowen and Angel H. Cruz Silva and Matthias Danninger and Jacob Daughhetee and James C. Davis and Melanie Day and Catherine De Clercq and Sam De Ridder and Paolo Desiati and Krijn D. de Vries and Meike de With and Tyce DeYoung and Juan Carlos D{\'{\i}}az{-}V{\'{e}}lez and Matthew Dunkman and Ryan Eagan and Benjamin Eberhardt and Bj{\"{o}}rn Eichmann and Jonathan Eisch and Sebastian Euler and Paul A. Evenson and Oladipo O. Fadiran and Ali R. Fazely and Anatoli Fedynitch and Jacob Feintzeig and Tom Feusels and Kirill Filimonov and Chad Finley and Tobias Fischer{-}Wasels and Samuel Flis and Anna Franckowiak and Katharina Frantzen and Tomasz Fuchs and Thomas K. Gaisser and Joseph S. Gallagher and Lisa Marie Gerhardt and Laura E. Gladstone and Thorsten Gl{\"{u}}senkamp and Azriel Goldschmidt and Geraldina Golup and Javier G. Gonz{\'{a}}lez and Jordan A. Goodman and Dariusz G{\'{o}}ra and Dylan T. Grandmont and Darren Grant and Pavel Gretskov and John C. Groh and Andreas Gro{\ss} and Chang Hyon Ha and Abd Al Karim Haj Ismail and Patrick Hallen and Allan Hallgren and Francis Halzen and Kael D. Hanson and Dustin Hebecker and David Heereman and Dirk Heinen and Klaus Helbing and Robert Eugene Hellauer III and Stephanie Virginia Hickford and Gary C. Hill and Kara D. Hoffman and Ruth Hoffmann and Andreas Homeier and Kotoyo Hoshina and Feifei Huang and Warren Huelsnitz and Per Olof Hulth and Klas Hultqvist and Shahid Hussain and Aya Ishihara and Emanuel Jacobi and John E. Jacobsen and Kai Jagielski and George S. Japaridze and Kyle Jero and Ola Jlelati and Basho Kaminsky and Alexander Kappes and Timo Karg and Albrecht Karle and Matthew Kauer and John Lawrence Kelley and Joanna Kiryluk and J. Kl{\"{a}}s and Spencer R. Klein and Jan{-}Hendrik K{\"{o}}hne and Georges Kohnen and Hermann Kolanoski and Lutz K{\"{o}}pke and Claudio Kopper and Sandro Kopper and D. Jason Koskinen and Marek Kowalski and Mark Krasberg and Anna Kriesten and Kai Michael Krings and G{\"{o}}sta Kroll and Jan Kunnen and Naoko Kurahashi and Takao Kuwabara and Mathieu L. M. Labare and Hagar Landsman and Michael James Larson and Mariola Lesiak{-}Bzdak and Martin Leuermann and Julia Leute and Jan L{\"{u}}nemann and Oscar A. Mac{\'{\i}}as{-}Ram{\'{\i}}rez and James Madsen and Giuliano Maggi and Reina Maruyama and Keiichi Mase and Howard S. Matis and Frank McNally and Kevin James Meagher and Martin Merck and Gonzalo Merino Ar{\'{e}}valo and Thomas Meures and Sandra Miarecki and Eike Middell and Natalie Milke and John Lester Miller and Lars Mohrmann and Teresa Montaruli and Robert M. Morse and Rolf Nahnhauer and Uwe Naumann and Hans Niederhausen and Sarah C. Nowicki and David R. Nygren and Anna Obertacke and Sirin Odrowski and Alex Olivas and Ahmad Omairat and Aongus Starbuck {\'{O}} Murchadha and Larissa Paul and Joshua A. Pepper and Carlos P{\'{e}}rez de los Heros and Carl Pfendner and Damian Pieloth and Elisa Pinat and Jonas Posselt and P. Buford Price and Gerald T. Przybylski and Melissa Quinnan and Leif R{\"{a}}del and Ian Rae and Mohamed Rameez and Katherine Rawlins and Peter Christian Redl and Ren{\'{e}} Reimann and Elisa Resconi and Wolfgang Rhode and Mathieu Ribordy and Michael Richman and Benedikt Riedel and J. P. Rodrigues and Carsten Rott and Tim Ruhe and Bakhtiyar Ruzybayev and Dirk Ryckbosch and Sabine M. Saba and Heinz{-}Georg Sander and Juan Marcos Santander and Subir Sarkar and Kai Schatto and Florian Scheriau and Torsten Schmidt and Martin Schmitz and Sebastian Schoenen and Sebastian Sch{\"{o}}neberg and Arne Sch{\"{o}}nwald and Anne Schukraft and Lukas Schulte and David Schultz and Olaf Schulz and David Seckel and Yolanda Sestayo de la Cerra and Surujhdeo Seunarine and Rezo Shanidze and Chris Sheremata and Miles W. E. Smith and Dennis Soldin and Glenn M. Spiczak and Christian Spiering and Michael Stamatikos and Todor Stanev and Nick A. Stanisha and Alexander Stasik and Thorsten Stezelberger and Robert G. Stokstad and Achim St{\"{o}}{\ss}l and Erik A. Strahler and Rickard Str{\"{o}}m and Nora Linn Strotjohann and Gregory W. Sullivan and Henric Taavola and Ignacio J. Taboada and Alessio Tamburro and Andreas Tepe and Samvel Ter{-}Antonyan and Gordana Tesic and Serap Tilav and Patrick A. Toale and Moriah Natasha Tobin and Simona Toscano and Maria Tselengidou and Elisabeth Unger and Marcel Usner and Sofia Vallecorsa and Nick van Eijndhoven and Arne Van Overloop and Jakob van Santen and Markus Vehring and Markus Voge and Matthias Vraeghe and Christian Walck and Tilo Waldenmaier and Marius Wallraff and Christopher N. Weaver and Mark T. Wellons and Christopher H. Wendt and Stefan Westerhoff and Nathan Whitehorn and Klaus Wiebe and Christopher Wiebusch and Dawn R. Williams and Henrike Wissing and Martin Wolf and Terri R. Wood and Kurt Woschnagg and Donglian Xu and Xianwu Xu and Juan Pablo Y{\'{a}}{\~{n}}ez Garza and Gaurang B. Yodh and Shigeru Yoshida and Pavel Zarzhitsky and Jan Ziemann and Simon Zierke and Marcel Zoll}, title = {The IceProd Framework: Distributed Data Processing for the IceCube Neutrino Observatory}, journal = {CoRR}, volume = {abs/1311.5904}, year = {2013}, url = {http://arxiv.org/abs/1311.5904}, eprinttype = {arXiv}, eprint = {1311.5904}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AartsenA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1301-2626, author = {Damien Woods and Ho{-}Lin Chen and Scott Goodfriend and Nadine Dabby and Erik Winfree and Peng Yin}, title = {Active Self-Assembly of Algorithmic Shapes and Patterns in Polylogarithmic Time}, journal = {CoRR}, volume = {abs/1301.2626}, year = {2013}, url = {http://arxiv.org/abs/1301.2626}, eprinttype = {arXiv}, eprint = {1301.2626}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1301-2626.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/ColesCOJLSY12, author = {Amanda Jane Coles and Andrew Coles and Angel Garc{\'{\i}}a Olaya and Sergio Jim{\'{e}}nez Celorrio and Carlos Linares L{\'{o}}pez and Scott Sanner and Sungwook Yoon}, title = {A Survey of the Seventh International Planning Competition}, journal = {{AI} Mag.}, volume = {33}, number = {1}, pages = {83--88}, year = {2012}, url = {https://doi.org/10.1609/aimag.v33i1.2392}, doi = {10.1609/AIMAG.V33I1.2392}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aim/ColesCOJLSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/BungeWBFCA12, author = {John Bunge and Linda Woodard and Dankmar B{\"{o}}hning and James A. Foster and Sean Connolly and Heather K. Allen}, title = {Estimating population diversity with CatchAll}, journal = {Bioinform.}, volume = {28}, number = {7}, pages = {1045--1047}, year = {2012}, url = {https://doi.org/10.1093/bioinformatics/bts075}, doi = {10.1093/BIOINFORMATICS/BTS075}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/BungeWBFCA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/WuLM12, author = {Wen{-}Jie Wu and Shih{-}Wei Lin and Woo Kyung Moon}, title = {Combining support vector machine with genetic algorithm to classify ultrasound breast tumor images}, journal = {Comput. Medical Imaging Graph.}, volume = {36}, number = {8}, pages = {627--633}, year = {2012}, url = {https://doi.org/10.1016/j.compmedimag.2012.07.004}, doi = {10.1016/J.COMPMEDIMAG.2012.07.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/WuLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/AugustinSW12, author = {Nicole H. Augustin and Erik{-}Andr{\'{e}} Sauleau and Simon N. Wood}, title = {On quantile quantile plots for generalized linear models}, journal = {Comput. Stat. Data Anal.}, volume = {56}, number = {8}, pages = {2404--2409}, year = {2012}, url = {https://doi.org/10.1016/j.csda.2012.01.026}, doi = {10.1016/J.CSDA.2012.01.026}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/AugustinSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/CragliaBJPRWABCEGGGLSSW12, author = {Max Craglia and Kees de Bie and Davina Jackson and Martino Pesaresi and G{\'{a}}bor Remetey{-}F{\"{u}}l{\"{o}}pp and Changlin Wang and Alessandro Annoni and Ling Bian and Fred Campbell and Manfred Ehlers and John L. van Genderen and Michael F. Goodchild and Huadong Guo and Anthony Lewis and Richard Simpson and Andrew K. Skidmore and Peter Woodgate}, title = {Digital Earth 2020: towards the vision for the next decade}, journal = {Int. J. Digit. Earth}, volume = {5}, number = {1}, pages = {4--21}, year = {2012}, url = {https://doi.org/10.1080/17538947.2011.638500}, doi = {10.1080/17538947.2011.638500}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/CragliaBJPRWABCEGGGLSSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeLS12, author = {Paul Tae{-}Woo Lee and Cheng{-}Wei Lin and Sung{-}Ho Shin}, title = {A comparative study on financial positions of shipping companies in Taiwan and Korea using entropy and grey relation analysis}, journal = {Expert Syst. Appl.}, volume = {39}, number = {5}, pages = {5649--5657}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2011.11.052}, doi = {10.1016/J.ESWA.2011.11.052}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/KimLHKP12, author = {Jung{-}Rack Kim and Shih{-}Yuan Lin and Jeong{-}Woo Hong and Young{-}Hwi Kim and Chin{-}Kang Park}, title = {Implementation of Martian virtual reality environment using very high-resolution stereo topographic data}, journal = {Comput. Geosci.}, volume = {44}, pages = {184--195}, year = {2012}, url = {https://doi.org/10.1016/j.cageo.2011.09.018}, doi = {10.1016/J.CAGEO.2011.09.018}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/KimLHKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/KwakLSLWKLK12, author = {Hanbin Kwak and Woo{-}Kyun Lee and Joachim Saborowski and Si{-}Young Lee and Myoung{-}Soo Won and Kyo{-}Sang Koo and Myung{-}Bo Lee and Su{-}Na Kim}, title = {Estimating the spatial pattern of human-caused forest fires using a generalized linear mixed model with spatial autocorrelation in South Korea}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {26}, number = {9}, pages = {1589--1602}, year = {2012}, url = {https://doi.org/10.1080/13658816.2011.642799}, doi = {10.1080/13658816.2011.642799}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/KwakLSLWKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JeonKLSS12, author = {Sungho Jeon and Junghyun Kim and Jaekwon Lee and Young{-}Woo Suh and Jong{-}Soo Seo}, title = {Adaptive Digital Predistortion with Iterative Noise Cancelation for Power Amplifier Linearization}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {3}, pages = {943--949}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.943}, doi = {10.1587/TRANSCOM.E95.B.943}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JeonKLSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoonKKL12, author = {Seok{-}Ho Yoon and Ji{-}Su Kim and Sang{-}Wook Kim and Choonhwa Lee}, title = {TL-Rank: {A} Blend of Text and Link Information for Measuring Similarity in Scientific Literature Databases}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {95-D}, number = {10}, pages = {2556--2559}, year = {2012}, url = {https://doi.org/10.1587/transinf.E95.D.2556}, doi = {10.1587/TRANSINF.E95.D.2556}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoonKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdc/ShaonCLMOHW12, author = {Arif Shaon and Sarah Callaghan and Bryan Lawrence and Brian Matthews and Timothy Osborn and Colin Harpham and Andrew Woolf}, title = {Opening Up Climate Research: {A} Linked Data Approach to Publishing Data Provenance}, journal = {Int. J. Digit. Curation}, volume = {7}, number = {1}, pages = {163--173}, year = {2012}, url = {https://doi.org/10.2218/ijdc.v7i1.223}, doi = {10.2218/IJDC.V7I1.223}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdc/ShaonCLMOHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuLR12, author = {Shen{-}Iuan Liu and Tsung{-}Hsien Lin and Woogeun Rhee}, title = {Introduction to the Special Section on the 2011 Asian Solid-State Circuits Conference {(A-SSCC)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2551--2553}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2212318}, doi = {10.1109/JSSC.2012.2212318}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ThompsonWK12, author = {Sandra C. Thompson and John A. Woods and Judith M. Katzenellenbogen}, title = {The quality of Indigenous identification in administrative health data in Australia: insights from studies using data linkage}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {12}, pages = {133}, year = {2012}, url = {https://doi.org/10.1186/1472-6947-12-133}, doi = {10.1186/1472-6947-12-133}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/ThompsonWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimO12, author = {Sang{-}Woon Kim and B. John Oommen}, title = {On using prototype reduction schemes to optimize locally linear reconstruction methods}, journal = {Pattern Recognit.}, volume = {45}, number = {1}, pages = {498--511}, year = {2012}, url = {https://doi.org/10.1016/j.patcog.2011.06.021}, doi = {10.1016/J.PATCOG.2011.06.021}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/KimO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimL12, author = {Hyunwoo Kim and Sukhan Lee}, title = {Simultaneous line matching and epipolar geometry estimation based on the intersection context of coplanar line pairs}, journal = {Pattern Recognit. Lett.}, volume = {33}, number = {10}, pages = {1349--1363}, year = {2012}, url = {https://doi.org/10.1016/j.patrec.2012.03.014}, doi = {10.1016/J.PATREC.2012.03.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/KimKKHH12, author = {Nam Soo Kim and Tae Gyoon Kang and Shin Jae Kang and Chang Woo Han and Doo Hwa Hong}, title = {Speech Feature Mapping Based on Switching Linear Dynamic System}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {20}, number = {2}, pages = {620--631}, year = {2012}, url = {https://doi.org/10.1109/TASL.2011.2163397}, doi = {10.1109/TASL.2011.2163397}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/KimKKHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/SunPCAXTJ12, author = {Tao Sun and Woo{-}Tae Park and Min{-}Yuan Cheng and Jing{-}Zhi An and Rui{-}Feng Xue and Kwan{-}Ling Tan and Minkyu Je}, title = {Implantable Polyimide Cable for Multichannel High-Data-Rate Neural Recording Microsystems}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {2}, pages = {390--399}, year = {2012}, url = {https://doi.org/10.1109/TBME.2011.2173343}, doi = {10.1109/TBME.2011.2173343}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/SunPCAXTJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimSC12, author = {Wang{-}Soo Kim and Chang{-}Kyung Seong and Woo{-}Young Choi}, title = {A 5.4-Gbit/s Adaptive Continuous-Time Linear Equalizer Using Asynchronous Undersampling Histograms}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {9}, pages = {553--557}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2208671}, doi = {10.1109/TCSII.2012.2208671}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SeoKHKJ12, author = {Suk{-}Hyun Seo and Jin{-}Ho Kim and Sung{-}Ho Hwang and Key Ho Kwon and Jae Wook Jeon}, title = {A reliable gateway for in-vehicle networks based on LIN, CAN, and FlexRay}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {1}, pages = {7:1--7:24}, year = {2012}, url = {https://doi.org/10.1145/2146417.2146424}, doi = {10.1145/2146417.2146424}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/SeoKHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aips/BurnsBRYD12, author = {Ethan Burns and J. Benton and Wheeler Ruml and Sung Wook Yoon and Minh Binh Do}, editor = {Lee McCluskey and Brian Charles Williams and Jos{\'{e}} Reinaldo Silva and Blai Bonet}, title = {Anticipatory On-Line Planning}, booktitle = {Proceedings of the Twenty-Second International Conference on Automated Planning and Scheduling, {ICAPS} 2012, Atibaia, S{\~{a}}o Paulo, Brazil, June 25-19, 2012}, publisher = {{AAAI}}, year = {2012}, url = {http://www.aaai.org/ocs/index.php/ICAPS/ICAPS12/paper/view/4696}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aips/BurnsBRYD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/KimWLSKKC12, author = {D. J. Kim and Q. Wei and Jyung Hyun Lee and Ki{-}Woong Seong and D. W. Kim and M. N. Kim and Jin{-}Ho Cho}, title = {Design a wireless capacitive sensor detection system with power line communication for liquid volume of intravenous drip measurement}, booktitle = {Proceedings of 2012 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, Hong Kong, China, January 5-7, 2012}, pages = {269--272}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BHI.2012.6211563}, doi = {10.1109/BHI.2012.6211563}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/KimWLSKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChengGSPLLZWPM12, author = {Jie Cheng and Joel Greshock and Leming Shi and Jeffery Painter and Xiwu Lin and Kwan Lee and Shu Zheng and Richard Wooster and Lajos Pusztai and Alan Menius}, title = {An adaptive feature selection method for microarray data analysis}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2012, Philadelphia, PA, USA, October 4-7, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBM.2012.6392686}, doi = {10.1109/BIBM.2012.6392686}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ChengGSPLLZWPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimM12, author = {Woo{-}sung Kim and James R. Morrison}, title = {On the throughput of deterministic flow lines with random state dependent setups: Stochastic models and applications}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {650--655}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386441}, doi = {10.1109/COASE.2012.6386441}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KimM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/LeeLMNL12, author = {Sang{-}Mun Lee and Kyoung{-}Don Lee and Heung{-}Ki Min and Tae{-}Sung Noh and Jeong{-}Woo Lee}, title = {Kinematics of the Robomec robot hand with planar and spherical four bar linkages for power grasping}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {1120--1125}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386435}, doi = {10.1109/COASE.2012.6386435}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/LeeLMNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/YangQLWS12, author = {Zhijing Yang and Chunmei Qing and Bingo Wing{-}Kuen Ling and Wai Lok Woo and Saeid Sanei}, title = {Optimal overcomplete kernel design for sparse representations via discrete fractional Fourier transforms}, booktitle = {8th International Symposium on Communication Systems, Networks {\&} Digital Signal Processing, {CSNDSP} 2012, Poznan, Poland, July 18-20, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CSNDSP.2012.6292655}, doi = {10.1109/CSNDSP.2012.6292655}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/csndsp/YangQLWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/YangQLWS12a, author = {Zhijing Yang and Chunmei Qing and Bingo Wing{-}Kuen Ling and Wai Lok Woo and Saeid Sanei}, title = {Orthogonal orthogonal overcomplete kernel design for sparse representation}, booktitle = {8th International Symposium on Communication Systems, Networks {\&} Digital Signal Processing, {CSNDSP} 2012, Poznan, Poland, July 18-20, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CSNDSP.2012.6292723}, doi = {10.1109/CSNDSP.2012.6292723}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csndsp/YangQLWS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ctrsa/ChoiHKMR12, author = {Seung Geol Choi and Kyung{-}Wook Hwang and Jonathan Katz and Tal Malkin and Dan Rubenstein}, editor = {Orr Dunkelman}, title = {Secure Multi-Party Computation of Boolean Circuits with Applications to Privacy in On-Line Marketplaces}, booktitle = {Topics in Cryptology - {CT-RSA} 2012 - The Cryptographers' Track at the {RSA} Conference 2012, San Francisco, CA, USA, February 27 - March 2, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7178}, pages = {416--432}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-27954-6\_26}, doi = {10.1007/978-3-642-27954-6\_26}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/ctrsa/ChoiHKMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatilJCLYPLCC12, author = {Shruti Patil and Min{-}Woo Jang and Chia{-}Ling Chen and Dongjin Lee and Zhijang Ye and Walter E. Partlo and David J. Lilja and Stephen A. Campbell and Tianhong Cui}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Weighted area technique for electromechanically enabled logic computation with cantilever-based {NEMS} switches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {727--732}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176565}, doi = {10.1109/DATE.2012.6176565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatilJCLYPLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/SaghafiniaKMHU12, author = {Ali Saghafinia and Solmaz Kahourzade and Amin Mahmoudi and Wooi Ping Hew and Mohammad Nasir Uddin}, title = {On line trained fuzzy logic and adaptive continuous wavelet transform based high precision fault detection of {IM} with broken rotor bars}, booktitle = {Annual Meeting of the {IEEE} Industry Applications Society, {IAS} 2012, Las Vegas, NV, USA, October 7-11, 2012, Proceedings}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IAS.2012.6374027}, doi = {10.1109/IAS.2012.6374027}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iasam/SaghafiniaKMHU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnidc/JoBK12, author = {Yong{-}Yeon Jo and Duck{-}Ho Bae and Sang{-}Wook Kim}, title = {Efficient computations of link-based similarity measures on the {GPU}}, booktitle = {3rd {IEEE} International Conference on Network Infrastructure and Digital Content, {IC-NIDC} 2012, Beijing, China, September 21-23, 2012}, pages = {261--265}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICNIDC.2012.6418756}, doi = {10.1109/ICNIDC.2012.6418756}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icnidc/JoBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/CheDHRLJ12, author = {Hang Seng Che and Mario J. Dur{\'{a}}n and Wooi Ping Hew and Nasrudin Abd. Rahim and Emil Levi and Martin Jones}, title = {Dc-link voltage balancing of six-phase wind energy systems with series-connected machineside converters and {NPC} grid-side converter}, booktitle = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, pages = {3541--3546}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IECON.2012.6389330}, doi = {10.1109/IECON.2012.6389330}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/CheDHRLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ChoLPM12, author = {Shin{-}Young Cho and Il{-}Oun Lee and Jeong{-}Eon Park and Gun{-}Woo Moon}, title = {Two-stage configuration for 60W universal-line {AC-DC} adapter}, booktitle = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, pages = {1445--1450}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IECON.2012.6388560}, doi = {10.1109/IECON.2012.6388560}, timestamp = {Wed, 01 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/ChoLPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/JajamovichPAMKWL12, author = {Guido H. Jajamovich and Vivek Pamulapati and Shoaib Alam and Alem Mehari and Gregory J. Kato and Bradford J. Wood and Marius George Linguraru}, title = {Non-invasive indicators of pulmonary hypertension from pulmonary veins quantification in sickle cell disease}, booktitle = {9th {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, {ISBI} 2012, May 2-5, 2012, Barcelona, Spain, Proceedings}, pages = {840--843}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISBI.2012.6235679}, doi = {10.1109/ISBI.2012.6235679}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/isbi/JajamovichPAMKWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/ZhuTLCF12, author = {Ziming Zhu and Jie Tang and Sangarapillai Lambotharan and Woon Hau Chin and Zhong Fan}, title = {An integer linear programming based optimization for home demand-side management in smart grid}, booktitle = {{IEEE} {PES} Innovative Smart Grid Technologies Conference, {ISGT} 2012, Washington, DC, USA, January 16-20, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISGT.2012.6175785}, doi = {10.1109/ISGT.2012.6175785}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/ZhuTLCF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isita/KimJKN12, author = {Young{-}Sik Kim and Ji{-}Woong Jang and Sang{-}Hyo Kim and Jong{-}Seon No}, title = {Linear complexity of quaternary sequences constructed from binary Legendre sequences}, booktitle = {Proceedings of the International Symposium on Information Theory and its Applications, {ISITA} 2012, Honolulu, HI, USA, October 28-31, 2012}, pages = {611--614}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6401010/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isita/KimJKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isita/KimJKN12a, author = {Young{-}Sik Kim and Ji{-}Woong Jang and Sang{-}Hyo Kim and Jong{-}Seon No}, title = {On the linear complexity over Fp of quaternary sequences from binary Sidel'nikov sequences}, booktitle = {Proceedings of the International Symposium on Information Theory and its Applications, {ISITA} 2012, Honolulu, HI, USA, October 28-31, 2012}, pages = {615--619}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6401012/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isita/KimJKN12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/ZhongWPMM12, author = {Lin Zhong and Sen Wang and Minwoo Park and Rodney L. Miller and Dimitris N. Metaxas}, title = {Towards Automatic Stereoscopic Video Synthesis from a Casual Monocular Video}, booktitle = {2012 {IEEE} International Symposium on Multimedia, {ISM} 2012, Irvine, CA, USA, December 10-12, 2012}, pages = {306--313}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISM.2012.64}, doi = {10.1109/ISM.2012.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/ZhongWPMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiLO12, author = {Yan Li and Seungpil Lee and Ken Oowada and Hao Nguyen and Qui Nguyen and Nima Mokhlesi and Cynthia Hsu and Jason Li and Venky Ramachandra and Teruhiko Kamei and Masaaki Higashitani and Tuan Pham and Mitsuaki Honma and Yoshihisa Watanabe and Kazumi Ino and Binh Le and Byungki Woo and Khin Htoo and Taiyuan Tseng and Long Pham and Frank Tsai and Kwang{-}Ho Kim and Yi{-}Chieh Chen and Min She and Jonghak Yuh and Alex Chu and Chen Chen and Ruchi Puri and Hung{-}Szu Lin and Yi{-}Fang Chen and William Mak and Jonathan Huynh and Jim Chan and Mitsuyuki Watanabe and Daniel Yang and Grishma Shah and Pavithra Souriraj and Dinesh Tadepalli and Tenugu Suman and Ray Gao and Viski Popuri and Behdad Azarbayjani and Ravindra Madpur and James Lan and Emilio Yero and Feng Pan and Patrick Hong and Jang Yong Kang and Farookh Moogat and Yupin Fong and Raul Cernea and Sharon Huynh and Cuong Trinh and Mehrdad Mofidi and Ritu Shrivastava and Khandker Quader}, title = {128Gb 3b/cell {NAND} flash memory in 19nm technology with 18MB/s write rate and 400Mb/s toggle mode}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {436--437}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177080}, doi = {10.1109/ISSCC.2012.6177080}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiLO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/KadimLSJHLH12, author = {Zulaikha Kadim and Kim Meng Liang and Norshuhada Samudin and Khairunnisa Mohamed Johari and Khairil Hafriza and Teck Liong Choong and Hock Woon Hon}, editor = {Eric Pardede and David Taniar}, title = {Video analytics algorithm for detecting objects crossing lines in specific direction using blob-based analysis}, booktitle = {The 10th International Conference on Advances in Mobile Computing {\&} Multimedia, MoMM '12, Bali, Indonesia - December 03 - 05, 2012}, pages = {306--312}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2428955.2429016}, doi = {10.1145/2428955.2429016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/KadimLSJHLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW12, author = {James C. Corbett and Jeffrey Dean and Michael Epstein and Andrew Fikes and Christopher Frost and J. J. Furman and Sanjay Ghemawat and Andrey Gubarev and Christopher Heiser and Peter Hochschild and Wilson C. Hsieh and Sebastian Kanthak and Eugene Kogan and Hongyi Li and Alexander Lloyd and Sergey Melnik and David Mwaura and David Nagle and Sean Quinlan and Rajesh Rao and Lindsay Rolig and Yasushi Saito and Michal Szymaniak and Christopher Taylor and Ruth Wang and Dale Woodford}, editor = {Chandu Thekkath and Amin Vahdat}, title = {Spanner: Google's Globally-Distributed Database}, booktitle = {10th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2012, Hollywood, CA, USA, October 8-10, 2012}, pages = {251--264}, publisher = {{USENIX} Association}, year = {2012}, url = {https://www.usenix.org/conference/osdi12/technical-sessions/presentation/corbett}, timestamp = {Tue, 02 Feb 2021 08:05:55 +0100}, biburl = {https://dblp.org/rec/conf/osdi/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HanJ12, author = {Sanghyun Han and Hyun{-}Wook Jin}, editor = {Sascha Ossowski and Paola Lecca}, title = {Kernel-level {ARINC} 653 partitioning for Linux}, booktitle = {Proceedings of the {ACM} Symposium on Applied Computing, {SAC} 2012, Riva, Trento, Italy, March 26-30, 2012}, pages = {1632--1637}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2245276.2232037}, doi = {10.1145/2245276.2232037}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/HanJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/HuangHHKYLLC12, author = {Shr{-}Hau Huang and Pi Ling Huang and Bi{-}Zen Hsieh and Hsin{-}Hui Kuo and Chao{-}Yung Yeh and Shau{-}Ching Lin and Jiang{-}Jen Lin and Wood{-}Hi Cheng}, title = {Concentration effect of dispersed-graphene based saturable absorber on stabilizing and shortening mode-locked pulse}, booktitle = {21st Annual Wireless and Optical Communications Conference, {WOCC} 2012, Kaohsiung, Taiwan, April 19-21, 2012}, pages = {178--179}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WOCC.2012.6198179}, doi = {10.1109/WOCC.2012.6198179}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wocc/HuangHHKYLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Stapleton-GrayW11, author = {Ross Stapleton{-}Gray and William Woodcock}, title = {National internet defense - small states on the skirmish line}, journal = {Commun. {ACM}}, volume = {54}, number = {3}, pages = {50--55}, year = {2011}, url = {https://doi.org/10.1145/1897852.1897869}, doi = {10.1145/1897852.1897869}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Stapleton-GrayW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itcon/LinSR11, author = {Ken{-}Yu Lin and Jeong Wook Son and Eddy M. Rojas}, title = {A pilot study of a 3D game environment for construction safety education}, journal = {J. Inf. Technol. Constr.}, volume = {16}, pages = {69--84}, year = {2011}, url = {https://www.itcon.org/paper/2011/5}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itcon/LinSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/SunCLALPSAEGW11, author = {Shulei Sun and Jing Chen and Weizhong Li and Ilkay Altintas and Abel W. Lin and Steven Peltier and Karen I. Stocks and Eric E. Allen and Mark H. Ellisman and Jeffrey S. Grethe and John C. Wooley}, title = {Community cyberinfrastructure for Advanced Microbial Ecology Research and Analysis: the {CAMERA} resource}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {546--551}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1102}, doi = {10.1093/NAR/GKQ1102}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/SunCLALPSAEGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GrovesBSW11, author = {Adrian R. Groves and Christian F. Beckmann and Steve M. Smith and Mark William Woolrich}, title = {Linked independent component analysis for multimodal data fusion}, journal = {NeuroImage}, volume = {54}, number = {3}, pages = {2198--2217}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2010.09.073}, doi = {10.1016/J.NEUROIMAGE.2010.09.073}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GrovesBSW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/BaekLLA11, author = {Jung Woo Baek and Ho Woo Lee and Se Won Lee and Soohan Ahn}, title = {A Markov-modulated fluid flow queueing model under \emph{D}-policy}, journal = {Numer. Linear Algebra Appl.}, volume = {18}, number = {6}, pages = {993--1010}, year = {2011}, url = {https://doi.org/10.1002/nla.811}, doi = {10.1002/NLA.811}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/BaekLLA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/YunW11, author = {Sangwoon Yun and Hyenkyun Woo}, title = {Linearized proximal alternating minimization algorithm for motion deblurring by nonlocal regularization}, journal = {Pattern Recognit.}, volume = {44}, number = {6}, pages = {1312--1326}, year = {2011}, url = {https://doi.org/10.1016/j.patcog.2010.12.013}, doi = {10.1016/J.PATCOG.2010.12.013}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/YunW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/queue/Stapleton-GrayW11, author = {Ross Stapleton{-}Gray and Bill Woodcock}, title = {National Internet Defense - Small States on the Skirmish Line}, journal = {{ACM} Queue}, volume = {9}, number = {1}, pages = {30}, year = {2011}, url = {https://doi.org/10.1145/1922539.1929325}, doi = {10.1145/1922539.1929325}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/queue/Stapleton-GrayW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YangLSMOK11, author = {Byung{-}Do Yang and Yong{-}Kyu Lee and Si{-}Woo Sung and Jae{-}Joong Min and Jae{-}Mun Oh and Hyeong{-}Ju Kang}, title = {A Low Power Content Addressable Memory Using Low Swing Search Lines}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {12}, pages = {2849--2858}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2011.2158703}, doi = {10.1109/TCSI.2011.2158703}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YangLSMOK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/FerreiraLFKWFS11, author = {Nivan Ferreira and Lauro Didier Lins and Daniel Fink and Steve Kelling and Christopher Wood and Juliana Freire and Cl{\'{a}}udio T. Silva}, title = {BirdVis: Visualizing and Understanding Bird Populations}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {17}, number = {12}, pages = {2374--2383}, year = {2011}, url = {https://doi.org/10.1109/TVCG.2011.176}, doi = {10.1109/TVCG.2011.176}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/FerreiraLFKWFS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/JangKFP11, author = {Min{-}Hee Jang and Sang{-}Wook Kim and Christos Faloutsos and Sunju Park}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {A linear-time approximation of the earth mover's distance}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {505--514}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2063652}, doi = {10.1145/2063576.2063652}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/JangKFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/ShaonCLMWOH11, author = {Arif Shaon and Sarah Callaghan and Bryan Lawrence and Brian Matthews and Andrew Woolf and Timothy Osborn and Colin Harpham}, title = {A Linked Data Approach to Publishing Complex Scientific Workflows}, booktitle = {{IEEE} 7th International Conference on E-Science, e-Science 2011, Stockholm, Sweden, December 5-8, 2011}, pages = {303--310}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/eScience.2011.49}, doi = {10.1109/ESCIENCE.2011.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/ShaonCLMWOH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esws/MitsopoulouTGDY11, author = {Evangelia Mitsopoulou and Davide Taibi and Daniela Giordano and Stefan Dietze and Hong Qing Yu and Panagiotis D. Bamidis and Charalampos Bratsas and Luke Woodham}, editor = {Stefan Dietze and Mathieu d'Aquin and Dragan Gasevic and Miguel{-}{\'{A}}ngel Sicilia}, title = {Connecting Medical Educational Resources to the Linked Data Cloud: the mEducator {RDF} Schema, Store and {API}}, booktitle = {Proceedings of Linked Learning 2011: the 1st International Workshop on eLearning Approaches for the Linked Data Age, Linked Learning@ESWC 2011, Heraklion, Greece, May 29, 2011}, series = {{CEUR} Workshop Proceedings}, volume = {717}, publisher = {CEUR-WS.org}, year = {2011}, url = {https://ceur-ws.org/Vol-717/paper4.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:13 +0100}, biburl = {https://dblp.org/rec/conf/esws/MitsopoulouTGDY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Woo11, author = {Simon S. Woo}, title = {Analysis of Proximity-1 Space Link Interleaved Time Synchronization {(PITS)} Protocol}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134144}, doi = {10.1109/GLOCOM.2011.6134144}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/Woo11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZhuTLCF11, author = {Ziming Zhu and Jie Tang and Sangarapillai Lambotharan and Woon Hau Chin and Zhong Fan}, title = {An integer linear programming and game theory based optimization for demand-side management in smart grid}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1205--1210}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOMW.2011.6162372}, doi = {10.1109/GLOCOMW.2011.6162372}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZhuTLCF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HanKHKEL11, author = {Chang Woo Han and Tae Gyoon Kang and Doo Hwa Hong and Nam Soo Kim and Kiwan Eom and Jaewon Lee}, title = {Switching linear dynamic transducer for stereo data based speech feature mapping}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {4776--4779}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5947423}, doi = {10.1109/ICASSP.2011.5947423}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HanKHKEL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimL11, author = {Hyunwoo Kim and Sukhan Lee}, title = {Multiple planar region extraction based on the coplanar line pairs}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2011, Shanghai, China, 9-13 May 2011}, pages = {2059--2064}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICRA.2011.5979548}, doi = {10.1109/ICRA.2011.5979548}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/YoonSK11, author = {Seok{-}Ho Yoon and Suk{-}Soon Song and Sang{-}Wook Kim}, editor = {Suk{-}Han Lee and Lajos Hanzo and Min Young Chung and Sang{-}Won Lee and Kwangsu Cho}, title = {Efficient link-based clustering in a large scaled blog network}, booktitle = {Proceedings of the 5th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2011, Seoul, Republic of Korea, February 21 - 23, 2011}, pages = {71}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1968613.1968699}, doi = {10.1145/1968613.1968699}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/YoonSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/OngWAA11, author = {Lee{-}Ling S. Ong and Levi Wood and Marcelo H. Ang and H. Harry Asada}, title = {Stochastic tracking of migrating live cells interacting with 3D gel environment using augmented-space particle filters}, booktitle = {2011 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2011, San Francisco, CA, USA, September 25-30, 2011}, pages = {3931--3936}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IROS.2011.6094565}, doi = {10.1109/IROS.2011.6094565}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/OngWAA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issac/SaundersWY11, author = {B. David Saunders and David Harlan Wood and Bryan S. Youse}, editor = {{\'{E}}ric Schost and Ioannis Z. Emiris}, title = {Numeric-symbolic exact rational linear system solver}, booktitle = {Symbolic and Algebraic Computation, International Symposium, {ISSAC} 2011 (co-located with {FCRC} 2011), San Jose, CA, USA, June 7-11, 2011, Proceedings}, pages = {305--312}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1993886.1993932}, doi = {10.1145/1993886.1993932}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issac/SaundersWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/YoonHJ11, author = {Sung Su Yoon and Hung Van Hoang and Jae Wook Jeon}, title = {A linked velocity profile design for effective motion control of hydraulic systems}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {2336--2341}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181647}, doi = {10.1109/ROBIO.2011.6181647}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/YoonHJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KookHLJK11, author = {Joongjin Kook and Sukil Hong and Wooseung Lee and Eunkyeung Jae and Jung{-}Yeop Kim}, editor = {William C. Chu and W. Eric Wong and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Optimization of out of memory killer for embedded Linux environments}, booktitle = {Proceedings of the 2011 {ACM} Symposium on Applied Computing (SAC), TaiChung, Taiwan, March 21 - 24, 2011}, pages = {633--634}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1982185.1982324}, doi = {10.1145/1982185.1982324}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/KookHLJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/JayramW11, author = {T. S. Jayram and David P. Woodruff}, editor = {Dana Randall}, title = {Optimal Bounds for Johnson-Lindenstrauss Transforms and Streaming Problems with Sub-Constant Error}, booktitle = {Proceedings of the Twenty-Second Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2011, San Francisco, California, USA, January 23-25, 2011}, pages = {1--10}, publisher = {{SIAM}}, year = {2011}, url = {https://doi.org/10.1137/1.9781611973082.1}, doi = {10.1137/1.9781611973082.1}, timestamp = {Tue, 02 Feb 2021 17:07:23 +0100}, biburl = {https://dblp.org/rec/conf/soda/JayramW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeLMNKL11, author = {Sang{-}Mun Lee and Kyoung{-}Don Lee and Heung{-}Ki Min and Tae{-}Sung Noh and Sung{-}Tae Kim and Jeong{-}Woo Lee}, title = {Parameter study on the grasping characteristics of the humanoid robot hand with spherical four bar linkages}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {552--555}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145881}, doi = {10.1109/URAI.2011.6145881}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeLMNKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoiLLP11, author = {Ji{-}Woong Choi and Jungwon Lee and Hui{-}Ling Lou and Jongsun Park}, title = {Improved {MIMO} {SIC} Detection Exploiting {ML} Criterion}, booktitle = {Proceedings of the 74th {IEEE} Vehicular Technology Conference, {VTC} Fall 2011, 5-8 September 2011, San Francisco, CA, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VETECF.2011.6093071}, doi = {10.1109/VETECF.2011.6093071}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChoiLLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1109-1059, author = {Seok{-}Ho Yoon and Sang{-}Wook Kim and Sunju Park}, title = {C-Rank: {A} Link-based Similarity Measure for Scientific Literature Databases}, journal = {CoRR}, volume = {abs/1109.1059}, year = {2011}, url = {http://arxiv.org/abs/1109.1059}, eprinttype = {arXiv}, eprint = {1109.1059}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1109-1059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChoiHKMR11, author = {Seung Geol Choi and Kyung{-}Wook Hwang and Jonathan Katz and Tal Malkin and Dan Rubenstein}, title = {Secure Multi-Party Computation of Boolean Circuits with Applications to Privacy in On-Line Marketplaces}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {257}, year = {2011}, url = {http://eprint.iacr.org/2011/257}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChoiHKMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/SoakLJ10, author = {Sang{-}Moon Soak and Sang{-}Wook Lee and Moongu Jeon}, title = {The improved adaptive link adjustment evolutionary algorithm for the multiple container packing problem}, journal = {Appl. Intell.}, volume = {33}, number = {2}, pages = {144--158}, year = {2010}, url = {https://doi.org/10.1007/s10489-008-0155-6}, doi = {10.1007/S10489-008-0155-6}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/SoakLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/HollyWM10, author = {Jan E. Holly and Scott J. Wood and Gin McCollum}, title = {Phase-linking and the perceived motion during off-vertical axis rotation}, journal = {Biol. Cybern.}, volume = {102}, number = {1}, pages = {9--29}, year = {2010}, url = {https://doi.org/10.1007/s00422-009-0347-0}, doi = {10.1007/S00422-009-0347-0}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/HollyWM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KimALPK10, author = {Ji Woong Kim and Yongju Ahn and Kichan Lee and Sung{-}Hee Park and Sangsoo Kim}, title = {A classification approach for genotyping viral sequences based on multidimensional scaling and linear discriminant analysis}, journal = {{BMC} Bioinform.}, volume = {11}, pages = {434}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-434}, doi = {10.1186/1471-2105-11-434}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KimALPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ei/HagenLAML10, author = {A. Hagen and F. Lenglachner and S. Aberle and H. Minichberger and Herbert Lugschitz}, title = {Overhead line in a protected floodplain woodland - "Extended Ecology" as basis for {OHL} planning and maintenance}, journal = {Elektrotech. Informationstechnik}, volume = {127}, number = {12}, pages = {354--357}, year = {2010}, url = {https://doi.org/10.1007/s00502-010-0791-5}, doi = {10.1007/S00502-010-0791-5}, timestamp = {Thu, 26 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ei/HagenLAML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/CuiKL10, author = {Lin Cui and Seok Joo Koh and Woo Jin Lee}, title = {Fast selective {ACK} scheme for throughput enhancement of multi-homed {SCTP} hosts}, journal = {{IEEE} Commun. Lett.}, volume = {14}, number = {6}, pages = {587--589}, year = {2010}, url = {https://doi.org/10.1109/LCOMM.2010.06.092335}, doi = {10.1109/LCOMM.2010.06.092335}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/CuiKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiKKC10, author = {Kwang{-}Chun Choi and Minsu Ko and Duho Kim and Woo{-}Young Choi}, title = {Demonstration of 60-GHz Link Using a 1.6-Gb/s Mixed-Mode {BPSK} Demodulator}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {12}, pages = {1704--1707}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.1704}, doi = {10.1587/TRANSELE.E93.C.1704}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkSKJJK10, author = {Jae{-}Young Park and Jong{-}Kyu Song and Dae{-}Woo Kim and Chang{-}Soo Jang and Won{-}Young Jung and Taek{-}Soo Kim}, title = {On-Chip Charged Device Model {ESD} Protection Design Method Using Very Fast Transmission Line Pulse System for {RF} ICs}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {625--630}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.625}, doi = {10.1587/TRANSELE.E93.C.625}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkSKJJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SeoJPLK10, author = {Jeong{-}Wook Seo and Won{-}Gi Jeon and Jong{-}Ho Paik and Seok{-}Pil Lee and Dong Ku Kim}, title = {A WDFT-Based Channel Estimator with Non-adaptive Linear Prediction in Non-sample Spaced Channels}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {7}, pages = {1375--1378}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1375}, doi = {10.1587/TRANSFUN.E93.A.1375}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SeoJPLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SeongIYSNL10, author = {Joon{-}Kyung Seong and Kiho Im and Sang Wook Yoo and Sang Won Seo and Duk L. Na and Jong{-}Min Lee}, title = {Automatic extraction of sulcal lines on cortical surfaces based on anisotropic geodesic distance}, journal = {NeuroImage}, volume = {49}, number = {1}, pages = {293--302}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.08.013}, doi = {10.1016/J.NEUROIMAGE.2009.08.013}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/SeongIYSNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WierengaSMSJCDBSB10, author = {Christina E. Wierenga and Nikki H. Stricker and Ashley McCauley and Alan N. Simmons and Amy J. Jak and Yu{-}Ling Chang and Lisa Delano{-}Wood and Katherine J. Bangen and David P. Salmon and Mark W. Bondi}, title = {Increased functional brain response during word retrieval in cognitively intact older adults at genetic risk for Alzheimer's disease}, journal = {NeuroImage}, volume = {51}, number = {3}, pages = {1222--1233}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.03.021}, doi = {10.1016/J.NEUROIMAGE.2010.03.021}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WierengaSMSJCDBSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/WoodL10, author = {David R. Wood and Svante Linusson}, title = {Thomassen's Choosability Argument Revisited}, journal = {{SIAM} J. Discret. Math.}, volume = {24}, number = {4}, pages = {1632--1637}, year = {2010}, url = {https://doi.org/10.1137/100796649}, doi = {10.1137/100796649}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/WoodL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimBJPC10, author = {Woo{-}Chan Kim and ChiSung Bae and Soo{-}Yong Jeon and Sung{-}Yeop Pyun and Dong{-}Ho Cho}, title = {Efficient resource allocation for rapid link recovery and visibility in visible-light local area networks}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {524--531}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5505965}, doi = {10.1109/TCE.2010.5505965}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimBJPC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/AksoyAW10, author = {Selim Aksoy and Huseyin Gokhan Akcay and Tom Wassenaar}, title = {Automatic Mapping of Linear Woody Vegetation Features in Agricultural Landscapes Using Very High Resolution Imagery}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {48}, number = {1-2}, pages = {511--522}, year = {2010}, url = {https://doi.org/10.1109/TGRS.2009.2027702}, doi = {10.1109/TGRS.2009.2027702}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/AksoyAW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/CowlingRHSSW10, author = {Peter I. Cowling and Stephen Remde and Peter Hartley and Will Stewart and Joe Stock{-}Brooks and Tom Woolley}, title = {C-Link: Concept Linkage in Knowledge Repositories}, booktitle = {Linked Data Meets Artificial Intelligence, Papers from the 2010 {AAAI} Spring Symposium, Technical Report SS-10-07, Stanford, California, USA, March 22-24, 2010}, publisher = {{AAAI}}, year = {2010}, url = {http://www.aaai.org/ocs/index.php/SSS/SSS10/paper/view/1046}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/CowlingRHSSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/LemonsBRDY10, author = {Seth Lemons and J. Benton and Wheeler Ruml and Minh Binh Do and Sung Wook Yoon}, title = {Continual On-line Planning as Decision-Theoretic Incremental Heuristic Search}, booktitle = {Embedded Reasoning, Papers from the 2010 {AAAI} Spring Symposium, Technical Report SS-10-04, Stanford, California, USA, March 22-24, 2010}, publisher = {{AAAI}}, year = {2010}, url = {http://www.aaai.org/ocs/index.php/SSS/SSS10/paper/view/1177}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/LemonsBRDY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aips/YoonRBD10, author = {Sung Wook Yoon and Wheeler Ruml and J. Benton and Minh Binh Do}, editor = {Ronen I. Brafman and Hector Geffner and J{\"{o}}rg Hoffmann and Henry A. Kautz}, title = {Improving Determinization in Hindsight for On-line Probabilistic Planning}, booktitle = {Proceedings of the 20th International Conference on Automated Planning and Scheduling, {ICAPS} 2010, Toronto, Ontario, Canada, May 12-16, 2010}, pages = {209--217}, publisher = {{AAAI}}, year = {2010}, url = {http://www.aaai.org/ocs/index.php/ICAPS/ICAPS10/paper/view/1438}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aips/YoonRBD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Mirzazad-Barijough10, author = {Sanam Mirzazad{-}Barijough and Ji{-}Woong Lee}, title = {On stability characterization of discrete-time piecewise linear systems}, booktitle = {American Control Conference, {ACC} 2010, Baltimore, Maryland, USA, June 30 - July 2, 2010}, pages = {916--921}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ACC.2010.5530685}, doi = {10.1109/ACC.2010.5530685}, timestamp = {Sun, 08 Aug 2021 01:40:56 +0200}, biburl = {https://dblp.org/rec/conf/amcc/Mirzazad-Barijough10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/OhKK10, author = {Jaegeun Oh and Seon Wook Kim and Taejin Kim}, title = {Design issues and optimization in DisplayPort link layer implementation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {188--191}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774908}, doi = {10.1109/APCCAS.2010.5774908}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/OhKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/KimO10, author = {Sang{-}Woon Kim and B. John Oommen}, editor = {Jiuyong Li}, title = {On Optimizing \emph{Locally} Linear Nearest Neighbour Reconstructions Using Prototype Reduction Schemes}, booktitle = {{AI} 2010: Advances in Artificial Intelligence - 23rd Australasian Joint Conference, Adelaide, Australia, December 7-10, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6464}, pages = {153--163}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17432-2\_16}, doi = {10.1007/978-3-642-17432-2\_16}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ausai/KimO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HealyAGHKLLLLJOPSSWZLLL10, author = {Michael B. Healy and Krit Athikulwongse and Rohan Goel and Mohammad M. Hossain and Dae Hyun Kim and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Moongon Jung and Brian Ouellette and Mohit Pathak and Hemant Sane and Guanhao Shen and Dong Hyuk Woo and Xin Zhao and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {Design and analysis of 3D-MAPS: {A} many-core 3D processor with stacked memory}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617464}, doi = {10.1109/CICC.2010.5617464}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HealyAGHKLLLLJOPSSWZLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ShinPWL10, author = {Se Jung Shin and Hong Kyu Park and Ho Jin Woo and Won Suk Lee}, editor = {Jimmy X. Huang and Nick Koudas and Gareth J. F. Jones and Xindong Wu and Kevyn Collins{-}Thompson and Aijun An}, title = {i-SEE: integrated stream execution environment over on-line data streams}, booktitle = {Proceedings of the 19th {ACM} Conference on Information and Knowledge Management, {CIKM} 2010, Toronto, Ontario, Canada, October 26-30, 2010}, pages = {1959--1960}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1871437.1871784}, doi = {10.1145/1871437.1871784}, timestamp = {Wed, 03 Aug 2022 15:48:33 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ShinPWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeKCCR10, author = {Jun{-}Hui Lee and Hyun{-}Woo Kim and Yong{-}Hoon Choi and Young{-}uk Chung and Seung Hyong Rhee}, editor = {Tai{-}Hoon Kim and Young{-}Hoon Lee and Byeong Ho Kang and Dominik Slezak}, title = {{IP} Mobility Performance Enhancement Using Link-Layer Prediction}, booktitle = {Future Generation Information Technology - Second International Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6485}, pages = {171--179}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17569-5\_19}, doi = {10.1007/978-3-642-17569-5\_19}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/LeeKCCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/YanLMBS10, author = {Enping Yan and Hui Lin and Dengkui Mo and Liming Bai and Hua Sun}, editor = {Maozhen Li and Qilian Liang and Lipo Wang and Yibin Song}, title = {Non-wood forest information extraction based on {ALOS} data}, booktitle = {Seventh International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2010, 10-12 August 2010, Yantai, Shandong, China}, pages = {2037--2041}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FSKD.2010.5569673}, doi = {10.1109/FSKD.2010.5569673}, timestamp = {Sat, 25 Jun 2022 17:37:25 +0200}, biburl = {https://dblp.org/rec/conf/fskd/YanLMBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YapKG10, author = {XueXin Yap and Andy W. H. Khong and Woon{-}Seng Gan}, title = {Localization of acoustic source on solids: {A} linear predictive coding based algorithm for location template matching}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {2490--2493}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5494897}, doi = {10.1109/ICASSP.2010.5494897}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/YapKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdim/SilvaCN10, author = {Daniel Lins da Silva and Pedro Luiz Pizzigatti Corr{\^{e}}a and Leandro Halle Najm}, title = {Requirements analysis for a traceability system for management wood supply chain on Amazon Forest}, booktitle = {Fifth {IEEE} International Conference on Digital Information Management, {ICDIM} 2010, July 5-8, 2010, Lakehead University, Thunder Bay, Canada}, pages = {87--94}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICDIM.2010.5664635}, doi = {10.1109/ICDIM.2010.5664635}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdim/SilvaCN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimL10, author = {Hyunwoo Kim and Sukhan Lee}, title = {A novel line matching method based on intersection context}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2010, Anchorage, Alaska, USA, 3-7 May 2010}, pages = {1014--1021}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ROBOT.2010.5509472}, doi = {10.1109/ROBOT.2010.5509472}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoeKK10, author = {Woo{-}young Choe and Seung{-}Cheol Kim and Eun{-}Soo Kim}, title = {Fast hologram generation of three-dimensional objects using line-redundancy and novel-look-up table method}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {201--202}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674657}, doi = {10.1109/ICTC.2010.5674657}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoeKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonKYBLC10a, author = {Sang Kon Moon and Junho Kim and Hoon{-}Gee Yang and Kyung Bin Bae and Won Woo Lee and Young{-}Seek Chung}, title = {{DOA} with linear array antennas based on MoM}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {535--536}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674769}, doi = {10.1109/ICTC.2010.5674769}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/MoonKYBLC10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/WooK10, author = {Shinuk Woo and Hwangnam Kim}, title = {Estimating Link Reliability in Wireless Networks: An Empirical Study and Interference Modeling}, booktitle = {{INFOCOM} 2010. 29th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 15-19 March 2010, San Diego, CA, {USA}}, pages = {176--180}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/INFCOM.2010.5462250}, doi = {10.1109/INFCOM.2010.5462250}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/WooK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimL10, author = {Hyunwoo Kim and Sukhan Lee}, title = {Wide-baseline image matching based on coplanar line intersections}, booktitle = {2010 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 18-22, 2010, Taipei, Taiwan}, pages = {1157--1164}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IROS.2010.5650309}, doi = {10.1109/IROS.2010.5650309}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ParkSJSK10, author = {Jae{-}Young Park and Jong{-}Kyu Song and Chang{-}Soo Jang and Young{-}Sang Son and Dae{-}Woo Kim}, title = {Analysis and modeling of a Low Voltage Triggered {SCR} {ESD} protection clamp with the very fast Transmission Line Pulse measurement}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {206--210}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450464}, doi = {10.1109/ISQED.2010.5450464}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ParkSJSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SolhusvikABFIKLMNOW10, author = {Johannes Solhusvik and Jung{-}Chak Ahn and Jan T. Bosiers and Boyd Fowler and Makoto Ikeda and Shoji Kawahito and Jerry Lin and Dan McGrath and Katsu Nakamura and Jun Ohta and Ramchan Woo}, title = {High-speed image sensor technologies}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {516--517}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433858}, doi = {10.1109/ISSCC.2010.5433858}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SolhusvikABFIKLMNOW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/petra/WoodbridgeBS10, author = {Jonathan Woodbridge and Alex A. T. Bui and Majid Sarrafzadeh}, editor = {Fillia Makedon}, title = {Linear frequency estimation technique for reducing frequency based signals}, booktitle = {Proceedings of the 3rd International Conference on Pervasive Technologies Related to Assistive Environments, {PETRA} 2010, Samos, Greece, June 23-25, 2010}, series = {{ACM} International Conference Proceeding Series}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1839294.1839359}, doi = {10.1145/1839294.1839359}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/petra/WoodbridgeBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeePKKS10, author = {Junghoon Lee and Gyung{-}Leen Park and Sang{-}Wook Kim and Hye{-}Jin Kim and Sung Y. Shin}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {A hybrid prefetch policy for the retrieval of link-associated information on vehicular networks}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {189--193}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774127}, doi = {10.1145/1774088.1774127}, timestamp = {Sun, 02 Jun 2019 21:18:37 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeePKKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tg/WoodHS10, author = {Jeffrey A. Wood and Linda B. Hayden and Raminder Singh}, editor = {Richard Moore and Daniel S. Katz and Shawn Brown}, title = {Utilizing a social networking site as a web portal to process CReSIS radar data}, booktitle = {Proceedings of the 2010 TeraGrid Conference, {TG} '10, Pittsburgh, Pennsylvania, USA, August 2-5, 2010}, pages = {22:1--22:4}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1838574.1838596}, doi = {10.1145/1838574.1838596}, timestamp = {Fri, 11 Feb 2022 14:00:48 +0100}, biburl = {https://dblp.org/rec/conf/tg/WoodHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/ChaJKY10, author = {Woosuk Cha and Jongsoo Jeong and Jaeseok Kim and Sukdea Yu}, title = {An adaptive link management for Vehicular Ad hoc networks}, booktitle = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2010, Suzhou, China, 21-23 October, 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/WCSP.2010.5633551}, doi = {10.1109/WCSP.2010.5633551}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/ChaJKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/YoonKP10, author = {Seok{-}Ho Yoon and Sang{-}Wook Kim and Sunju Park}, editor = {Michael Rappa and Paul Jones and Juliana Freire and Soumen Chakrabarti}, title = {A link-based similarity measure for scientific literature}, booktitle = {Proceedings of the 19th International Conference on World Wide Web, {WWW} 2010, Raleigh, North Carolina, USA, April 26-30, 2010}, pages = {1213--1214}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1772690.1772880}, doi = {10.1145/1772690.1772880}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/YoonKP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/procedia/WoodwardJLYKGNS10, author = {Paul R. Woodward and Jagan Jayaraj and Pei{-}Hung Lin and Pen{-}Chung Yew and Michael R. Knox and James B. S. G. Greensky and Anthony Nowatski and Karl Stoffels}, editor = {Peter M. A. Sloot and G. Dick van Albada and Jack J. Dongarra}, title = {Boosting the performance of computational fluid dynamics codes for interactive supercomputing}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2010, University of Amsterdam, The Netherlands, May 31 - June 2, 2010}, series = {Procedia Computer Science}, volume = {1}, number = {1}, pages = {2055--2064}, publisher = {Elsevier}, year = {2010}, url = {https://doi.org/10.1016/j.procs.2010.04.230}, doi = {10.1016/J.PROCS.2010.04.230}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/procedia/WoodwardJLYKGNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WoodL10, author = {David R. Wood and Svante Linusson}, title = {Thomassen's Choosability Argument Revisited}, journal = {CoRR}, volume = {abs/1005.5194}, year = {2010}, url = {http://arxiv.org/abs/1005.5194}, eprinttype = {arXiv}, eprint = {1005.5194}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WoodL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/YunCP09, author = {Sung Wook Yun and Yun Jong Choi and PooGyeon Park}, title = {H\({}_{\mbox{2}}\) control of continuous-time uncertain linear systems with input quantization and matched disturbances}, journal = {Autom.}, volume = {45}, number = {10}, pages = {2435--2439}, year = {2009}, url = {https://doi.org/10.1016/j.automatica.2009.05.023}, doi = {10.1016/J.AUTOMATICA.2009.05.023}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/YunCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/BockH09, author = {Gee{-}Woo Bock and Swee Ling Ho}, title = {Non-work related computing {(NWRC)}}, journal = {Commun. {ACM}}, volume = {52}, number = {4}, pages = {124--128}, year = {2009}, url = {https://doi.org/10.1145/1498765.1498799}, doi = {10.1145/1498765.1498799}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/BockH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/LeePL09, author = {Jae Woo Lee and Nam Hun Park and Won Suk Lee}, title = {Efficiently tracing clusters over high-dimensional on-line data streams}, journal = {Data Knowl. Eng.}, volume = {68}, number = {3}, pages = {362--379}, year = {2009}, url = {https://doi.org/10.1016/j.datak.2008.11.004}, doi = {10.1016/J.DATAK.2008.11.004}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/LeePL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LeeCLP09, author = {Jungwon Lee and Ji{-}Woong Choi and Hui{-}Ling Lou and Jongsun Park}, title = {Soft {MIMO} {ML} demodulation based on bitwise constellation partitioning}, journal = {{IEEE} Commun. Lett.}, volume = {13}, number = {10}, pages = {736--738}, year = {2009}, url = {https://doi.org/10.1109/LCOMM.2009.091320}, doi = {10.1109/LCOMM.2009.091320}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LeeCLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkXK09, author = {Sang Wook Park and Fengchao Xiao and Yoshio Kami}, title = {Crosstalk Analysis for Embedded-Line Structure at {PCB} Using Circuit-Concept Approach}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {6}, pages = {1945--1952}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.1945}, doi = {10.1587/TRANSCOM.E92.B.1945}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkXK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/KochKW09, author = {S{\"{o}}ren Koch and Sebastian K{\"{o}}nig and Gerhard W{\"{a}}scher}, title = {Integer linear programming for a cutting problem in the wood-processing industry: a case study}, journal = {Int. Trans. Oper. Res.}, volume = {16}, number = {6}, pages = {715--726}, year = {2009}, url = {https://doi.org/10.1111/j.1475-3995.2009.00704.x}, doi = {10.1111/J.1475-3995.2009.00704.X}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/KochKW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/XuFY09, author = {Yuehua Xu and Alan Fern and Sung Wook Yoon}, title = {Learning Linear Ranking Functions for Beam Search with Application to Planning}, journal = {J. Mach. Learn. Res.}, volume = {10}, pages = {1571--1610}, year = {2009}, url = {https://dl.acm.org/doi/10.5555/1577069.1755837}, doi = {10.5555/1577069.1755837}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/XuFY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeoLKYLJ09, author = {Young{-}Suk Seo and Jang{-}Woo Lee and Hong{-}Jung Kim and Changsik Yoo and Jae{-}Jin Lee and Chun{-}Seok Jeong}, title = {A 5-Gbit/s Clock- and Data-Recovery Circuit With 1/8-Rate Linear Phase Detector in 0.18-{\(\mu\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {1}, pages = {6--10}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2008.2008520}, doi = {10.1109/TCSII.2008.2008520}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeoLKYLJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JeongKJK09, author = {Woosik Jeong and Ilkwon Kang and Kyowon Jin and Sungho Kang}, title = {A Fast Built-in Redundancy Analysis for Memories With Optimal Repair Rate Using a Line-Based Search Tree}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {12}, pages = {1665--1678}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2005988}, doi = {10.1109/TVLSI.2008.2005988}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JeongKJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/FreitasKW09, author = {D{\'{e}}bora M. de Freitas and Stuart Kininmonth and Simon Woodley}, title = {Linking science and management in the adoption of sensor network technology in the Great Barrier Reef coast, Australia}, journal = {Comput. Environ. Urban Syst.}, volume = {33}, number = {2}, pages = {111--121}, year = {2009}, url = {https://doi.org/10.1016/j.compenvurbsys.2008.11.002}, doi = {10.1016/J.COMPENVURBSYS.2008.11.002}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/urban/FreitasKW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/KimJLJWK09, author = {Junghee Kim and You Lin Jin and Sang Boem Lim and Karpjoo Jeong and Jeong Hun Woo and Eun Yi Kim}, editor = {Ngoc Thanh Nguyen and Huynh Phan Nguyen and Adam Grzech}, title = {A Data Management System for Distributed Real-Time Emissions and Air Pollutants Monitoring System}, booktitle = {First Asian Conference on Intelligent Information and Database Systems, {ACIIDS} 2009, Dong hoi, Quang binh, Vietnam, April 1-3, 2009}, pages = {173--178}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACIIDS.2009.84}, doi = {10.1109/ACIIDS.2009.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aciids/KimJLJWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KrishnamurthyL09, author = {Satyajit Arivukkodi Krishnamurthy and Ji{-}Woong Lee}, title = {A computational stability analysis of discrete-time piecewise linear systems}, booktitle = {Proceedings of the 48th {IEEE} Conference on Decision and Control, {CDC} 2009, combined withe the 28th Chinese Control Conference, December 16-18, 2009, Shanghai, China}, pages = {1106--1111}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CDC.2009.5400925}, doi = {10.1109/CDC.2009.5400925}, timestamp = {Fri, 04 Mar 2022 13:27:41 +0100}, biburl = {https://dblp.org/rec/conf/cdc/KrishnamurthyL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongKAKPK09, author = {Minyoung Song and Young{-}Ho Kwak and Sunghoon Ahn and Wooseok Kim and ByeongHa Park and Chulwoo Kim}, title = {A 10MHz to 315MHz cascaded hybrid {PLL} with piecewise linear calibrated {TDC}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {243--246}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280849}, doi = {10.1109/CICC.2009.5280849}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongKAKPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csie/LatifW09, author = {Seemab Latif and Mary McGee Wood}, editor = {Mark Burgin and Masud H. Chowdhury and Chan H. Ham and Simone A. Ludwig and Weilian Su and Sumanth Yenduri}, title = {A Novel Technique for Automated Linguistic Quality Assessment of Students' Essays Using Automatic Summarizers}, booktitle = {{CSIE} 2009, 2009 {WRI} World Congress on Computer Science and Information Engineering, March 31 - April 2, 2009, Los Angeles, California, USA, 7 Volumes}, pages = {144--148}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSIE.2009.777}, doi = {10.1109/CSIE.2009.777}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csie/LatifW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLAK09, author = {Jin Woo Kim and Hee S. Lee and Jae Yung Ahn and Chung Gu Kang}, title = {Design of Signal Constellation Rearrangement (CoRe) for Multiple Relay Links}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5425641}, doi = {10.1109/GLOCOM.2009.5425641}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimLAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKKK09, author = {Jin Woo Kim and Chung Gu Kang and Byung{-}Jae Kwak and Dong Seung Kwon}, title = {Design of a Codebook Structure for a Progressively Linear Pre-Coded Closed-Loop {MIMO} Hybrid {ARQ} System}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2009, Dresden, Germany, 14-18 June 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICC.2009.5198901}, doi = {10.1109/ICC.2009.5198901}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdip/WooPH09, author = {Dong{-}Min Woo and Dong{-}Chul Park and Seung Soo Han}, title = {Extraction of 3D Line Segment Using Disparity Map}, booktitle = {2009 International Conference on Digital Image Processing, {ICDIP} 2009, Bangkok, Thailand, March 7-9, 2009}, pages = {127--131}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDIP.2009.31}, doi = {10.1109/ICDIP.2009.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdip/WooPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/KimKHSLYL09, author = {Jin Taek Kim and Jeong{-}Ho Kho and Min{-}Seok Hong and Choul Woong Son and Do{-}Won Lee and Sang{-}Jo Youk and Geuk Lee}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak and Tae Nam Ahn and Chung{-}Huang Yang}, title = {A study on intrusion protection techniques against Linux kernel backdoor}, booktitle = {Proceedings of the 2009 International Conference on Hybrid Information Technology, {ICHIT} 2009, Daejeon, Korea, August 27-29, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {321}, pages = {86--90}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644993.1645009}, doi = {10.1145/1644993.1645009}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichit/KimKHSLYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimWK09, author = {Taemin Kim and Jihwan Woo and In{-}So Kweon}, title = {Probabilistic matching of lines for their homography}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {3489--3492}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414062}, doi = {10.1109/ICIP.2009.5414062}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/KimWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/JeonC09, author = {Sang{-}Woon Jeon and Sae{-}Young Chung}, title = {Sum capacity of multi-source linear finite-field relay networks with fading}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2009, June 28 - July 3, 2009, Seoul, Korea, Proceedings}, pages = {1714--1718}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISIT.2009.5205756}, doi = {10.1109/ISIT.2009.5205756}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/JeonC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ChenLNCKD09, author = {Vivian Hsueh{-}hua Chen and Weirong Lin and Chiew Woon Ng and Su Li Chai and Angeline Cheok Eng Khoo and Henry Been{-}Lirn Duh}, editor = {St{\'{e}}phane Natkin and J{\'{e}}r{\^{o}}me Dupire}, title = {Children's Choice of Games: The Influence of Prosocial Tendency and Education-Level}, booktitle = {Entertainment Computing - {ICEC} 2009, 8th International Conference, Paris, France, September 3-5, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5709}, pages = {110--119}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04052-8\_10}, doi = {10.1007/978-3-642-04052-8\_10}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwec/ChenLNCKD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChungL09, author = {So{-}Woo Chung and Jungmin Lee}, editor = {Olivia Kwong}, title = {Scope and Anaphoric Links in Dynamic Discourse Representation Theory}, booktitle = {Proceedings of the 23rd Pacific Asia Conference on Language, Information and Computation, {PACLIC} 23, Hong Kong, China, December 3-5, 2009}, pages = {101--109}, publisher = {City University of Hong Kong Press}, year = {2009}, url = {https://aclanthology.org/Y09-1012/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ChungL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LinkovWBKTB09, author = {Igor Linkov and Matthew D. Wood and Todd Bridges and Daniel Kovacs and Sarah Thorne and Gordon Butte}, title = {Cognitive Barriers in Floods Risk Perception and Management: {A} Mental Modeling Framework and Illusatrative Example}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, pages = {3940--3945}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSMC.2009.5346650}, doi = {10.1109/ICSMC.2009.5346650}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LinkovWBKTB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/SuhKHLL09, author = {Sangwook Suh and Kwan{-}Woo Kim and Joonhoi Hur and Kyutae Lim and Joy Laskar}, title = {{MAC} Controlled {LINC} Calibration using Pilot-Aided {LSE} Channel Estimator for {OFDM} Systems}, booktitle = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECS.2009.5073661}, doi = {10.1109/VETECS.2009.5073661}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/SuhKHLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0905-1543, author = {Sang{-}Woon Jeon and Sae{-}Young Chung}, title = {Sum capacity of multi-source linear finite-field relay networks with fading}, journal = {CoRR}, volume = {abs/0905.1543}, year = {2009}, url = {http://arxiv.org/abs/0905.1543}, eprinttype = {arXiv}, eprint = {0905.1543}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0905-1543.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/FatimaWJ08, author = {S. Shaheen Fatima and Michael J. Wooldridge and Nicholas R. Jennings}, title = {A linear approximation method for the Shapley value}, journal = {Artif. Intell.}, volume = {172}, number = {14}, pages = {1673--1699}, year = {2008}, url = {https://doi.org/10.1016/j.artint.2008.05.003}, doi = {10.1016/J.ARTINT.2008.05.003}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/FatimaWJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiedam/LinseyWM08, author = {Julie S. Linsey and Kristin L. Wood and Arthur B. Markman}, title = {Modality and representation in analogy}, journal = {Artif. Intell. Eng. Des. Anal. Manuf.}, volume = {22}, number = {2}, pages = {85--100}, year = {2008}, url = {https://doi.org/10.1017/S0890060408000061}, doi = {10.1017/S0890060408000061}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aiedam/LinseyWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/YoonPY08, author = {Seong{-}Sik Yoon and Jong{-}Koo Park and Tae{-}Woong Yoon}, title = {Dynamic anti-windup scheme for feedback linearizable nonlinear control systems with saturating inputs}, journal = {Autom.}, volume = {44}, number = {12}, pages = {3176--3180}, year = {2008}, url = {https://doi.org/10.1016/j.automatica.2008.10.003}, doi = {10.1016/J.AUTOMATICA.2008.10.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/YoonPY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/SangketPCNKBNTKLT08, author = {Unitsa Sangket and Amornrat Phongdara and Wilaiwan Chotigeat and Darran Nathan and Woo{-}Yeon Kim and Jong Bhak and Chumpol Ngamphiw and Sissades Tongsima and Asif M. Khan and Honghuang Lin and Tin Wee Tan}, title = {Automatic synchronization and distribution of biological databases and software over low-bandwidth networks among developing countries}, journal = {Bioinform.}, volume = {24}, number = {2}, pages = {299--301}, year = {2008}, url = {https://doi.org/10.1093/bioinformatics/btm570}, doi = {10.1093/BIOINFORMATICS/BTM570}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/SangketPCNKBNTKLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ShutlerSL08, author = {Paul M. E. Shutler and Seok Woon Sim and Wei Yin Selina Lim}, title = {Analysis of Linear Time Sorting Algorithms}, journal = {Comput. J.}, volume = {51}, number = {4}, pages = {451--469}, year = {2008}, url = {https://doi.org/10.1093/comjnl/bxm097}, doi = {10.1093/COMJNL/BXM097}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ShutlerSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/GuptaK08, author = {Sumeet Gupta and Hee{-}Woong Kim}, title = {Linking structural equation modeling to Bayesian networks: Decision support for customer retention in virtual communities}, journal = {Eur. J. Oper. Res.}, volume = {190}, number = {3}, pages = {818--833}, year = {2008}, url = {https://doi.org/10.1016/j.ejor.2007.05.054}, doi = {10.1016/J.EJOR.2007.05.054}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/GuptaK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IdeLAS08, author = {Noriko Ide and Woonghee Lee and Nana Akahane and Shigetoshi Sugawa}, title = {A Wide {DR} and Linear Response {CMOS} Image Sensor With Three Photocurrent Integrations in Photodiodes, Lateral Overflow Capacitors, and Column Capacitors}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {7}, pages = {1577--1587}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.922399}, doi = {10.1109/JSSC.2008.922399}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IdeLAS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scholarpedia/CioffiJL08, author = {John M. Cioffi and Sumanth Jagannathan and Wooyul Lee}, title = {Digital subscriber line {(DSL)}}, journal = {Scholarpedia}, volume = {3}, number = {8}, pages = {3995}, year = {2008}, url = {https://doi.org/10.4249/scholarpedia.3995}, doi = {10.4249/SCHOLARPEDIA.3995}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scholarpedia/CioffiJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/QuanHPK08, author = {Zhonghua Quan and Soohee Han and Jung Hun Park and Wook Hyun Kwon}, title = {Robust {FIR} Filters for Linear Continuous-Time State-Space Models With Uncertainties}, journal = {{IEEE} Signal Process. Lett.}, volume = {15}, pages = {621--624}, year = {2008}, url = {https://doi.org/10.1109/LSP.2008.2004515}, doi = {10.1109/LSP.2008.2004515}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/QuanHPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimSKS08, author = {Jongsik Kim and Jaewook Shin and Seungsoo Kim and Hyunchol Shin}, title = {A Wide-Band {CMOS} \emph{LC VCO} With Linearized Coarse Tuning Characteristics}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {5}, pages = {399--403}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2007.914896}, doi = {10.1109/TCSII.2007.914896}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimSKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/ChoiKCB08, author = {Woo{-}Seok Choi and Sungwon Kang and Ho{-}Jin Choi and Jongmoon Baik}, editor = {Qiang Wu and Xiangjian He and Quang Vinh Nguyen and Wenjing Jia and Mao Lin Huang}, title = {Automated generation of product use case scenarios in product line development}, booktitle = {Proceedings of 8th {IEEE} International Conference on Computer and Information Technology, {CIT} 2008, Sydney, Australia, July 8-11, 2008}, pages = {760--765}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CIT.2008.4594770}, doi = {10.1109/CIT.2008.4594770}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/ChoiKCB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaESA/LeeJ08, author = {Sang{-}Hun Lee and Hyun{-}Wook Jin}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Real-Time Communication Support for Embedded Linux over Ethernet}, booktitle = {Proceedings of the 2008 International Conference on Embedded Systems {\&} Applications, {USA} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {239--245}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Tue, 10 Feb 2009 12:27:35 +0100}, biburl = {https://dblp.org/rec/conf/csreaESA/LeeJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/KimKOM08, author = {Sang Gyun Kim and Woo Sik Kim and Seung Ho Ok and Byung In Moon}, title = {High-Speed Priority Queue Architecture for Multiple Out Links}, booktitle = {4th {IEEE} International Symposium on Electronic Design, Test and Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008}, pages = {410--414}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DELTA.2008.110}, doi = {10.1109/DELTA.2008.110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/KimKOM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/WooKK08, author = {Jihwan Woo and Taemin Kim and In{-}So Kweon}, title = {Probabilistic matching of line segments for their homography}, booktitle = {19th International Conference on Pattern Recognition {(ICPR} 2008), December 8-11, 2008, Tampa, Florida, {USA}}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPR.2008.4760986}, doi = {10.1109/ICPR.2008.4760986}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/WooKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SaharLGBCTDSBGKHEMEJFYJWJJOJ08, author = {Ran Sahar and Avi Lavan and Eran Geyari and Amit Berman and Itzic Cohen and Ori Tirosh and Kobi Danon and Yair Sofer and Yoram Betser and Amichai Givant and Alexander Kushnarenko and Yaal Horesh and Ron Eliyahu and Eduardo Maayan and Boaz Eitan and Wang Pei Jen and Yan Feng and Lin Ching Yao and Kwon Yi Jin and Kwon Sung Woo and Cai En Jing and Yi Jing Jing and Kim Jong Oh and Yi Guan Jiun}, title = {A 4b/Cell 8Gb {NROM} Data-Storage Memory with Enhanced Write Performance}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {422--423}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523237}, doi = {10.1109/ISSCC.2008.4523237}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SaharLGBCTDSBGKHEMEJFYJWJJOJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/TruongLJ08, author = {Hung Q. Truong and Sukhan Lee and Seok{-}Woo Jang}, title = {Model-based recognition of 3D objects using intersecting lines}, booktitle = {{IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2008, Seoul, South Korea, August 20-22, 2008}, pages = {656--660}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/MFI.2008.4648019}, doi = {10.1109/MFI.2008.4648019}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mfi/TruongLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/ParkLJKC08, author = {Jeong Woo Park and Hui Sung Lee and Su Hun Jo and Min{-}Gyu Kim and Myung Jin Chung}, editor = {Martin Buss and Kolja K{\"{u}}hnlenz}, title = {Emotional boundaries for choosing modalities according to the intensity of emotion in a linear affect-expression space}, booktitle = {The 17th {IEEE} International Symposium on Robot and Human Interactive Communication, {RO-MAN} 2008, Munich, Germany, August 1-3, 2008}, pages = {225--230}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROMAN.2008.4600670}, doi = {10.1109/ROMAN.2008.4600670}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/ParkLJKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/PaciBKLSW08, author = {Federica Paci and Elisa Bertino and Sam Kerr and Aaron Lint and Anna Cinzia Squicciarini and Jungha Woo}, editor = {Uwe Brinkschulte and Tony Givargis and Stefano Russo}, title = {VeryIDX - {A} Digital Identity Management System for Pervasive Computing Environments}, booktitle = {Software Technologies for Embedded and Ubiquitous Systems, 6th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2008, Anacarpi, Capri Island, Italy, October 1-3, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5287}, pages = {268--279}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87785-1\_24}, doi = {10.1007/978-3-540-87785-1\_24}, timestamp = {Wed, 22 Mar 2023 16:53:33 +0100}, biburl = {https://dblp.org/rec/conf/seus/PaciBKLSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HoangLHLR08, author = {Linh Hoang and Seung{-}Wook Lee and Gumwon Hong and Joo{-}Young Lee and Hae{-}Chang Rim}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {A Hybrid Method for Opinion finding Task {(KUNLP} at {TREC} 2008 Blog Track)}, booktitle = {Proceedings of The Seventeenth Text REtrieval Conference, {TREC} 2008, Gaithersburg, Maryland, USA, November 18-21, 2008}, series = {{NIST} Special Publication}, volume = {500-277}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2008}, url = {http://trec.nist.gov/pubs/trec17/papers/koreau.blog.pdf}, timestamp = {Wed, 03 Feb 2021 08:31:24 +0100}, biburl = {https://dblp.org/rec/conf/trec/HoangLHLR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkXPK07, author = {Sang Wook Park and Fengchao Xiao and Dong Chul Park and Yoshio Kami}, title = {Crosstalk Analysis for Two Bent Lines Using Circuit Model}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {2}, pages = {323--330}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.2.323}, doi = {10.1093/IETCOM/E90-B.2.323}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkXPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkXPK07a, author = {Sang Wook Park and Fengchao Xiao and Dong Chul Park and Yoshio Kami}, title = {Crosstalk Analysis Method for Two Bent Lines on a {PCB} Using a Circuit Model}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {6}, pages = {1313--1321}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.6.1313}, doi = {10.1093/IETCOM/E90-B.6.1313}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkXPK07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/WoodCH07, author = {Rohin Wood and Ben Cazzolato and Dunant Halim}, title = {Control of a cylindrical {PVTOL} vehicle: global non-linear velocity tracking control considering aerodynamics}, journal = {Int. J. Control}, volume = {80}, number = {10}, pages = {1595--1606}, year = {2007}, url = {https://doi.org/10.1080/00207170701447098}, doi = {10.1080/00207170701447098}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/WoodCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijecommerce/GuptaK07, author = {Sumeet Gupta and Hee{-}Woong Kim}, title = {The Moderating Effect of Transaction Experience on the Decision Calculus in On-Line Repurchase}, journal = {Int. J. Electron. Commer.}, volume = {12}, number = {1}, pages = {127--158}, year = {2007}, url = {https://doi.org/10.2753/JEC1086-4415120105}, doi = {10.2753/JEC1086-4415120105}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijecommerce/GuptaK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/SengL07, author = {Jia{-}Lang Seng and Woodstock Lin}, title = {An ontology-assisted analysis in aligning business process with e-commerce standards}, journal = {Ind. Manag. Data Syst.}, volume = {107}, number = {3}, pages = {415--437}, year = {2007}, url = {https://doi.org/10.1108/02635570710734307}, doi = {10.1108/02635570710734307}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imds/SengL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/EricksonMWPBWLSHLA07, author = {Bradley James Erickson and Jayawant Mandrekar and Liqin Wang and Julia Willamena Patriarche and Brian J. Bartholmai and Christropher P. Wood and E. Paul Lindell and Anne{-}Marie Sykes and Gordon F. Harms and Rebecca M. Lindell and Katherine P. Andriole}, title = {Effect of Automated Image Registration on Radiologist Interpretation}, journal = {J. Digit. Imaging}, volume = {20}, number = {2}, pages = {105--113}, year = {2007}, url = {https://doi.org/10.1007/s10278-007-9023-x}, doi = {10.1007/S10278-007-9023-X}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/EricksonMWPBWLSHLA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkABS07, author = {Sang Wook Park and Jos{\'{e}} L. Aus{\'{\i}}n and Faramarz Bahmani and Edgar S{\'{a}}nchez{-}Sinencio}, title = {Nonlinear Shaping {SC} Oscillator With Enhanced Linearity}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {11}, pages = {2421--2431}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.907167}, doi = {10.1109/JSSC.2007.907167}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkABS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoK07, author = {Young{-}Ho Seo and Dong{-}Wook Kim}, title = {{VLSI} Architecture of Line-Based Lifting Wavelet Transform for Motion {JPEG2000}}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {2}, pages = {431--440}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.889368}, doi = {10.1109/JSSC.2006.889368}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SeoK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/QuanHK07, author = {Zhonghua Quan and Soohee Han and Wook Hyun Kwon}, title = {A Robust {FIR} Filter for Linear Discrete-Time State-Space Signal Models With Uncertainties}, journal = {{IEEE} Signal Process. Lett.}, volume = {14}, number = {8}, pages = {553--556}, year = {2007}, url = {https://doi.org/10.1109/LSP.2007.891839}, doi = {10.1109/LSP.2007.891839}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/QuanHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/AhnHK07, author = {Choon Ki Ahn and Soo Hee Han and Wook Hyun Kwon}, title = {\emph{H}\({}_{\mbox{{\(\infty\)}}}\) Finite Memory Controls for Linear Discrete-Time State-Space Models}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {54-II}, number = {2}, pages = {97--101}, year = {2007}, url = {https://doi.org/10.1109/TCSII.2006.883822}, doi = {10.1109/TCSII.2006.883822}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/AhnHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeCS07, author = {Jae{-}Eun Lee and Young{-}Seok Choi and Woo{-}Jin Song}, title = {A Low-Complexity L\({}_{\mbox{infty}}\)-Norm Adaptive Filtering Algorithm}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {54-II}, number = {12}, pages = {1092--1096}, year = {2007}, url = {https://doi.org/10.1109/TCSII.2007.906974}, doi = {10.1109/TCSII.2007.906974}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SonMCKR07, author = {Seung Woo Son and Konrad Malkowski and Guilin Chen and Mahmut T. Kandemir and Padma Raghavan}, title = {Reducing energy consumption of parallel sparse matrix applications through integrated link/CPU voltage scaling}, journal = {J. Supercomput.}, volume = {41}, number = {3}, pages = {179--213}, year = {2007}, url = {https://doi.org/10.1007/s11227-007-0113-9}, doi = {10.1007/S11227-007-0113-9}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SonMCKR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LeePC07, author = {Hui Sung Lee and Jeong Woo Park and Myung Jin Chung}, title = {A Linear Affect-Expression Space Model and Control Points for Mascot-Type Facial Robots}, journal = {{IEEE} Trans. Robotics}, volume = {23}, number = {5}, pages = {863--873}, year = {2007}, url = {https://doi.org/10.1109/TRO.2007.907477}, doi = {10.1109/TRO.2007.907477}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/LeePC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/KangLKL07, author = {Sungwon Kang and Jihyun Lee and Myungchul Kim and Woojin Lee}, title = {Towards a Formal Framework for Product Line Test Development}, booktitle = {Seventh International Conference on Computer and Information Technology {(CIT} 2007), October 16-19, 2007, University of Aizu, Fukushima, Japan}, pages = {921--926}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIT.2007.40}, doi = {10.1109/CIT.2007.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/KangLKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/LeeKL07, author = {Woojin Lee and Sungwon Kang and Danhyung Lee}, title = {Product Line Approach to Role-Based Middleware Development for Ubiquitous Sensor Network}, booktitle = {Seventh International Conference on Computer and Information Technology {(CIT} 2007), October 16-19, 2007, University of Aizu, Fukushima, Japan}, pages = {1032--1037}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIT.2007.106}, doi = {10.1109/CIT.2007.106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/LeeKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/IdeLAS07, author = {Noriko Ide and Woonghee Lee and Nana Akahane and Shigetoshi Sugawa}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {A Wide {DR} and linear response {CMOS} image sensor with three photocurrent integrations in photodiodes, lateral overflow capacitors and column capacitors}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {336--339}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430312}, doi = {10.1109/ESSCIRC.2007.4430312}, timestamp = {Mon, 18 Oct 2021 17:08:49 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/IdeLAS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/BaekPH07, author = {Seung{-}Mook Baek and Jung{-}Wook Park and Ian A. Hiskens}, title = {Optimal Tuning for Linear and Nonlinear Parameters of Power System Stabilizers in Hybrid System Modeling}, booktitle = {Conference Record of the 2007 {IEEE} Industry Applications Conference Forty-Second {IAS} Annual Meeting, New Orleans, LA, USA, September 23-27, 2007}, pages = {1665--1672}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/07IAS.2007.256}, doi = {10.1109/07IAS.2007.256}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/iasam/BaekPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/KimLYLY07, author = {Myungchul Kim and Kwangwoon Lee and Jangho Yoon and Sang Bin Lee and Jiyoon Yoo}, title = {Condition Monitoring of {DC} Link Electrolytic Capacitors in Adjustable Speed Drives}, booktitle = {Conference Record of the 2007 {IEEE} Industry Applications Conference Forty-Second {IAS} Annual Meeting, New Orleans, LA, USA, September 23-27, 2007}, pages = {237--243}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/07IAS.2007.43}, doi = {10.1109/07IAS.2007.43}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/KimLYLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/LeePKYKK07, author = {Junghoon Lee and Gyung{-}Leen Park and Hanil Kim and Young{-}Kyu Yang and Pankoo Kim and Sang{-}Wook Kim}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {A Telematics Service System Based on the Linux Cluster}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {660--667}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72590-9\_96}, doi = {10.1007/978-3-540-72590-9\_96}, timestamp = {Tue, 08 Nov 2022 08:34:37 +0100}, biburl = {https://dblp.org/rec/conf/iccS/LeePKYKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/KangJSSR07, author = {Hee{-}Jun Kang and Jeong{-}Woo Jeong and Sung{-}Weon Shin and Young Soo Suh and Young Shick Ro}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {Autonomous Kinematic Calibration of the Robot Manipulator with a Linear Laser-Vision Sensor}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4682}, pages = {1102--1109}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74205-0\_114}, doi = {10.1007/978-3-540-74205-0\_114}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/KangJSSR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-10/CunninghamCFLNPRSSVWZ07, author = {Robert K. Cunningham and Steven Cheung and Martin W. Fong and Ulf Lindqvist and David M. Nicol and Ronald Pawlowski and Eric Robinson and William H. Sanders and Sankalp Singh and Alfonso Valdes and Bradley Woodworth and Michael Zhivich}, editor = {Eric Goetz and Sujeet Shenoi}, title = {Securing Current and Future Process Control Systems}, booktitle = {Critical Infrastructure Protection, Post-Proceedings of the First Annual {IFIP} Working Group 11.10 International Conference on Critical Infrastructure Protection, Dartmouth College, Hanover, New Hampshire, USA, March 19-21, 2007}, series = {{IFIP}}, volume = {253}, pages = {99--115}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-75462-8\_8}, doi = {10.1007/978-0-387-75462-8\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip11-10/CunninghamCFLNPRSSVWZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhengWASZTALYS07, author = {Yuanjin Zheng and King{-}Wah Wong and M. Annamalai Asaru and Dan Shen and Wen Hu Zhao and Yen Ju The and P. Andrew and Fujiang Lin and Wooi Gan Yeoh and Rajinder Singh}, title = {A 0.18{\(\mu\)}m {CMOS} Dual-Band {UWB} Transceiver}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {114--590}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373614}, doi = {10.1109/ISSCC.2007.373614}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ZhengWASZTALYS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/StenzelLCKKLWVC07, author = {Roland Stenzel and Ralph Lin and Peng Cheng and Gernot Kronreif and Martin Kornfeld and David Lindisch and Bradford J. Wood and Anand Viswanathan and Kevin Cleary}, editor = {Kevin R. Cleary and Michael I. Miga}, title = {Precision instrument placement using a 4-DOF robot with integrated fiducials for minimally invasive interventions}, booktitle = {Medical Imaging 2007: Visualization and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2007}, series = {{SPIE} Proceedings}, volume = {6509}, pages = {65092S}, publisher = {{SPIE}}, year = {2007}, url = {https://doi.org/10.1117/12.712276}, doi = {10.1117/12.712276}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/StenzelLCKKLWVC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/AhnL07, author = {Woo{-}Young Ahn and Seon{-}Ha Lee}, title = {A Sensitivity of Delay Difference between the Linear Traffic Model and the Kinematic Traffic Model}, booktitle = {2007 International Conference on Multimedia and Ubiquitous Engineering {(MUE} 2007), 26-28 April 2007, Seoul, Korea}, pages = {446--453}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MUE.2007.52}, doi = {10.1109/MUE.2007.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mue/AhnL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/YoonJKYKJ07, author = {Sungro Yoon and Jiwoong Jeong and Chong{-}kwon Kim and Woo{-}Jin Yang and Tae{-}il Kim and Hae{-}won Jung}, title = {New Approach for Reducing {DAD} delay using Link Layer Assistance in Mobile IPv6}, booktitle = {2007 International Conference on Multimedia and Ubiquitous Engineering {(MUE} 2007), 26-28 April 2007, Seoul, Korea}, pages = {1080--1085}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MUE.2007.162}, doi = {10.1109/MUE.2007.162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mue/YoonJKYKJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeePJC07, author = {Hui Sung Lee and Jeong Woo Park and Su Hun Jo and Myung Jin Chung}, title = {A Linear Dynamic Affect-Expression Model: Facial Expressions According to Perceived Emotions in Mascot-Type Facial Robots}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {619--624}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415158}, doi = {10.1109/ROMAN.2007.4415158}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/LeePJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/safecomp/MiedlLLHMLCKCCLPK07, author = {Horst Miedl and Jang{-}Soo Lee and Arndt Lindner and Ernst Hoffman and Josef M{\"{a}}rtz and Young{-}Jun Lee and Jong{-}Gyun Choi and Jangyeol Kim and Kyoung{-}Ho Cha and Se Woo Cheon and Cheol{-}Kwon Lee and Gee{-}Yong Park and Kee{-}Choon Kwon}, editor = {Francesca Saglietti and Norbert Oster}, title = {Defense-in-Depth and Diverse Qualification of Safety-Critical Software}, booktitle = {Computer Safety, Reliability, and Security, 26th International Conference, {SAFECOMP} 2007, Nuremberg, Germany, September 18-21, 2007}, series = {Lecture Notes in Computer Science}, volume = {4680}, pages = {258--263}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75101-4\_24}, doi = {10.1007/978-3-540-75101-4\_24}, timestamp = {Sat, 30 Sep 2023 09:55:40 +0200}, biburl = {https://dblp.org/rec/conf/safecomp/MiedlLLHMLCKCCLPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/LeeKSB07, author = {Soon{-}Bok Lee and Jin{-}Woo Kim and Chee{-}Yang Song and Doo{-}Kwon Baik}, title = {An Approach to Analyzing Commonality and Variability of Features using Ontology in a Software Product Line Engineering}, booktitle = {5th {ACIS} International Conference on Software Engineering Research, Management {\&} Applications {(SERA} 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea}, pages = {727--734}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SERA.2007.41}, doi = {10.1109/SERA.2007.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/LeeKSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/MoonBLZSK07, author = {Dug Hee Moon and Seung Geun Baek and Jun Seok Lee and Bing Lin Zhang and Yang Woo Shin and Young Gyoo Kim}, editor = {Maurice J. Ades}, title = {A case study of 3D simulation for developing automatic assembly line in the phone-camera industry}, booktitle = {Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 3}, pages = {90--95}, publisher = {{SCS/ACM}}, year = {2007}, url = {http://dl.acm.org/citation.cfm?id=1404803.1404825}, timestamp = {Mon, 10 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/MoonBLZSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/MoonXBLS07, author = {Dug Hee Moon and Te Xu and Seung Geun Baek and Jun Seok Lee and Woo Young Shin}, editor = {Maurice J. Ades}, title = {A simulation study of the transmission case line in an automotive factory}, booktitle = {Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 3}, pages = {24--29}, publisher = {{SCS/ACM}}, year = {2007}, url = {http://dl.acm.org/citation.cfm?id=1404803.1404813}, timestamp = {Mon, 10 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/MoonXBLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ShinCLHK07, author = {Wooram Shin and Jongsub Cha and Hoojin Lee and Sooyoung Hur and Joonhyuk Kang}, title = {Partial Linear and SQRD-based {DF} Detections for {DSTTD-OFDM} Systems in Severely Time-Varying Multipath Channels}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {874--878}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.166}, doi = {10.1109/WCNC.2007.166}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/ShinCLHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiWLGGS06, author = {Hao Li and Constance L. Wood and Yushu Liu and Thomas V. Getchell and Marilyn L. Getchell and Arnold J. Stromberg}, title = {Identification of gene expression patterns using planned linear contrasts}, journal = {{BMC} Bioinform.}, volume = {7}, pages = {245}, year = {2006}, url = {https://doi.org/10.1186/1471-2105-7-245}, doi = {10.1186/1471-2105-7-245}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LiWLGGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/db/KimP06, author = {Hee{-}Woong Kim and Shan Ling Pan}, title = {Towards a process model of information systems implementation: the case of customer relationship management {(CRM)}}, journal = {Data Base}, volume = {37}, number = {1}, pages = {59--76}, year = {2006}, url = {https://doi.org/10.1145/1120501.1120506}, doi = {10.1145/1120501.1120506}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/db/KimP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeLC06, author = {Ki{-}Hyuk Lee and Jae{-}Wook Lee and Woo{-}Young Choi}, title = {A 0.18 {\(\mathrm{\mu}\)}m {CMOS} 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {10}, pages = {1454--1459}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.10.1454}, doi = {10.1093/IETELE/E89-C.10.1454}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkS06, author = {Jung{-}Wook Park and Kyung{-}Bin Song}, title = {Hybrid System Based Interpolation Line Search Optimization Applied to Nonlinear Controller in a Power Network}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {11}, pages = {3192--3198}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.11.3192}, doi = {10.1093/IETFEC/E89-A.11.3192}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ParkS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LinWK06, author = {Woo{-}Tsong Lin and Shih{-}Cheng Wen and David Chien{-}Liang Kuo}, title = {Determining Batch Sizes for Parts on Procurement and Remanufacturing Decisions: AnApproach Based on Fuzzy Logic and Genetic Algorithm}, journal = {Int. J. Electron. Bus. Manag.}, volume = {4}, number = {4}, pages = {307--318}, year = {2006}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijebm/LinWK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/CoxNPLLHH06, author = {Daniel J. Cox and Glynn Newby and Hyung Wook Park and Steven Y. Liang and Wang{-}Lin Liu and Shang{-}Bin Hsieh and Joon Hwang}, title = {Precision Machining with Micro-Scale Vertical Machining Center}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {10}, number = {2}, pages = {187--195}, year = {2006}, url = {https://doi.org/10.20965/jaciii.2006.p0187}, doi = {10.20965/JACIII.2006.P0187}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/CoxNPLLHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ParkWLH06, author = {Young{-}Ho Park and Kyu{-}Young Whang and Byung Suk Lee and Wook{-}Shin Han}, title = {Efficient evaluation of linear path expressions on large-scale heterogeneous {XML} documents using information retrieval techniques}, journal = {J. Syst. Softw.}, volume = {79}, number = {2}, pages = {180--190}, year = {2006}, url = {https://doi.org/10.1016/j.jss.2005.05.009}, doi = {10.1016/J.JSS.2005.05.009}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/ParkWLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/AhnHK06, author = {ChoonKi Ahn and Soo Hee Han and Wook Hyun Kwon}, title = {cal H\({}_{\mbox{{\(\infty\)}}}\) {FIR} Filters for Linear Continuous-Time State-Space Systems}, journal = {{IEEE} Signal Process. Lett.}, volume = {13}, number = {9}, pages = {557--560}, year = {2006}, url = {https://doi.org/10.1109/LSP.2006.874448}, doi = {10.1109/LSP.2006.874448}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/AhnHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/technometrics/WoodsLER06, author = {David C. Woods and Susan M. Lewis and John A. Eccleston and K. G. Russell}, title = {Designs for Generalized Linear Models With Several Variables and Model Uncertainty}, journal = {Technometrics}, volume = {48}, number = {2}, pages = {284--292}, year = {2006}, url = {https://doi.org/10.1198/004017005000000571}, doi = {10.1198/004017005000000571}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/technometrics/WoodsLER06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/KempfSWTN06, author = {James Kempf and Ajoy Singh and Jonathan Wood and Atsushi Takeshita and Nat Natarajan}, title = {Link Synchronous Mobile IPv4 Handover Algorithms}, journal = {Wirel. Networks}, volume = {12}, number = {3}, pages = {321--344}, year = {2006}, url = {https://doi.org/10.1007/s11276-005-5277-8}, doi = {10.1007/S11276-005-5277-8}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/KempfSWTN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WooldridgeDP06, author = {Michael J. Wooldridge and Paul E. Dunne and Simon Parsons}, title = {On the Complexity of Linking Deductive and Abstract Argument Systems}, booktitle = {Proceedings, The Twenty-First National Conference on Artificial Intelligence and the Eighteenth Innovative Applications of Artificial Intelligence Conference, July 16-20, 2006, Boston, Massachusetts, {USA}}, pages = {299--304}, publisher = {{AAAI} Press}, year = {2006}, url = {http://www.aaai.org/Library/AAAI/2006/aaai06-048.php}, timestamp = {Tue, 05 Sep 2023 09:10:47 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WooldridgeDP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LimS06, author = {Sun{-}Wook Lim and Rahmat A. Shoureshi}, title = {Advanced monitoring system for integrity assessment of electric power transmission lines}, booktitle = {American Control Conference, {ACC} 2006, Minneapolis, MN, USA, 14-16 June, 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ACC.2006.1657414}, doi = {10.1109/ACC.2006.1657414}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LimS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JangKLHK06, author = {Wonwoo Jang and Hyunsik Kim and Sungmok Lee and Jooyoung Ha and Bongsoon Kang}, title = {Implementation of the Gamma Line System Similar to Non-linear Gamma Curve with 2bit Error(LSB)}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {283--286}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342406}, doi = {10.1109/APCCAS.2006.342406}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JangKLHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gsem/LeeCHKR06, author = {Seojeong Lee and Sung{-}Wook Hwang and G. H. Kim and G. S. Ryu and Misook Choi}, editor = {Robert Hirschfeld and Andreas Polze and Ryszard Kowalczyk}, title = {A Reusable Architecture with Product Line Technique Applied to Context Sensitive Service}, booktitle = {Conference Proceedings NODe 2006, {GSEM} 2006, Erfurt, Germany, September 18-20, 2006}, series = {{LNI}}, volume = {{P-88}}, pages = {195--204}, publisher = {{GI}}, year = {2006}, url = {https://dl.gi.de/handle/20.500.12116/24094}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gsem/LeeCHKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeLY06, author = {Seung{-}Heon Lee and Byung{-}Wook Lee and Young{-}Kyu Yang}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Estimation of Link Speed Using Pattern Classification of {GPS} Probe Car Data}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3981}, pages = {495--504}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751588\_52}, doi = {10.1007/11751588\_52}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SonMCKR06, author = {Seung Woo Son and Konrad Malkowski and Guilin Chen and Mahmut T. Kandemir and Padma Raghavan}, title = {Integrated link/CPU voltage scaling for reducing energy consumption of parallel sparse matrix applications}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639596}, doi = {10.1109/IPDPS.2006.1639596}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SonMCKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhengTAXYLS06, author = {Yuanjin Zheng and Yan Tong and Chyuen{-}Wei Ang and Yong Ping Xu and Wooi Gan Yeoh and Fujiang Lin and Rajinder Singh}, title = {A {CMOS} Carrier-less {UWB} Transceiver for {WPAN} Applications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {378--387}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696069}, doi = {10.1109/ISSCC.2006.1696069}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ZhengTAXYLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/WoonGS06, author = {Steve Woon and Nada Golmie and Y. Ahmet Sekercioglu}, title = {Effective Link Triggers to Improve Handover Performance}, booktitle = {Proceedings of the {IEEE} 17th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2006, 11-14 September 2006, Helsiniki, Finland}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/PIMRC.2006.254239}, doi = {10.1109/PIMRC.2006.254239}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/WoonGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/WooHJ06, author = {Young Woon Woo and Soowhan Han and Kyung Shik Jang}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Off-Line Signature Verification Based on Directional Gradient Spectrum and a Fuzzy Classifier}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {1018--1029}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_102}, doi = {10.1007/11949534\_102}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/psivt/WooHJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdmw/ByunRPL06, author = {Jin Wook Byun and Hyun Suk Rhee and Hyun{-}A Park and Dong Hoon Lee}, editor = {Willem Jonker and Milan Petkovic}, title = {Off-Line Keyword Guessing Attacks on Recent Keyword Search Schemes over Encrypted Data}, booktitle = {Secure Data Management, Third {VLDB} Workshop, {SDM} 2006, Seoul, Korea, September 10-11, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4165}, pages = {75--83}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11844662\_6}, doi = {10.1007/11844662\_6}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/sdmw/ByunRPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/WoodS06, author = {Anthony D. Wood and John A. Stankovic}, editor = {Andrew T. Campbell and Philippe Bonnet and John S. Heidemann}, title = {AMSecure: secure link-layer communication in TinyOS for {IEEE} 802.15.4-based wireless sensor networks}, booktitle = {Proceedings of the 4th International Conference on Embedded Networked Sensor Systems, SenSys 2006, Boulder, Colorado, USA, October 31 - November 3, 2006}, pages = {395--396}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1182807.1182873}, doi = {10.1145/1182807.1182873}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/WoodS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/KimKSB06, author = {Young{-}Gab Kim and Jin{-}Woo Kim and Sung{-}Ook Shin and Doo{-}Kwon Baik}, title = {Managing Variability for Software Product-Line}, booktitle = {Fourth International Conference on Software Engineering, Research, Management and Applications {(SERA} 2006), 9-11 August 2006, Seattle, Washington, {USA}}, pages = {74--81}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SERA.2006.45}, doi = {10.1109/SERA.2006.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/KimKSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/KangE06, author = {Woobum Kang and Shigeru Eiho}, editor = {Jos{\'{e}} Braz and Alpesh Ranchordas and Helder Ara{\'{u}}jo and Joaquim A. Jorge}, title = {3D Tracking Using 2D-3D Line Segment Correspondence and 2D Point Motion}, booktitle = {Advances in Computer Graphics and Computer Vision - International Conferences {VISAPP} and {GRAPP} 2006, Set{\'{u}}bal, Portugal, February 25-28, 2006, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {4}, pages = {367--380}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-75274-5\_26}, doi = {10.1007/978-3-540-75274-5\_26}, timestamp = {Wed, 29 May 2019 09:35:03 +0200}, biburl = {https://dblp.org/rec/conf/visapp/KangE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/ParkKP05, author = {Chan{-}Kyoo Park and Woo{-}Je Kim and Soondal Park}, title = {On the Properties of {\unicode{8714}}-sensitivity Analysis for Linear Programming}, journal = {Asia Pac. J. Oper. Res.}, volume = {22}, number = {2}, pages = {135--152}, year = {2005}, url = {https://doi.org/10.1142/S0217595905000467}, doi = {10.1142/S0217595905000467}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/ParkKP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiKY05, author = {Byoung Wook Choi and Kyoung Chul Koh and Soo Yeong Yi}, title = {Web-Based Monitoring and Control for {BAS} Using Multi-Protocol Converter with Embedded Linux}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {3}, pages = {450--457}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.3.450}, doi = {10.1093/IETELE/E88-C.3.450}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChungCS05, author = {Won{-}Sup Chung and Hyeong{-}Woo Cha and Sang{-}Hee Son}, title = {A Low-Voltage Low-Power Bipolar Transconductor with High-Linearity}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {1}, pages = {384--386}, year = {2005}, url = {http://search.ieice.org/bin/summary.php?id=e88-a\_1\_384\&category=D\&year=2005\&lang=E\&abst=}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChungCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JangK05, author = {Seung Ho Jang and Sang Woo Kim}, title = {A New Sliding Surface Design Method of Linear Systems with Mismatched Uncertainties}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {1}, pages = {387--391}, year = {2005}, url = {http://search.ieice.org/bin/summary.php?id=e88-a\_1\_387\&category=D\&year=2005\&lang=E\&abst=}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JangK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimJLJS05, author = {Eun{-}Su Kim and Soo{-}Wook Jang and Sung{-}Hak Lee and Tae{-}Young Jung and Kyu{-}Ik Sohng}, title = {Optimal Piece Linear Segments of Gamma Correction for {CMOS} Image Sensors}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {11}, pages = {2090--2093}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.11.2090}, doi = {10.1093/IETELE/E88-C.11.2090}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimJLJS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkJP05, author = {Sang Wook Park and Jae Cheol Ju and Dong Chul Park}, title = {Analysis of Crosstalk between Finite-Length Multiconductor Transmission Lines on a {PCB} by Using Circuit-Concept Approach}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {8}, pages = {3189--3194}, year = {2005}, url = {https://doi.org/10.1093/ietcom/e88-b.8.3189}, doi = {10.1093/IETCOM/E88-B.8.3189}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkJP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GarrettWDN05, author = {David Garrett and Graeme K. Woodward and Linda M. Davis and Chris Nicol}, title = {A 28.8 Mb/s 4 {\texttimes} 4 {MIMO} 3G {CDMA} receiver for frequency selective channels}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {320--330}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837931}, doi = {10.1109/JSSC.2004.837931}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GarrettWDN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimYBJPCK05, author = {Jinwook Kim and Jeongsik Yang and Sangjin Byun and Hyunduk Jun and Jeongkyu Park and Cormac S. G. Conroy and Beomsup Kim}, title = {A four-channel 3.125-Gb/s/ch {CMOS} serial-link transceiver with a mixed-mode adaptive equalizer}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {2}, pages = {462--471}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.841037}, doi = {10.1109/JSSC.2004.841037}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimYBJPCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MegaDMMTLMTOZWT05, author = {Michael S. Mega and Ivo D. Dinov and John C. Mazziotta and Mario Manese and Paul M. Thompson and Chris Lindshield and Jacob Moussai and Nah Tran and Kirsten Olsen and Chris I. Zoumalan and Roger P. Woods and Arthur W. Toga}, title = {Automated brain tissue assessment in the elderly and demented population: Construction and validation of a sub-volume probabilistic brain atlas}, journal = {NeuroImage}, volume = {26}, number = {4}, pages = {1009--1018}, year = {2005}, url = {https://doi.org/10.1016/j.neuroimage.2005.03.031}, doi = {10.1016/J.NEUROIMAGE.2005.03.031}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/MegaDMMTLMTOZWT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/ElangovanW05, author = {Subashini Elangovan and Peng{-}Yung Woo}, title = {Adaptive fuzzy sliding control for a three-link passive robotic manipulator}, journal = {Robotica}, volume = {23}, number = {5}, pages = {635--644}, year = {2005}, url = {https://doi.org/10.1017/S0263574704001079}, doi = {10.1017/S0263574704001079}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/ElangovanW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/SuhC05, author = {Hyo{-}Joong Suh and Sung Woo Chung}, title = {{DRACO:} optimized {CC-NUMA} system with novel dual-link interconnections to reduce the memory latency}, journal = {{SIGARCH} Comput. Archit. News}, volume = {33}, number = {3}, pages = {10--16}, year = {2005}, url = {https://doi.org/10.1145/1101868.1101872}, doi = {10.1145/1101868.1101872}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/SuhC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/WenGY05, author = {Yuan Wen and Woon S. Gan and Jun Yang}, title = {Nonlinear least-square solution to flat-top pattern synthesis using arbitrary linear array}, journal = {Signal Process.}, volume = {85}, number = {9}, pages = {1869--1874}, year = {2005}, url = {https://doi.org/10.1016/j.sigpro.2005.04.008}, doi = {10.1016/J.SIGPRO.2005.04.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/WenGY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/KimLS05, author = {Nam Soo Kim and Woohyung Lim and Richard M. Stern}, title = {Feature compensation based on switching linear dynamic model}, journal = {{IEEE} Signal Process. Lett.}, volume = {12}, number = {6}, pages = {473--476}, year = {2005}, url = {https://doi.org/10.1109/LSP.2005.847862}, doi = {10.1109/LSP.2005.847862}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/KimLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SchurrWO05, author = {J{\"{u}}rgen Schurr and Barry M. Wood and Fr{\'{e}}d{\'{e}}ric Overney}, title = {Linear frequency dependence in {AC} resistance measurement}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {54}, number = {2}, pages = {512--515}, year = {2005}, url = {https://doi.org/10.1109/TIM.2005.843583}, doi = {10.1109/TIM.2005.843583}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SchurrWO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/AhnW05, author = {Seong{-}Jin Ahn and Jin{-}Wook Chung}, title = {A Study upon {SNMP} {MIB} {II} Algorithm: For the Calculation of the Line Utilization}, journal = {J. Supercomput.}, volume = {33}, number = {1-2}, pages = {79--91}, year = {2005}, url = {https://doi.org/10.1007/s11227-005-0222-2}, doi = {10.1007/S11227-005-0222-2}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/AhnW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/SchmittBV05, author = {Emmanuel Schmitt and Vincent Bombardier and Rapha{\"{e}}l Vogrig}, editor = {Jacques Blanc{-}Talon and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Fuzzy Linguistic Rules Classifier for Wooden Board Color Sorting}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 7th International Conference, {ACIVS} 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3708}, pages = {154--161}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11558484\_20}, doi = {10.1007/11558484\_20}, timestamp = {Fri, 27 Dec 2019 21:26:39 +0100}, biburl = {https://dblp.org/rec/conf/acivs/SchmittBV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/SongHH05, author = {Seong{-}Ho Song and Jin Woo Hong and In{-}Joong Ha}, title = {L\({}_{\mbox{2}}\) stability and performance analysis of missile systems with linear autopilots and {PN} guidance laws}, booktitle = {American Control Conference, {ACC} 2005, Portland, OR, USA, 8-10 June, 2005}, pages = {358--359vol.1}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ACC.2005.1469959}, doi = {10.1109/ACC.2005.1469959}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/SongHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/DoutreMW05, author = {Sylvie Doutre and Peter McBurney and Michael J. Wooldridge}, editor = {Frank Dignum and Virginia Dignum and Sven Koenig and Sarit Kraus and Munindar P. Singh and Michael J. Wooldridge}, title = {Law-governed Linda as a semantics for agent dialogue protocols}, booktitle = {4th International Joint Conference on Autonomous Agents and Multiagent Systems {(AAMAS} 2005), July 25-29, 2005, Utrecht, The Netherlands}, pages = {1257--1258}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1082473.1082721}, doi = {10.1145/1082473.1082721}, timestamp = {Fri, 26 Apr 2019 14:26:42 +0200}, biburl = {https://dblp.org/rec/conf/atal/DoutreMW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avbpa/MoonLL05, author = {Song{-}Hyang Moon and Sang{-}Woong Lee and Seong{-}Whan Lee}, editor = {Takeo Kanade and Anil K. Jain and Nalini K. Ratha}, title = {Illumination Invariant Face Recognition Using Linear Combination of Face Exemplars}, booktitle = {Audio- and Video-Based Biometric Person Authentication, 5th International Conference, {AVBPA} 2005, Hilton Rye Town, NY, USA, July 20-22, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3546}, pages = {112--121}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11527923\_12}, doi = {10.1007/11527923\_12}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/avbpa/MoonLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KimYS05, author = {Jung{-}Su Kim and Tae{-}Woong Yoon and Hyungbo Shim}, title = {Switching Adaptive Output Feedback {MPC} for Input-constrained Neutrally Stable Linear Plants}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {777--782}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1582251}, doi = {10.1109/CDC.2005.1582251}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/KimYS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WoodCH05, author = {Robin Wood and Benjamin S. Cazzolato and Dunant Halim}, title = {A Global Non-linear Control Design for a {PVTOL} Vehicle with Aerodynamics}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {7478--7483}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1583368}, doi = {10.1109/CDC.2005.1583368}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/WoodCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HancockCGW05, author = {Jeffrey T. Hancock and Lauren Curry and Saurabh Goorha and Michael Woodworth}, title = {Automated Linguistic Analysis of Deceptive and Truthful Synchronous Computer-Mediated Communication}, booktitle = {38th Hawaii International Conference on System Sciences {(HICSS-38} 2005), {CD-ROM} / Abstracts Proceedings, 3-6 January 2005, Big Island, HI, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HICSS.2005.111}, doi = {10.1109/HICSS.2005.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/HancockCGW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/WoodsDKBK05, author = {Sarah Woods and Kerstin Dautenhahn and Christina Kaouri and Rene te Boekhorst and Kheng Lee Koay}, title = {Is this robot like me? Links between human and robot personality traits}, booktitle = {5th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2005, Tsukuba, Japan, December 5-7, 2005}, pages = {375--380}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICHR.2005.1573596}, doi = {10.1109/ICHR.2005.1573596}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/WoodsDKBK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/JinSCP05, author = {Hyun{-}Wook Jin and Sayantan Sur and Lei Chai and Dhabaleswar K. Panda}, title = {LiMIC: Support for High-Performance {MPI} Intra-node Communication on Linux Cluster}, booktitle = {34th International Conference on Parallel Processing {(ICPP} 2005), 14-17 June 2005, Oslo, Norway}, pages = {184--191}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPP.2005.48}, doi = {10.1109/ICPP.2005.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/JinSCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LimKK05, author = {Woohyung Lim and Bong Kyoung Kim and Nam Soo Kim}, title = {Feature compensation based on switching linear dynamic model and soft decision}, booktitle = {9th European Conference on Speech Communication and Technology, INTERSPEECH-Eurospeech 2005, Lisbon, Portugal, September 4-8, 2005}, pages = {925--928}, publisher = {{ISCA}}, year = {2005}, url = {https://doi.org/10.21437/Interspeech.2005-220}, doi = {10.21437/INTERSPEECH.2005-220}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LimKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungHL05, author = {Woo Cheol Chung and Dong Sam Ha and Hyung{-}Jin Lee}, title = {Dual use of power lines for data communications in a system-on-chip environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {3355--3358}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465347}, doi = {10.1109/ISCAS.2005.1465347}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimY05, author = {Woong{-}Sik Kim and Weon{-}Hee Yoo}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {A Method for Acquiring Fingerprint by Linear Sensor}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3684}, pages = {410--416}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11554028\_57}, doi = {10.1007/11554028\_57}, timestamp = {Sun, 02 Jun 2019 21:22:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/RheeLCCLC05, author = {Seung Hyong Rhee and Wangjong Lee and WoongChul Choi and Kwangsue Chung and Jang{-}Yeon Lee and Jin{-}Woong Cho}, editor = {Xiaohua Jia and Jie Wu and Yanxiang He}, title = {Full-Duplex Transmission on the Unidirectional Links of High-Rate Wireless PANs}, booktitle = {Mobile Ad-hoc and Sensor Networks, First International Conference, {MSN} 2005, Wuhan, China, December 13-15, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3794}, pages = {11--20}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11599463\_2}, doi = {10.1007/11599463\_2}, timestamp = {Wed, 11 Mar 2020 08:37:17 +0100}, biburl = {https://dblp.org/rec/conf/msn/RheeLCCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/WooHJL05, author = {Dong{-}Min Woo and Seung Soo Han and Young{-}Kee Jung and Kyu{-}Won Lee}, editor = {Yo{-}Sung Ho and Hyoung Joong Kim}, title = {Generation of 3D Building Model Using 3D Line Detection Scheme Based on Line Fitting of Elevation Data}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3767}, pages = {559--569}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11581772\_49}, doi = {10.1007/11581772\_49}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/pcm/WooHJL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/ParkKLP04, author = {Chan{-}Kyoo Park and Woo{-}Je Kim and Sangwook Lee and Soondal Park}, title = {Positive sensitivity Analysis in Linear Programming}, journal = {Asia Pac. J. Oper. Res.}, volume = {21}, number = {1}, pages = {53--68}, year = {2004}, url = {https://doi.org/10.1142/S0217595904000059}, doi = {10.1142/S0217595904000059}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/ParkKLP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KwonLH04, author = {Wook Hyun Kwon and Y. S. Lee and Soo Hee Han}, title = {General receding horizon control for linear time-delay systems}, journal = {Autom.}, volume = {40}, number = {9}, pages = {1603--1611}, year = {2004}, url = {https://doi.org/10.1016/j.automatica.2004.04.003}, doi = {10.1016/J.AUTOMATICA.2004.04.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KwonLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/ChoiS04, author = {Sung Woo Choi and Hans{-}Peter Seidel}, title = {Linear one-sided stability of {MAT} for weakly injective 3D domain}, journal = {Comput. Aided Des.}, volume = {36}, number = {2}, pages = {95--109}, year = {2004}, url = {https://doi.org/10.1016/S0010-4485(03)00055-1}, doi = {10.1016/S0010-4485(03)00055-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cad/ChoiS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LinYW04, author = {Woo{-}Tsong Lin and Bor{-}Yu Yang and Shih{-}Ching Wang}, title = {The Current State and Development of e-Business for the Machinery Industry in Taiwan}, journal = {Int. J. Electron. Bus. Manag.}, volume = {2}, number = {3-4}, pages = {153--162}, year = {2004}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V2\_N3\_N4/01.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LinYW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeungYLJTWWH04, author = {Gabriel M. Leung and Raymond Y. T. Yeung and Timothy Y. Y. Lai and Janice M. Johnston and Keith Y. K. Tin and Irene O. L. Wong and Pauline P. S. Woo and Lai Ming Ho}, title = {Physicians' perceptions towards the impact of and willingness to pay for clinical computerization in Hong Kong}, journal = {Int. J. Medical Informatics}, volume = {73}, number = {5}, pages = {403--414}, year = {2004}, url = {https://doi.org/10.1016/j.ijmedinf.2004.03.003}, doi = {10.1016/J.IJMEDINF.2004.03.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LeungYLJTWWH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/CorzanaMPPTWE04, author = {Francisco Corzana and Mohammed S. Motawia and Catherine Herv{\'{e}} du Penhoat and Serge P{\'{e}}rez and Sarah M. Tschampel and Robert J. Woods and S{\o}ren Balling Engelsen}, title = {A hydration study of (1-{\textgreater}4) and (1-{\textgreater}6) linked alpha-glucans by comparative 10 ns molecular dynamics simulations and 500-MHz {NMR}}, journal = {J. Comput. Chem.}, volume = {25}, number = {4}, pages = {573--586}, year = {2004}, url = {https://doi.org/10.1002/jcc.10405}, doi = {10.1002/JCC.10405}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcc/CorzanaMPPTWE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BarkinLSW04, author = {David B. Barkin and Andrew C. Y. Lin and David K. Su and Bruce A. Wooley}, title = {A {CMOS} oversampling bandpass cascaded {D/A} converter with digital {FIR} and current-mode semi-digital filtering}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {4}, pages = {585--593}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.825245}, doi = {10.1109/JSSC.2004.825245}, timestamp = {Fri, 26 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BarkinLSW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WoolrichBBJS04, author = {Mark W. Woolrich and Timothy Edward John Behrens and Christian F. Beckmann and Mark Jenkinson and Stephen M. Smith}, title = {Multilevel linear modelling for {FMRI} group analysis using Bayesian inference}, journal = {NeuroImage}, volume = {21}, number = {4}, pages = {1732--1747}, year = {2004}, url = {https://doi.org/10.1016/j.neuroimage.2003.12.023}, doi = {10.1016/J.NEUROIMAGE.2003.12.023}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WoolrichBBJS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WoolrichBS04, author = {Mark W. Woolrich and Timothy Edward John Behrens and Stephen M. Smith}, title = {Constrained linear basis sets for {HRF} modelling using Variational Bayes}, journal = {NeuroImage}, volume = {21}, number = {4}, pages = {1748--1761}, year = {2004}, url = {https://doi.org/10.1016/j.neuroimage.2003.12.024}, doi = {10.1016/J.NEUROIMAGE.2003.12.024}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WoolrichBS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/ShinLP04, author = {Il{-}hong Shin and Yung Lyul Lee and HyunWook Park}, title = {Rate control using linear rate-{\(\rho\)} model for {H.264}}, journal = {Signal Process. Image Commun.}, volume = {19}, number = {4}, pages = {341--352}, year = {2004}, url = {https://doi.org/10.1016/j.image.2003.12.002}, doi = {10.1016/J.IMAGE.2003.12.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/ShinLP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/KimK04, author = {Woosung Kim and Sanjeev Khudanpur}, title = {Lexical triggers and latent semantic analysis for cross-lingual language model adaptation}, journal = {{ACM} Trans. Asian Lang. Inf. Process.}, volume = {3}, number = {2}, pages = {94--112}, year = {2004}, url = {https://doi.org/10.1145/1034780.1034782}, doi = {10.1145/1034780.1034782}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/KimK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ElangovanW04, author = {Subashini Elangovan and Peng{-}Yung Woo}, title = {Adaptive fuzzy sliding control for a three-link passive robotic manipulator}, booktitle = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, pages = {5274--5279}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.23919/ACC.2004.1384690}, doi = {10.23919/ACC.2004.1384690}, timestamp = {Thu, 24 Nov 2022 09:21:27 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ElangovanW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LimS04, author = {Sun{-}Wook Lim and Rahmat A. Shoureshi}, title = {Transducer design and neural signature analysis for diagnosis of energized transmission lines}, booktitle = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, pages = {3735--3740}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.23919/ACC.2004.1384493}, doi = {10.23919/ACC.2004.1384493}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/LimS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/RanjanIWLLC04, author = {Sohan Ranjan and Luis Ib{\'{a}}{\~{n}}ez and Bradford J. Wood and Elliot Levy and David Lindisch and Kevin Cleary}, editor = {Heinz U. Lemke and Kiyonari Inamura and Kunio Doi and Michael W. Vannier and Allan G. Farman and Johan H. C. Reiber}, title = {Segmentation of liver tumors using the Insight Segmentation and Registration Toolkit}, booktitle = {{CARS} 2004. Computer Assisted Radiology and Surgery. Proceedings of the 18th International Congress and Exhibition, Chicago, USA, June 23-26, 2004}, series = {International Congress Series}, volume = {1268}, pages = {1316}, publisher = {Elsevier}, year = {2004}, timestamp = {Mon, 10 Jan 2005 11:17:57 +0100}, biburl = {https://dblp.org/rec/conf/cars/RanjanIWLLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/WoodLRGC04, author = {Bradford J. Wood and David Lindisch and Sohan Ranjan and Neil D. Glossop and Kevin Cleary}, editor = {Heinz U. Lemke and Kiyonari Inamura and Kunio Doi and Michael W. Vannier and Allan G. Farman and Johan H. C. Reiber}, title = {Electromagnetically tracked guidewires for interventional procedures}, booktitle = {{CARS} 2004. Computer Assisted Radiology and Surgery. Proceedings of the 18th International Congress and Exhibition, Chicago, USA, June 23-26, 2004}, series = {International Congress Series}, volume = {1268}, pages = {1309}, publisher = {Elsevier}, year = {2004}, timestamp = {Mon, 10 Jan 2005 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cars/WoodLRGC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecweb/LeeKK04, author = {Wookey Lee and Seung Kim and Suk{-}Ho Kang}, editor = {Kurt Bauknecht and Martin Bichler and Birgit Pr{\"{o}}ll}, title = {Structuring Web Sites Using Linear Programming}, booktitle = {E-Commerce and Web Technologies, 5th International Conference, EC-Web 2004, Zaragoza, Spain, August 31-September 3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3182}, pages = {328--337}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30077-9\_33}, doi = {10.1007/978-3-540-30077-9\_33}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ecweb/LeeKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/ParkMLKS04, author = {Chang{-}Woo Park and Chan{-}Woo Moon and Jongbae Lee and Young{-}Ouk Kim and Ha{-}Gyeong Sung}, title = {Robust stable feedback linearization of fuzzy modeled nonlinear systems via LMI's}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2004, Budapest, Hungary, July 25-29, 2004}, pages = {1257--1262}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/FUZZY.2004.1375345}, doi = {10.1109/FUZZY.2004.1375345}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/ParkMLKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimK04, author = {Woosung Kim and Sanjeev Khudanpur}, title = {Cross-lingual latent semantic analysis for language modeling}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {257--260}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1325971}, doi = {10.1109/ICASSP.2004.1325971}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimAKKC04, author = {Hyuncheol Kim and Seong{-}Jin Ahn and Daeho Kim and Sunghae Kim and Jin{-}Wook Chung}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {A New Recovery Scheme with Reverse Shared Risk Link Group in GMPLS-Based {WDM} Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {1078--1087}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_121}, doi = {10.1007/978-3-540-24707-4\_121}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimAKKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/AbdelzaherBCCEGGGHKLSSSW04, author = {Tarek F. Abdelzaher and Brian M. Blum and Qing Cao and Yong Chen and David Evans and Jemin George and Selvin George and Lin Gu and Tian He and Sudha Krishnamurthy and Liqian Luo and Sang Hyuk Son and Jack A. Stankovic and Radu Stoleru and Anthony D. Wood}, title = {EnviroTrack: Towards an Environmental Computing Paradigm for Distributed Sensor Networks}, booktitle = {24th International Conference on Distributed Computing Systems {(ICDCS} 2004), 24-26 March 2004, Hachioji, Tokyo, Japan}, pages = {582--589}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICDCS.2004.1281625}, doi = {10.1109/ICDCS.2004.1281625}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/AbdelzaherBCCEGGGHKLSSSW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icete/VuongCYW04, author = {Son T. Vuong and Xiaojuan Cai and Ling Yun and Wing{-}Keong Woo}, editor = {Jo{\~{a}}o Ascenso and Carlos Belo and Luminita Vasiu and M{\'{o}}nica Saramago and Helder Coelhas}, title = {On Vulnerability Testing of VoIP Software - The {MEGACO/H.248} System as an Example}, booktitle = {{ICETE} 2004, 1st International Conference on E-Business and Telecommunication Networks, Set{\'{u}}bal, Portugal, August 24-28, 2004, Proceedings}, pages = {216--222}, publisher = {{INSTICC} Press}, year = {2004}, timestamp = {Mon, 25 Oct 2004 15:24:15 +0200}, biburl = {https://dblp.org/rec/conf/icete/VuongCYW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medea/SuhC04, author = {Hyo{-}Joong Suh and Sung Woo Chung}, editor = {Sandro Bartolini and Pierfrancesco Foglia and Roberto Giorgi and Cosimo Antonio Prete}, title = {{DRACO:} optimized {CC-NUMA} system with novel dual-link interconnections to reduce the memory latency}, booktitle = {Proceedings of the 2004 workshop on MEmory performance - DEaling with Applications , systems and architecture, {MEDEA} '04, Antibes Juan-les-Pins, France, September 29 - October 3, 2004}, pages = {10--16}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1152922.1101872}, doi = {10.1145/1152922.1101872}, timestamp = {Wed, 19 Jan 2022 15:46:17 +0100}, biburl = {https://dblp.org/rec/conf/medea/SuhC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pam/BarGW04, author = {Sagy Bar and Mira Gonen and Avishai Wool}, editor = {Chadi Barakat and Ian Pratt}, title = {An Incremental Super-Linear Preferential Internet Topology Model: Extended Abstract}, booktitle = {Passive and Active Network Measurement, 5th International Workshop, {PAM} 2004, Antibes Juan-les-Pins, France, April 19-20, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3015}, pages = {53--62}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24668-8\_6}, doi = {10.1007/978-3-540-24668-8\_6}, timestamp = {Fri, 09 Apr 2021 18:47:18 +0200}, biburl = {https://dblp.org/rec/conf/pam/BarGW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ShinKJ04, author = {Soong Hyun Shin and Jong Wook Kwak and Chu Shik Jhon}, editor = {Hamid R. Arabnia}, title = {Ownership-Lacking Line First Policy of Remote Access Cache in {NUMA} System}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 3}, pages = {1319--1323}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ShinKJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psd/AbowdW04, author = {John M. Abowd and Simon D. Woodcock}, editor = {Josep Domingo{-}Ferrer and Vicen{\c{c}} Torra}, title = {Multiply-Imputing Confidential Characteristics and File Links in Longitudinal Linked Data}, booktitle = {Privacy in Statistical Databases: {CASC} Project International Workshop, {PSD} 2004, Barcelona, Spain, June 9-11, 2004. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3050}, pages = {290--297}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25955-8\_23}, doi = {10.1007/978-3-540-25955-8\_23}, timestamp = {Tue, 07 May 2024 20:11:58 +0200}, biburl = {https://dblp.org/rec/conf/psd/AbowdW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/AnconaFZ05, author = {Davide Ancona and Sonia Fagorzi and Elena Zucca}, editor = {Viviana Bono and Michele Bugliesi and Sophia Drossopoulou}, title = {A Calculus for Dynamic Reconfiguration with Low Priority Linking}, booktitle = {Proceedings of the Second Workshop on Object Oriented Developments, {WOOD} 2004, London, UK, August 30, 2004}, series = {Electronic Notes in Theoretical Computer Science}, volume = {138}, number = {2}, pages = {3--35}, publisher = {Elsevier}, year = {2004}, url = {https://doi.org/10.1016/j.entcs.2005.09.009}, doi = {10.1016/J.ENTCS.2005.09.009}, timestamp = {Tue, 13 Dec 2022 09:16:27 +0100}, biburl = {https://dblp.org/rec/journals/entcs/AnconaFZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/KoYC03, author = {Jeong Hoon Ko and Won Soo Yun and Dong{-}Woo Cho}, title = {Off-line feed rate scheduling using virtual {CNC} based on an evaluation of cutting performance}, journal = {Comput. Aided Des.}, volume = {35}, number = {4}, pages = {383--393}, year = {2003}, url = {https://doi.org/10.1016/S0010-4485(02)00059-3}, doi = {10.1016/S0010-4485(02)00059-3}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/KoYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/coling/HaynesE03, author = {Woody Haynes and Martha Evens}, title = {Lexicography and Natural Language Processing: {A} Festscrift in Honour of B. T. S. Atkins edited by Marie-H{\'{e}}l{\`{e}}ne Corr{\'{e}}ard}, journal = {Comput. Linguistics}, volume = {29}, number = {2}, pages = {317--320}, year = {2003}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/coling/HaynesE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimSL03, author = {Jongho Kim and Woojong Suh and Heeseok Lee}, title = {Hypermedia modeling for linking knowledge to data warehousing system}, journal = {Expert Syst. Appl.}, volume = {24}, number = {1}, pages = {103--114}, year = {2003}, url = {https://doi.org/10.1016/S0957-4174(02)00088-X}, doi = {10.1016/S0957-4174(02)00088-X}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/JangK03, author = {Yu Jin Jang and Sang Woo Kim}, title = {Simple Extension of a Numerical Algorithm for Feedback Linearization to Multi-Input Nonlinear Systems}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {5}, pages = {1302--1308}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_5\_1302}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/JangK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LeeLW03, author = {S. H. Lee and Lin{-}Lin Chen and J. W. Lin and Tony C. Woo}, title = {Mass customization: 2-D projection of high dimensional data}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {33}, number = {1}, pages = {129--138}, year = {2003}, url = {https://doi.org/10.1109/TSMCA.2003.808250}, doi = {10.1109/TSMCA.2003.808250}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LeeLW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/KimCKKCY03, author = {Woon Gi Kim and Seung Hyun Choi and Hans Kim and Sung Bong Kang and Chris Chang and Hee Yong Youn}, editor = {Seong{-}Moo Yoo and Hee Yong Youn}, title = {Implementation of {SOHO} Router with Embedded Linux}, booktitle = {Proceedings of the {ISCA} 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, {USA}}, pages = {436--441}, publisher = {{ISCA}}, year = {2003}, timestamp = {Mon, 09 Aug 2021 16:35:48 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/KimCKKCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LimS03, author = {Sun{-}Wook Lim and Rahmat A. Shoureshi}, title = {Neural-based monitoring system for health assessment of electric transmission lines}, booktitle = {American Control Conference, {ACC} 2003, Denver, CO, USA, June 4-6 2003}, pages = {2270--2275}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ACC.2003.1243412}, doi = {10.1109/ACC.2003.1243412}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/LimS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csb/LiuLWKA03, author = {Shuo Liu and Steve Lin and Mark Woon and Teri E. Klein and Russ B. Altman}, title = {A Personalized and Automated dbSNP Surveillance System}, booktitle = {2nd {IEEE} Computer Society Bioinformatics Conference, {CSB} 2003, Stanford, CA, USA, August 11-14, 2003}, pages = {132--136}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CSB.2003.1227312}, doi = {10.1109/CSB.2003.1227312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csb/LiuLWKA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaESA/LeeS03, author = {Woochul Lee and Dongha Shin}, editor = {Hamid R. Arabnia and Laurence Tianruo Yang}, title = {Webox for Embedded Linux Systems}, booktitle = {Proceedings of the International Conference on Embedded Systems and Applications, {ESA} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {132--138}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Fri, 12 Jun 2015 19:15:11 +0200}, biburl = {https://dblp.org/rec/conf/csreaESA/LeeS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimK03, author = {Woosung Kim and Sanjeev Khudanpur}, title = {Cross-Lingual Lexical Triggers in Statistical Language Modeling}, booktitle = {Proceedings of the Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2003, Sapporo, Japan, July 11-12, 2003}, year = {2003}, url = {https://aclanthology.org/W03-1003/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimK03a, author = {Woosung Kim and Sanjeev Khudanpur}, title = {Language model adaptation using cross-lingual information}, booktitle = {8th European Conference on Speech Communication and Technology, {EUROSPEECH} 2003 - {INTERSPEECH} 2003, Geneva, Switzerland, September 1-4, 2003}, pages = {3129--3132}, publisher = {{ISCA}}, year = {2003}, url = {https://doi.org/10.21437/Eurospeech.2003-782}, doi = {10.21437/EUROSPEECH.2003-782}, timestamp = {Thu, 22 Jun 2023 16:42:17 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimK03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeckHLCL03, author = {Sungho Beck and Myung{-}woon Hwang and Sang{-}Hoon Lee and Gyu{-}Hyeong Cho and Jong{-}Ryul Lee}, title = {A precise temperature-insensitive and linear-in-dB variable gain amplifier}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {285--288}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205556}, doi = {10.1109/ISCAS.2003.1205556}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BeckHLCL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/ClearyTSWMLBLRT03, author = {Kevin Robert Cleary and Daigo Tanaka and David Stewart and Bradford J. Wood and Mihai L. Mocanu and Elliot Levy and Filip Banovac and David Lindisch and Stephen Roderick and John Tang and Ho Young Chung}, editor = {Robert L. Galloway}, title = {Volumeteric treatment planning and image guidance for radiofrequency ablation of hepatic tumors}, booktitle = {Medical Imaging 2003: Visualization, Image-Guided Procedures, and Display, San Diego, California, United States, 15-20 February 2003}, series = {{SPIE} Proceedings}, volume = {5029}, publisher = {{SPIE}}, year = {2003}, url = {https://doi.org/10.1117/12.479858}, doi = {10.1117/12.479858}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/ClearyTSWMLBLRT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/BerczukHHKRSW03, author = {Steve Berczuk and Neil Harrison and Kevlin Henney and Joshua Kerievsky and Linda Rising and Ken Schwaber and Bobby Woolf}, editor = {Ron Crocker and Guy L. Steele Jr.}, title = {What's so eXtreme about doing things right?}, booktitle = {Companion of the 18th Annual {ACM} {SIGPLAN} Conference on Object-Oriented Programming, Systems, Languages, and Applications, {OOPSLA} 2003, October 26-30, 2003, Anaheim, CA, {USA}}, pages = {282--283}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/949344.949413}, doi = {10.1145/949344.949413}, timestamp = {Fri, 25 Jun 2021 17:20:20 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/BerczukHHKRSW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/LeeM03, author = {Yong Woo Lee and Hyun Soo Min}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Design and Analysis of the Seoul {GRID} Center Linux SuperCluster System for Cluster Computing {GRID}}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 1}, pages = {100--108}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Fri, 05 Dec 2003 09:24:11 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/LeeM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmiv/ChoiS02, author = {Sung Woo Choi and Hans{-}Peter Seidel}, title = {Linear One-Sided Stability of {MAT} for Weakly Injective Domain}, journal = {J. Math. Imaging Vis.}, volume = {17}, number = {3}, pages = {237--247}, year = {2002}, url = {https://doi.org/10.1023/A:1020763509700}, doi = {10.1023/A:1020763509700}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmiv/ChoiS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/CaiKW02, author = {Zhiqiang Cai and Seokchan Kim and Gyungsoo Woo}, title = {A finite element method using singular functions for the Poisson equation: crack singularities}, journal = {Numer. Linear Algebra Appl.}, volume = {9}, number = {6-7}, pages = {445--455}, year = {2002}, url = {https://doi.org/10.1002/nla.303}, doi = {10.1002/NLA.303}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nla/CaiKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimKLMK02, author = {Woo{-}Seop Kim and Lok{-}Won Kim and Chang{-}Eun Lee and Kyeong{-}Deok Moon and Suki Kim}, title = {A control network architecture based on {EIA-709.1} protocol for power line data communications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {48}, number = {3}, pages = {650--655}, year = {2002}, url = {https://doi.org/10.1109/TCE.2002.1037056}, doi = {10.1109/TCE.2002.1037056}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimKLMK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/HurL02, author = {Sung{-}Woo Hur and John Lillis}, title = {Relaxation and Clustering in a Local Search Framework: Application to Linear Placement}, journal = {{VLSI} Design}, volume = {14}, number = {2}, pages = {143--154}, year = {2002}, url = {https://doi.org/10.1080/10655140290010060}, doi = {10.1080/10655140290010060}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/HurL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/JangK02, author = {Yu Jin Jang and Sang Woo Kim}, title = {Approximated feedback linearization of nonlinear systems}, booktitle = {American Control Conference, {ACC} 2002, Anchorage, Alaska, USA, May 8-10 2002}, pages = {582--587}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ACC.2002.1024868}, doi = {10.1109/ACC.2002.1024868}, timestamp = {Wed, 27 Jul 2022 14:28:37 +0200}, biburl = {https://dblp.org/rec/conf/amcc/JangK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edcis/WooY02, author = {Sung{-}Ho Woo and Sung{-}Bong Yang}, editor = {Yanbo Han and Stefan Tai and Dietmar Wikarski}, title = {A Linear-Order Based Access Method for Efficient Network Computations}, booktitle = {Engineering and Deployment of Cooperative Information Systems, First International Conference, {EDCIS} 2002, Beijing, China, September 17-20, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2480}, pages = {289--302}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45785-2\_23}, doi = {10.1007/3-540-45785-2\_23}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/edcis/WooY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gg/GerberLRSW02, author = {Anna Gerber and Michael Lawley and Kerry Raymond and Jim Steel and Andrew Wood}, editor = {Andrea Corradini and Hartmut Ehrig and Hans{-}J{\"{o}}rg Kreowski and Grzegorz Rozenberg}, title = {Transformation: The Missing Link of {MDA}}, booktitle = {Graph Transformation, First International Conference, {ICGT} 2002, Barcelona, Spain, October 7-12, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2505}, pages = {90--105}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45832-8\_9}, doi = {10.1007/3-540-45832-8\_9}, timestamp = {Sat, 04 Apr 2020 17:15:23 +0200}, biburl = {https://dblp.org/rec/conf/gg/GerberLRSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/KimLP02, author = {Hee{-}Woong Kim and Gil{-}Hyung Lee and Shan Ling Pan}, editor = {Francesc Miralles and Josep Valor}, title = {Exploring the Critical Success Factors for Customer Relationship Management and Electronic Customer Relationship Management Systems}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2002, Barcelona, Spain, December 15-18, 2002}, pages = {93}, publisher = {Association for Information Systems}, year = {2002}, url = {http://aisel.aisnet.org/icis2002/93}, timestamp = {Wed, 28 Dec 2011 16:37:53 +0100}, biburl = {https://dblp.org/rec/conf/icis/KimLP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interworking/KimSKK02, author = {Chul{-}Woo Kim and Seung{-}Joon Seok and Jung{-}Dae Kim and Chul{-}Hee Kang}, editor = {Chris McDonald}, title = {A Simplified QoS Scheme Using Virtual Link in {MPLS} Based Backbone Network}, booktitle = {Converged Networking: Data and Real-time Communications over IP, {IFIP} {TC6} / {WG6.2} Sixth International Symposium on Communications Interworking (Interworking 2002), October 13-16, 2002, Perth, Western Australia}, series = {{IFIP} Conference Proceedings}, volume = {247}, pages = {173--184}, publisher = {Kluwer}, year = {2002}, timestamp = {Wed, 19 Mar 2003 08:14:56 +0100}, biburl = {https://dblp.org/rec/conf/interworking/KimSKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/KimPKKR02, author = {Hyun{-}Jun Kim and Sang Hyun Park and Jung{-}Guk Kim and Moon{-}hae Kim and Kee{-}Wook Rim}, title = {TMO-Linux: {A} Linux-Based Real-Time Operating System Supporting Execution of TMOs}, booktitle = {5th International Symposiun on Object Oriented Real-Time Distributed Computing, {ISORC} 2002, Washington, DC, USA, April 29 - May 1, 2002}, pages = {288--296}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISORC.2002.1003731}, doi = {10.1109/ISORC.2002.1003731}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/KimPKKR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/KimLHS02, author = {Dae Woong Kim and Stephen Lin and Ki{-}Sang Hong and Heung{-}Yeung Shum}, title = {Variational Specular Separation Using Color and Polarization}, booktitle = {Proceedings of the {IAPR} Conference on Machine Vision Applications {(IAPR} {MVA} 2002), December 11-13, 2002, Nara-ken New Public Hall, Nara, Japan}, pages = {176--179}, year = {2002}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/2002/papers/2002176.pdf}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mva/KimLHS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/AltinelLKMPLWB02, author = {Mehmet Altinel and Qiong Luo and Sailesh Krishnamurthy and C. Mohan and Hamid Pirahesh and Bruce G. Lindsay and Honguk Woo and Larry Brown}, editor = {Michael J. Franklin and Bongki Moon and Anastassia Ailamaki}, title = {DBCache: database caching for web application servers}, booktitle = {Proceedings of the 2002 {ACM} {SIGMOD} International Conference on Management of Data, Madison, Wisconsin, USA, June 3-6, 2002}, pages = {612}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/564691.564765}, doi = {10.1145/564691.564765}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/AltinelLKMPLWB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/LuoKMPWLN02, author = {Qiong Luo and Sailesh Krishnamurthy and C. Mohan and Hamid Pirahesh and Honguk Woo and Bruce G. Lindsay and Jeffrey F. Naughton}, editor = {Michael J. Franklin and Bongki Moon and Anastassia Ailamaki}, title = {Middle-tier database caching for e-business}, booktitle = {Proceedings of the 2002 {ACM} {SIGMOD} International Conference on Management of Data, Madison, Wisconsin, USA, June 3-6, 2002}, pages = {600--611}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/564691.564763}, doi = {10.1145/564691.564763}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/LuoKMPWLN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sma/ChoiS02, author = {Sung Woo Choi and Hans{-}Peter Seidel}, editor = {Hans{-}Peter Seidel and Vadim Shapiro and Kunwoo Lee and Nick Patrikalakis}, title = {Linear onesided stability of {MAT} for weakly injective 3D domain}, booktitle = {Seventh {ACM} Symposium on Solid Modeling and Applications, Max-Planck-Institut f{\"{u}}r Informatik, Saarbr{\"{u}}cken, Germany, June 17-21, 2002}, pages = {344--355}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566282.566332}, doi = {10.1145/566282.566332}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/sma/ChoiS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/volvis/ParkKSK02, author = {Jinah Park and Sang{-}Youn Kim and Seung{-}Woo Son and Dong{-}Soo Kwon}, title = {Shape retaining chain linked model for real-time volume haptic rendering}, booktitle = {{IEEE/SIGGRAPH} Symposium on Volume Visualization and Graphics, VolVis 2002, Boston, MA, USA, October 28-29, 2002}, pages = {65--72}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/SWG.2002.1226511}, doi = {10.1109/SWG.2002.1226511}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/volvis/ParkKSK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoKKPKC02, author = {Jeong{-}woo Cho and Taesoo Kwon and Changhoi Koo and D. S. Park and Daegyun Kim and Dong{-}Ho Cho}, title = {Multiple quality control: a new framework for QoS control in forward link of 1{\texttimes}EV-DV systems}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {1165--1169}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002797}, doi = {10.1109/VTC.2002.1002797}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChoKKPKC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/ChungCW01, author = {Kuo{-}Liang Chung and Shyh{-}Ming Chang and Tony C. Woo}, title = {A linear-time, constant-space algorithm for computing the spanning line segments in three dimensions}, journal = {Comput. Aided Des.}, volume = {33}, number = {12}, pages = {873--878}, year = {2001}, url = {https://doi.org/10.1016/S0010-4485(00)00111-1}, doi = {10.1016/S0010-4485(00)00111-1}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/ChungCW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/KangPAS01, author = {Hyung Woo Kang and Soon Hyung Pyo and Ken{-}ichi Anjyo and Sung Yong Shin}, title = {Tour Into the Picture using a Vanishing Line and its Extension to Panoramic Images}, journal = {Comput. Graph. Forum}, volume = {20}, number = {3}, pages = {132--141}, year = {2001}, url = {https://doi.org/10.1111/1467-8659.00506}, doi = {10.1111/1467-8659.00506}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/KangPAS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungLSKKC01, author = {Yeon{-}Jae Jung and Seung{-}Wook Lee and Daeyun Shim and Wonchan Kim and Changhyun Kim and Soo{-}In Cho}, title = {A dual-loop delay-locked loop using multiple voltage-controlled delay lines}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {5}, pages = {784--791}, year = {2001}, url = {https://doi.org/10.1109/4.918916}, doi = {10.1109/4.918916}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungLSKKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WeldonNRLODTTLG01, author = {Jeffrey A. Weldon and R. Sekhar Narayanaswami and Jacques Christophe Rudell and Li Lin and Masanori Otsuka and S{\'{e}}bastien Dedieu and Luns Tee and King{-}Chun Tsai and Cheol{-}Woong Lee and Paul R. Gray}, title = {A 1.75-GHz highly integrated narrow-band {CMOS} transmitter with harmonic-rejection mixers}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {12}, pages = {2003--2015}, year = {2001}, url = {https://doi.org/10.1109/4.972151}, doi = {10.1109/4.972151}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WeldonNRLODTTLG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WoolrichRBS01, author = {Mark W. Woolrich and Brian D. Ripley and J. Michael Brady and Stephen M. Smith}, title = {Temporal Autocorrelation in Univariate Linear Modeling of {FMRI} Data}, journal = {NeuroImage}, volume = {14}, number = {6}, pages = {1370--1386}, year = {2001}, url = {https://doi.org/10.1006/nimg.2001.0931}, doi = {10.1006/NIMG.2001.0931}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WoolrichRBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/VassilevskiW01, author = {Panayot S. Vassilevski and Carol S. Woodward}, title = {Special issue on 'Solution Methods for Large-Scale Non-linear Problems'}, journal = {Numer. Linear Algebra Appl.}, volume = {8}, number = {8}, pages = {497}, year = {2001}, url = {https://doi.org/10.1002/nla.261}, doi = {10.1002/NLA.261}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/VassilevskiW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlc/WoodruffOACELSS01, author = {Allison Woodruff and Chris Olston and Alexander Aiken and Michael Chu and Vuk Ercegovac and Mark Lin and Mybrid Spalding and Michael Stonebraker}, title = {DataSplash: {A} Direct Manipulation Environment for Programming Semantic Zoom Visualizations of Tabular Data}, journal = {J. Vis. Lang. Comput.}, volume = {12}, number = {5}, pages = {551--571}, year = {2001}, url = {https://doi.org/10.1006/jvlc.2001.0219}, doi = {10.1006/JVLC.2001.0219}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlc/WoodruffOACELSS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/HanK01, author = {Soo Hee Han and Wook Hyun Kwon}, title = {Linear quadratic regulators for time-delay systems with an extended cost}, booktitle = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, pages = {4006--4011}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ACC.2001.946311}, doi = {10.1109/ACC.2001.946311}, timestamp = {Wed, 05 Jan 2022 10:14:49 +0100}, biburl = {https://dblp.org/rec/conf/amcc/HanK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/LeTCKHMT01, author = {Daniel X. Le and Loc Q. Tran and Joseph Chow and Jongwoo Kim and Susan E. Hauser and Chan W. Moon and George R. Thoma}, title = {Automated Medical Citation Records Creation for Web-Based On-Line Journals}, booktitle = {14th {IEEE} Symposium on Computer-Based Medical Systems {(CBMS} 2001), 26-27 July 2001, Bethesda, MD, {USA}}, pages = {315--320}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/CBMS.2001.941738}, doi = {10.1109/CBMS.2001.941738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/LeTCKHMT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/RogersLGXWO01, author = {Eric Rogers and James Lam and Krzysztof Galkowski and Shengyuan Xu and Jeffrey Wood and David H. Owens}, title = {{LMI} based stability analysis and controller design for a class of 2D discrete linear systems}, booktitle = {40th {IEEE} Conference on Decision and Control, {CDC} 2001, Orlando, FL, USA, 4-7 Dec., 2001}, pages = {4457--4462}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/.2001.980904}, doi = {10.1109/.2001.980904}, timestamp = {Thu, 13 Oct 2022 17:08:41 +0200}, biburl = {https://dblp.org/rec/conf/cdc/RogersLGXWO01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/flairs/KimK01, author = {DaeEun Kim and Sea Woo Kim}, editor = {Ingrid Russell and John F. Kolen}, title = {Decision Tree Rule Reduction Using Linear Classifiers in Multilayer Perceptron}, booktitle = {Proceedings of the Fourteenth International Florida Artificial Intelligence Research Society Conference, May 21-23, 2001, Key West, Florida, {USA}}, pages = {480--484}, publisher = {{AAAI} Press}, year = {2001}, url = {http://www.aaai.org/Library/FLAIRS/2001/flairs01-092.php}, timestamp = {Wed, 26 Oct 2022 08:35:34 +0200}, biburl = {https://dblp.org/rec/conf/flairs/KimK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimJYP01, author = {Ki{-}Tae Kim and Seong{-}Yong Jang and Byung{-}Hoon Yoo and Jin{-}Woo Park}, title = {An On-line Production Scheduler using Neural Network and Simulator based on Manufacturing System States}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {3554--3558}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.933168}, doi = {10.1109/ROBOT.2001.933168}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimJYP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/KangSPL01, author = {Jung{-}Min Kang and Wook Shin and C.{-}G. Park and Dong{-}Ik Lee}, title = {Extended {BLP} Security Model Based on Process Reliability for Secure Linux Kernel}, booktitle = {8th Pacific Rim International Symposium on Dependable Computing {(PRDC} 2001), 17-19 December 2001, Seoul, Korea}, pages = {299--303}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/PRDC.2001.992712}, doi = {10.1109/PRDC.2001.992712}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/KangSPL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/TaylorWSB00, author = {Josie Taylor and Mark Woodman and Tamara Sumner and Canan Tosunoglu Blake}, title = {Peering Through a Glass Darkly: Integrative evaluation of an on-line course}, journal = {J. Educ. Technol. Soc.}, volume = {3}, number = {4}, year = {2000}, url = {http://ifets.ieee.org/periodical/vol\_4\_2000/taylor.html}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/TaylorWSB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamm/HelmboldKAAAAABBCDFGHMHHKKLLLMNPSSSSSTV00, author = {Robert Helmbold and Wook Kim and Robert A. Agnew and Zafar Ahmed and Sa{\"{\i}}d Amghibech and Kenneth F. Andersen and P. J. Anderson and G. Bower and Bruce S. Burdick and Robin J. Chapman and Daniele Donini and Patrick J. Fitzsimmons and Richard A. Groeneveld and V. Hernandez and J. Martin and Ellen Hertz and Barthel Wayne Huff and Gregory Keselman and S. S. Kim and R. A. Leslie and John H. Lindsey II and O. P. Lossers and Reiner Martin and Darryl K. Nester and G. Peng and Volkhard Schindler and L. Scribani and Heinz{-}J{\"{u}}rgen Seiffert and Plamen Simeonov and A. H. Stein and Douglas B. Tyler and Erik I. Verriest}, title = {The Variance of Logarithms: 10690}, journal = {Am. Math. Mon.}, volume = {107}, number = {2}, pages = {182}, year = {2000}, url = {http://www.jstor.org/stable/2589456}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamm/HelmboldKAAAAABBCDFGHMHHKKLLLMNPSSSSSTV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aid/WoodburyDB00, author = {Robert F. Woodbury and Sambit Datta and Andrew L. Burrow}, editor = {John S. Gero}, title = {Erasure in Design Space Exploration}, booktitle = {Artificial Intelligence in Design '00 - Proceedings of the Sixth International Conference on Artificial Intelligence in Design, {AID} 2000, Worcester, MA, USA, June 26-29, 2000}, pages = {521--543}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/978-94-011-4154-3\_26}, doi = {10.1007/978-94-011-4154-3\_26}, timestamp = {Fri, 17 Nov 2017 16:50:37 +0100}, biburl = {https://dblp.org/rec/conf/aid/WoodburyDB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/anlp/WoodsBHKMG00, author = {William A. Woods and Lawrence A. Bookman and Ann Houston and Robert J. Kuhns and Paul Alan Martin and Stephen J. Green}, title = {Linguistic Knowledge can Improve Information Retrieval}, booktitle = {6th Applied Natural Language Processing Conference, {ANLP} 2000, Seattle, Washington, USA, April 29 - May 4, 2000}, pages = {262--267}, publisher = {{ACL}}, year = {2000}, url = {https://aclanthology.org/A00-1036/}, doi = {10.3115/974147.974183}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/anlp/WoodsBHKMG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinL00, author = {Stephen Lin and Sang Wook Lee}, title = {An Appearance Representation for Multiple Reflection Components}, booktitle = {2000 Conference on Computer Vision and Pattern Recognition {(CVPR} 2000), 13-15 June 2000, Hilton Head, SC, {USA}}, pages = {1105--1110}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/CVPR.2000.855806}, doi = {10.1109/CVPR.2000.855806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LinL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/PachowiczB00, author = {Peter Pachowicz and Sung Wook Baik}, editor = {Werner Horn}, title = {On-Line Model Modification for Adaptive Object Recognition}, booktitle = {{ECAI} 2000, Proceedings of the 14th European Conference on Artificial Intelligence, Berlin, Germany, August 20-25, 2000}, pages = {668--672}, publisher = {{IOS} Press}, year = {2000}, timestamp = {Mon, 30 Jun 2003 10:55:01 +0200}, biburl = {https://dblp.org/rec/conf/ecai/PachowiczB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeePL00, author = {Sang Hwa Lee and Jong{-}Il Park and Choong Woong Lee}, title = {Correspondence and Line Field Estimation Using Map-Based Probabilistic Diffusion Algorithm}, booktitle = {Proceedings of the 2000 International Conference on Image Processing, {ICIP} 2000, Vancouver, BC, Canada, September 10-13, 2000}, pages = {844--847}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICIP.2000.901091}, doi = {10.1109/ICIP.2000.901091}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeePL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WooD00, author = {Sanghyuk Woo and Albert Dipanda}, title = {Matching Lines and Points in an Active Stereo Vision System Using Genetic Algorithms}, booktitle = {Proceedings of the 2000 International Conference on Image Processing, {ICIP} 2000, Vancouver, BC, Canada, September 10-13, 2000}, pages = {332--335}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICIP.2000.899382}, doi = {10.1109/ICIP.2000.899382}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/WooD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiedam/WoodburyBDC99, author = {Robert F. Woodbury and Andrew L. Burrow and Sambit Datta and Teng{-}Wen Chang}, title = {Typed feature structures and design space exploration}, journal = {Artif. Intell. Eng. Des. Anal. Manuf.}, volume = {13}, number = {4}, pages = {287--302}, year = {1999}, url = {http://journals.cambridge.org/action/displayAbstract?aid=38723}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aiedam/WoodburyBDC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocec/LinTS99, author = {Fu{-}ren Lin and Gek Woo Tan and Michael J. Shaw}, title = {Multiagent Enterprise Modeling}, journal = {J. Organ. Comput. Electron. Commer.}, volume = {9}, number = {1}, pages = {7--32}, year = {1999}, url = {https://doi.org/10.1207/s15327744joce0901\_2}, doi = {10.1207/S15327744JOCE0901\_2}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocec/LinTS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LeeKL99, author = {Chang Woo Lee and Jong Won Kim and Sang Uk Lee}, title = {Design and Performance Analysis of Linear Phase Para-UnitaryMBand Filter Banks for Image Coding}, journal = {J. Vis. Commun. Image Represent.}, volume = {10}, number = {2}, pages = {63--77}, year = {1999}, url = {https://doi.org/10.1006/jvci.1999.0417}, doi = {10.1006/JVCI.1999.0417}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/LeeKL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/KwonKP99a, author = {Wook Hyun Kwon and Pyung Soo Kim and PooGyeon Park}, title = {A receding horizon Kalman {FIR} filter for linear continuous-time systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {44}, number = {11}, pages = {2115--2120}, year = {1999}, url = {https://doi.org/10.1109/9.802927}, doi = {10.1109/9.802927}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/KwonKP99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/VestLCCFDLLNRSTWWWC99, author = {Brad Vest and Gwen Liang and Mark Chan and Eric Chun and Mark Fiester and Weiying Ding and Edmond Lau and Guu Lin and Behzad Nouban and Dirk Reese and Mian Smith and Nghia Tran and Stephanie Wong and Michael Woo and Myron Wong and John Costello}, title = {A 4.9 ns, 3.3 volt, 512 macrocell, {CMOS} {PLD} with hot socket protection and fast in system programming}, booktitle = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference, {CICC} 1999, San Diego, CA, USA, May 16-19, 1999}, pages = {187--190}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/CICC.1999.777271}, doi = {10.1109/CICC.1999.777271}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/VestLCCFDLLNRSTWWWC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HurL99, author = {Sung{-}Woo Hur and John Lillis}, editor = {Mary Jane Irwin}, title = {Relaxation and Clustering in a Local Search Framework: Application to Linear Placement}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {360--366}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309958}, doi = {10.1145/309847.309958}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HurL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LinL99, author = {Stephen Lin and Sang Wook Lee}, title = {A Representation of Specular Appearance}, booktitle = {Proceedings of the International Conference on Computer Vision, Kerkyra, Corfu, Greece, September 20-25, 1999}, pages = {849--854}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCV.1999.790310}, doi = {10.1109/ICCV.1999.790310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LinL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LinL99a, author = {Stephen Lin and Sang Wook Lee}, title = {Estimation of Diffuse and Specular Appearance}, booktitle = {Proceedings of the International Conference on Computer Vision, Kerkyra, Corfu, Greece, September 20-25, 1999}, pages = {855--860}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCV.1999.790311}, doi = {10.1109/ICCV.1999.790311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LinL99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/SlamanW98, author = {Theodore A. Slaman and W. Hugh Woodin}, title = {Extending Partial Orders to Dense Linear Orders}, journal = {Ann. Pure Appl. Log.}, volume = {94}, number = {1-3}, pages = {253--261}, year = {1998}, url = {https://doi.org/10.1016/S0168-0072(97)00075-4}, doi = {10.1016/S0168-0072(97)00075-4}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apal/SlamanW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LinTS98, author = {Fu{-}ren Lin and Gek Woo Tan and Michael J. Shaw}, title = {Modeling Supply-Chain Networks by {A} Multi-Agent System}, booktitle = {Thirty-First Annual Hawaii International Conference on System Sciences, Kohala Coast, Hawaii, USA, January 6-9, 1998}, pages = {105--114}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/HICSS.1998.648302}, doi = {10.1109/HICSS.1998.648302}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LinTS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwpc/WoodsOLGQ98, author = {Steven Woods and Liam O'Brien and Tao Lin and Keith B. Gallagher and Alex Quilici}, title = {An Architecture for Interoperable Program Understanding Tools}, booktitle = {6th International Workshop on Program Comprehension {(IWPC} '98), June 24-26, 1998, Ischia, Italy}, pages = {54--63}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/WPC.1998.693285}, doi = {10.1109/WPC.1998.693285}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwpc/WoodsOLGQ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nc/HaLHK98, author = {Seok{-}Woon Ha and Sung{-}Eun Lee and Soo{-}Bok Hwang and Jae{-}Chang Kim}, editor = {Michael Heiss}, title = {Estimation and Extraction of Unstable Frequency Lines of Acoustic Signal Using Neural Network}, booktitle = {Proceedings of the International {ICSC} / {IFAC} Symposium on Neural Computation {(NC} 1998), September 23-15, 1998, Vienna, Austria}, pages = {432--438}, publisher = {{ICSC} Academic Press, International Computer Science Conventions, Canada / Switzerland}, year = {1998}, timestamp = {Fri, 28 Jan 2005 09:43:40 +0100}, biburl = {https://dblp.org/rec/conf/nc/HaLHK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nmbia/WoolfriesLMMR98, author = {Neil Woolfries and Patrick Lysaght and Stephen Marshall and Gordon Charles McGregor and David Robinson}, editor = {Stephen Marshall and Neal R. Harvey and Druti Shah}, title = {Non Linear Image Processing on Field Programmable Gate Arrays}, booktitle = {Noblesse Workshop on Non-Linear Model Based Image Analysis, {NMBIA} 1998, 1.3 July 1998, Glasgow, {UK}}, pages = {301--306}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/978-1-4471-1597-7\_47}, doi = {10.1007/978-1-4471-1597-7\_47}, timestamp = {Wed, 26 Jun 2019 10:10:41 +0200}, biburl = {https://dblp.org/rec/conf/nmbia/WoolfriesLMMR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/OlstonWACELSS98, author = {Chris Olston and Allison Woodruff and Alexander Aiken and Michael Chu and Vuk Ercegovac and Mark Lin and Mybrid Spalding and Michael Stonebraker}, editor = {Laura M. Haas and Ashutosh Tiwary}, title = {DataSplash}, booktitle = {{SIGMOD} 1998, Proceedings {ACM} {SIGMOD} International Conference on Management of Data, June 2-4, 1998, Seattle, Washington, {USA}}, pages = {550--552}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/276304.276377}, doi = {10.1145/276304.276377}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/OlstonWACELSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/KaiWCCWJ97, author = {Kai Tang and Ming{-}En Wang and Lin{-}Lin Chen and Shuo{-}Yan Chou and Tony C. Woo and Ravi Janardan}, title = {Computing planar swept polygons under translation}, journal = {Comput. Aided Des.}, volume = {29}, number = {12}, pages = {825--836}, year = {1997}, url = {https://doi.org/10.1016/S0010-4485(97)00030-4}, doi = {10.1016/S0010-4485(97)00030-4}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/KaiWCCWJ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/LinL97, author = {Stephen Lin and Sang Wook Lee}, title = {Detection of Specularity Using Stereo in Color and Polarization Space}, journal = {Comput. Vis. Image Underst.}, volume = {65}, number = {2}, pages = {336--346}, year = {1997}, url = {https://doi.org/10.1006/cviu.1996.0577}, doi = {10.1006/CVIU.1996.0577}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/LinL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/KimWK97, author = {Sang{-}Wook Kim and Kyu{-}Young Whang and Jin{-}Ho Kim}, title = {Linearity in directory growth of the multilevel grid file}, journal = {Inf. Softw. Technol.}, volume = {39}, number = {13}, pages = {897--908}, year = {1997}, url = {https://doi.org/10.1016/S0950-5849(97)00035-9}, doi = {10.1016/S0950-5849(97)00035-9}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/KimWK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeK97, author = {Joon Woong Lee and In{-}So Kweon}, title = {Extraction of line features in a noisy image}, journal = {Pattern Recognit.}, volume = {30}, number = {10}, pages = {1651--1660}, year = {1997}, url = {https://doi.org/10.1016/S0031-3203(96)00185-9}, doi = {10.1016/S0031-3203(96)00185-9}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeeK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinL97, author = {Stephen Lin and Sang Wook Lee}, title = {Using Chromaticity Distributions and Eigenspace Analysis for Pose, Illumination, and Specularity-Invariant Recognition of 3D Objects}, booktitle = {1997 Conference on Computer Vision and Pattern Recognition {(CVPR} '97), June 17-19, 1997, San Juan, Puerto Rico}, pages = {426--431}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/CVPR.1997.609360}, doi = {10.1109/CVPR.1997.609360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LinL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WoodwardA97, author = {Sheryl L. Woodward and Sirikiat Lek Ariyavisitakul}, title = {Transmission of {CDMA} Signals Over an Analog Optical Link}, booktitle = {1997 {IEEE} International Conference on Communications: Towards the Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, June 8-12, 1997}, pages = {344--348}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ICC.1997.605292}, doi = {10.1109/ICC.1997.605292}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/WoodwardA97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Woodruff97, author = {Allison Woodruff and Michael Stonebraker}, editor = {W. A. Gray and Per{-}{\AA}ke Larson}, title = {Supporting Fine-grained Data Lineage in a Database Visualization Environment}, booktitle = {Proceedings of the Thirteenth International Conference on Data Engineering, April 7-11, 1997, Birmingham, {UK}}, pages = {91--102}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICDE.1997.581742}, doi = {10.1109/ICDE.1997.581742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/Woodruff97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/NgSK97, author = {Chiang{-}Ling Ng and Seung{-}Woo Seo and Hisashi Kobayashi}, title = {Performance Analysis of Generalized Multihop Shuffle Networks}, booktitle = {Proceedings {IEEE} {INFOCOM} '97, The Conference on Computer Communications, Sixteenth Annual Joint Conference of the {IEEE} Computer and Communications Societies, Driving the Information Revolution, Kobe, Japan, April 7-12, 1997}, pages = {842--849}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/INFCOM.1997.644555}, doi = {10.1109/INFCOM.1997.644555}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/NgSK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimKK96, author = {Sang{-}Kyoon Kim and Jin Wook Kim and Hang Joon Kim}, title = {On-line recognition of cursive Korean characters using neural networks}, journal = {Neurocomputing}, volume = {10}, number = {3}, pages = {291--305}, year = {1996}, url = {https://doi.org/10.1016/0925-2312(96)00128-2}, doi = {10.1016/0925-2312(96)00128-2}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimKK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimP96, author = {Woo Sung Kim and Rae{-}Hong Park}, title = {Off-line recognition of handwritten Korean and alphanumeric characters using hidden Markov models}, journal = {Pattern Recognit.}, volume = {29}, number = {5}, pages = {845--858}, year = {1996}, url = {https://doi.org/10.1016/0031-3203(95)00124-7}, doi = {10.1016/0031-3203(95)00124-7}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/KimP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/SatyanarayanaWCP96, author = {Appajosyula Satyanarayana and R. Kevin Wood and Leonidas Camarinopoulos and G. Pampoukis}, title = {Note on "A Linear-Time Algorithm for Computing K-Terminal Reliability in a Series-Parallel Network"}, journal = {{SIAM} J. Comput.}, volume = {25}, number = {2}, pages = {290}, year = {1996}, url = {https://doi.org/10.1137/S0097539793257988}, doi = {10.1137/S0097539793257988}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/SatyanarayanaWCP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/WangWCC96, author = {Ming{-}En Wang and Tony C. Woo and Lin{-}Lin Chen and Shuo{-}Yan Chou}, title = {Computing spanning line segments in three dimensions}, journal = {Vis. Comput.}, volume = {12}, number = {4}, pages = {173--180}, year = {1996}, url = {https://doi.org/10.1007/BF01782320}, doi = {10.1007/BF01782320}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/WangWCC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/JimaaW96, author = {Shihab A. Jimaa and Bryan Woodward}, title = {Two correction schemes for the minimization of the severe non-linear distortion introduced by an {ADPCM} link}, booktitle = {Proceedings of Third International Conference on Electronics, Circuits, and Systems, {ICECS} 1996, Rodos, Greece, October 13-16, 1996}, pages = {315--318}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ICECS.1996.582814}, doi = {10.1109/ICECS.1996.582814}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/JimaaW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.