default search action
Search dblp for Publications
export results for "David William"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/BhattiSAPSKBK24, author = {David Samuel Bhatti and Shahzad Saleem and Zulfiqar Ali and Tae{-}Jin Park and Beomkyu Suh and Ali Kamran and William J. Buchanan and Ki{-}Il Kim}, title = {Design and Evaluation of Memory Efficient Data Structure Scheme for Energy Drainage Attacks in Wireless Sensor Networks}, journal = {{IEEE} Access}, volume = {12}, pages = {41499--41516}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3377144}, doi = {10.1109/ACCESS.2024.3377144}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BhattiSAPSKBK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/EvansK24, author = {William S. Evans and David G. Kirkpatrick}, title = {Minimizing Query Frequency to Bound Congestion Potential for Moving Entities at a Fixed Target Time}, journal = {Algorithms}, volume = {17}, number = {6}, pages = {246}, year = {2024}, url = {https://doi.org/10.3390/a17060246}, doi = {10.3390/A17060246}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/EvansK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biomedsem/AlmudaiferCHDACCBWEFO24, author = {Abdullateef I. Almudaifer and Whitney L. Covington and JaMor Hairston and Zachary Deitch and Ankit Anand and Caleb M. Carroll and Estera Crisan and William Bradford and Lauren Walter and Ellen F. Eaton and Sue S. Feldman and John D. Osborne}, title = {Multi-task transfer learning for the prediction of entity modifiers in clinical text: application to opioid use disorder case detection}, journal = {J. Biomed. Semant.}, volume = {15}, number = {1}, pages = {11}, year = {2024}, url = {https://doi.org/10.1186/s13326-024-00311-4}, doi = {10.1186/S13326-024-00311-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biomedsem/AlmudaiferCHDACCBWEFO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/BoussinaLOSMLAPCF24, author = {Aaron Boussina and Lennart Langouche and Augustine C. Obirieze and Mridu Sinha and Hannah Mack and William Leineweber and April Joy C. Aralar and David T. Pride and Todd P. Coleman and Stephanie I Fraley}, title = {Machine learning based {DNA} melt curve profiling enables automated novel genotype detection}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {185}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05747-0}, doi = {10.1186/S12859-024-05747-0}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/BoussinaLOSMLAPCF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/DonthuMGTWABACRHOCCSSME24, author = {Ravikiran Donthu and Jose A. P. Marcelino and Rosanna Giordano and Yudong Tao and Everett Weber and Arian Avalos and Mark Band and Tatsiana Akraiko and Shu{-}Ching Chen and Maria P. Reyes and Haiping Hao and Yarira Ortiz{-}Alvarado and Charles A. Cuff and Eddie P{\'{e}}rez Claudio and Felipe Soto{-}Adames and Allan H. Smith{-}Pardo and William G. Meikle and Jay D. Evans and Tugrul Giray and Faten B. Abdelkader and Mike Allsopp and Daniel Ball and Susana B. Morgado and Shalva Barjadze and Adriana Correa{-}Benitez and Amina Chakir and David R. B{\'{a}}ez and Nabor H. M. Chavez and Anne Dalmon and Adrian B. Douglas and Carmen Fraccica and Herm{\'{o}}genes Fern{\'{a}}ndez{-}Mar{\'{\i}}n and Alberto Galindo{-}Cardona and Ernesto Guzman{-}Novoa and Robert Horsburgh and Meral Kence and Joseph Kilonzo and Mert K{\"{u}}krer and Yves Le Conte and Gaetana Mazzeo and Fernando Mota and Elliud Muli and Devrim Oskay and Jos{\'{e}} A. Ruiz{-}Mart{\'{\i}}nez and Eugenia Oliveri and Igor Pichkhaia and Abderrahmane Romane and Cesar Guillen Sanchez and Evans Sikombwa and Alberto Satta and Alejandra A. Scannapieco and Brandi Stanford and Victoria Soroker and Rodrigo A. Velarde and Monica Vercelli and Zachary Huang}, title = {HBeeID: a molecular tool that identifies honey bee subspecies from different geographic populations}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {278}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05776-9}, doi = {10.1186/S12859-024-05776-9}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/DonthuMGTWABACRHOCCSSME24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/GrajalesLTDDELMK24, author = {David Grajales and William Trung Le and Trang Tran and Sandryne David and Fr{\'{e}}d{\'{e}}rick Dallaire and Katherine J. I. Ember and Fr{\'{e}}d{\'{e}}ric Leblond and Cynthia M{\'{e}}nard and Samuel Kadoury}, title = {Robot-assisted biopsy sampling for online Raman spectroscopy cancer confirmation in the operating room}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {6}, pages = {1103--1111}, year = {2024}, url = {https://doi.org/10.1007/s11548-024-03100-7}, doi = {10.1007/S11548-024-03100-7}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/GrajalesLTDDELMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/WuHCWAPHBY24, author = {Chengyue Wu and David A. Hormuth and Chase Christenson and Ryan T. Woodall and Michael R. A. Abdelmalik and William T. Phillips and Thomas J. R. Hughes and Andrew J. Brenner and Thomas E. Yankeelov}, title = {Image-guided patient-specific optimization of catheter placement for convection-enhanced nanoparticle delivery in recurrent glioblastoma}, journal = {Comput. Biol. Medicine}, volume = {179}, pages = {108889}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108889}, doi = {10.1016/J.COMPBIOMED.2024.108889}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/WuHCWAPHBY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/KangZRTS24, author = {Jina Kang and Yiqiu Zhou and Robin Jephthah Rajarathinam and Yuanru Tan and David Williamson Shaffer}, title = {Unveiling joint attention dynamics: Examining multimodal engagement in an immersive collaborative astronomy simulation}, journal = {Comput. Educ.}, volume = {213}, pages = {105002}, year = {2024}, url = {https://doi.org/10.1016/j.compedu.2024.105002}, doi = {10.1016/J.COMPEDU.2024.105002}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/KangZRTS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/NigamW24, author = {Nilima Nigam and David M. Williams}, title = {Conforming finite element function spaces in four dimensions, part {I:} Foundational principles and the tesseract}, journal = {Comput. Math. Appl.}, volume = {166}, pages = {198--223}, year = {2024}, url = {https://doi.org/10.1016/j.camwa.2024.05.005}, doi = {10.1016/J.CAMWA.2024.05.005}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/NigamW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/WilliamsN24, author = {David M. Williams and Nilima Nigam}, title = {Conforming finite element function spaces in four dimensions, part {II:} The pentatope and tetrahedral prism}, journal = {Comput. Math. Appl.}, volume = {167}, pages = {21--53}, year = {2024}, url = {https://doi.org/10.1016/j.camwa.2024.05.003}, doi = {10.1016/J.CAMWA.2024.05.003}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/WilliamsN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/BodeKMKJFDD24, author = {Brett M. Bode and David King and Celso L. Mendes and William T. Kramer and Saurabh Jha and Roger Ford and Justin Davis and Steven Dramstad}, title = {Blue Waters system and component reliability}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {8}, year = {2024}, url = {https://doi.org/10.1002/cpe.7978}, doi = {10.1002/CPE.7978}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/BodeKMKJFDD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cpc/GalvinMPST24, author = {David J. Galvin and Gwen McKinley and Will Perkins and Michail Sarantis and Prasad Tetali}, title = {On the zeroes of hypergraph independence polynomials}, journal = {Comb. Probab. Comput.}, volume = {33}, number = {1}, pages = {65--84}, year = {2024}, url = {https://doi.org/10.1017/s0963548323000330}, doi = {10.1017/S0963548323000330}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cpc/GalvinMPST24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/MijinPHHMM24, author = {Stefan Mijin and Dominic Power and Ryan Holden and William Hornsby and David Moulton and Fulvio Militello}, title = {ReMKiT1D - {A} framework for building reactive multi-fluid models of the tokamak scrape-off layer with coupled electron kinetics in 1D}, journal = {Comput. Phys. Commun.}, volume = {300}, pages = {109195}, year = {2024}, url = {https://doi.org/10.1016/j.cpc.2024.109195}, doi = {10.1016/J.CPC.2024.109195}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/MijinPHHMM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/crl/Williams24, author = {David Williams}, title = {Inviting Knowledge: Enhancing Archival Discovery through Information Design}, journal = {Coll. Res. Libr.}, volume = {85}, number = {4}, year = {2024}, url = {https://doi.org/10.5860/crl.85.4.583}, doi = {10.5860/CRL.85.4.583}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/crl/Williams24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csbs/AllaireAABBBBBBBCCCCDDDDDEFFFFFGGW24, author = {C. Allaire and R. Ammendola and E.{-}C. Aschenauer and M. Balandat and Marco Battaglieri and J. C. Bernauer and M. Bond{\`{\i}} and N. Branson and T. Britton and Anja Butter and I. Chahrour and P. Chatagnon and Evaristo Cisbani and E. W. Cline and S. Dash and C. T. Dean and W. Deconinck and A. Deshpande and Markus Diefenthaler and R. Ent and Cristiano Fanelli and M. Finger and E. Fol and S. Furletov and Y. Gao and James Giroux and N. C. Gunawardhana Waduge and O. Hassan and P. L. Hegde and Roger Jos{\'{e}} Hern{\'{a}}ndez{-}Pinto and Astrid N. Hiller Blin and Tanja Horn and J. Huang and A. Jalotra and D. Jayakodige and B. Joo and M. Junaid and N. Kalantarians and Piyush Karande and B. Kriesten and R. Kunnawalkam Elayavalli and Y. Li and M. Lin and Frank Liu and S. Liuti and G. Matousek and Matthew McEneaney and Diana McSpadden and T. Menzo and T. Miceli and Vinicius Mikuni and R. Montgomery and Benjamin Nachman and R. R. Nair and J. Niestroy and S. A. Ochoa Oregon and J. Oleniacz and J. D. Osborn and C. Paudel and C. Pecar and C. Peng and Gabriel N. Perdue and W. Phelps and M. L. Purschke and H. Rajendran and K. Rajput and Y. Ren and David Francisco Renter{\'{\i}}a{-}Estrada and D. Richford and B. J. Roy and D. Roy and A. Saini and Nobuo Sato and T. Satogata and German Sborlini and Malachi Schram and D. Shih and J. Singh and R. Singh and Andrzej Si{\'{o}}dmok and J. Stevens and P. Stone and L. Suarez and K. Suresh and A.{-}N. Tawfik and Fernando Torales Acosta and N. Tran and R. Trotta and F. J. Twagirayezu and R. Tyson and S. Volkova and Anselm Vossen and Eric Walter and Daniel Whiteson and Michael Williams and S. Wu and N. Zachariou and P. Zurita}, title = {Artificial Intelligence for the Electron Ion Collider {(AI4EIC)}}, journal = {Comput. Softw. Big Sci.}, volume = {8}, number = {1}, pages = {5}, year = {2024}, url = {https://doi.org/10.1007/s41781-024-00113-4}, doi = {10.1007/S41781-024-00113-4}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csbs/AllaireAABBBBBBBCCCCDDDDDEFFFFFGGW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/HarperR24, author = {Richard Harper and Dave W. Randall}, title = {Machine Learning and the Work of the User}, journal = {Comput. Support. Cooperative Work.}, volume = {33}, number = {2}, pages = {103--136}, year = {2024}, url = {https://doi.org/10.1007/s10606-023-09483-6}, doi = {10.1007/S10606-023-09483-6}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cscw/HarperR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/McInnesHBDGGMMNNRWABCFF24, author = {Lois Curfman McInnes and Michael A. Heroux and David E. Bernholdt and Anshu Dubey and Elsa Gonsiorowski and Rinku Gupta and Osni Marques and J. David Moulton and Hai Ah Nam and Boyana Norris and Elaine M. Raybourn and James M. Willenbring and Ann S. Almgren and Roscoe A. Bartlett and Kita Cranfill and Stephen Fickas and Don Frederick and William F. Godoy and Patricia A. Grubel and Rebecca Hartman{-}Baker and Axel Huebl and Rose Lynch and Addi Malviya{-}Thakur and Reed Milewicz and Mark C. Miller and Miranda Mundt and Erik Palmer and Suzanne Parete{-}Koon and Megan Phinney and Katherine Riley and David M. Rogers and Benjamin H. Sims and Deborah Stevens and Gregory R. Watson}, title = {A Cast of Thousands: How the {IDEAS} Productivity Project Has Advanced Software Productivity and Sustainability}, journal = {Comput. Sci. Eng.}, volume = {26}, number = {1}, pages = {48--60}, year = {2024}, url = {https://doi.org/10.1109/MCSE.2024.3383799}, doi = {10.1109/MCSE.2024.3383799}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/McInnesHBDGGMMNNRWABCFF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/MataMARJMATBG24, author = {Aser Mata and David Moffat and S{\'{\i}}lvia Almeida and Marko Radeta and William Jay and Nigel Mortimer and Katie Awty{-}Carroll and Oliver R. Thomas and Vanda Brotas and Steve B. Groom}, title = {Drone imagery and deep learning for mapping the density of wild Pacific oysters to manage their expansion into protected areas}, journal = {Ecol. Informatics}, volume = {82}, pages = {102708}, year = {2024}, url = {https://doi.org/10.1016/j.ecoinf.2024.102708}, doi = {10.1016/J.ECOINF.2024.102708}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/MataMARJMATBG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/LawlerZSLRLFD24, author = {Seth Lawler and Chen Zhang and Abdul Raheem Siddiqui and Christina Lindemer and David Rosa and William Lehman and Celso Ferreira and Liping Di}, title = {Leveraging {OGC} {API} for cloud-based flood modeling campaigns}, journal = {Environ. Model. Softw.}, volume = {171}, pages = {105855}, year = {2024}, url = {https://doi.org/10.1016/j.envsoft.2023.105855}, doi = {10.1016/J.ENVSOFT.2023.105855}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/LawlerZSLRLFD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/AlexeevABBBCCCCCCCCMDEE24, author = {Yuri Alexeev and Maximilian Amsler and Marco Antonio Barroca and Sanzio Bassini and Torey Battelle and Daan Camps and David Casanova and Young Jay Choi and Frederic T. Chong and Charles Chung and Christopher Codella and Antonio D. C{\'{o}}rcoles and James Cruise and Alberto Di Meglio and Ivan Duran and Thomas Eckl and Sophia E. Economou and Stephan Eidenbenz and Bruce Elmegreen and Clyde Fare and Ismael Faro and Cristina Sanz Fern{\'{a}}ndez and Rodrigo Neumann Barros Ferreira and Keisuke Fuji and Bryce Fuller and Laura Gagliardi and Giulia Galli and Jennifer R. Glick and Isacco Gobbi and Pranav Gokhale and Salvador de la Puente Gonzalez and Johannes Greiner and Bill Gropp and Michele Grossi and Emanuel Gull and Burns Healy and Matthew R. Hermes and Benchen Huang and Travis S. Humble and Nobuyasu Ito and Artur F. Izmaylov and Ali Javadi{-}Abhari and Douglas M. Jennewein and Shantenu Jha and Liang Jiang and Barbara Jones and Wibe Albert de Jong and Petar Jurcevic and William M. Kirby and Stefan Kister and Masahiro Kitagawa and Joel Klassen and Katherine Klymko and Kwangwon Koh and Masaaki Kondo and Doga Murat K{\"{u}}rk{\c{c}}{\"{u}}oglu and Krzysztof Kurowski and Teodoro Laino and Ryan Landfield and Matthew L. Leininger and Vicente Leyton{-}Ortega and Ang Li and Meifeng Lin and Junyu Liu and Nicol{\'{a}}s Lorente and Andr{\'{e}} Luckow and Simon Martiel and Francisco Mart{\'{\i}}n{-}Fern{\'{a}}ndez and Margaret Martonosi and Claire Marvinney and Arcesio Casta{\~{n}}eda Medina and Dirk Merten and Antonio Mezzacapo and Kristel Michielsen and Abhishek Mitra and Tushar Mittal and Kyungsun Moon and Joel Moore and Sarah Mostame and Mario Motta and Young{-}Hye Na and Yunseong Nam and Prineha Narang and Yu{-}ya Ohnishi and Daniele Ottaviani and Matthew Otten and Scott Pakin and Vincent R. Pascuzzi and Edwin Pednault and Tomasz Piontek and Jed Pitera and Patrick Rall and Gokul Subramanian Ravi and Niall Robertson and Matteo A. C. Rossi and Piotr Rydlichowski and Hoon Ryu and Georgy Samsonidze and Mitsuhisa Sato and Nishant Saurabh and Vidushi Sharma and Kunal Sharma and Soyoung Shin and George Slessman and Mathias Steiner and Iskandar Sitdikov and In{-}Saeng Suh and Eric D. Switzer and Wei Tang and Joel Thompson and Synge Todo and Minh C. Tran and Dimitar Trenev and Christian Trott and Huan{-}Hsin Tseng and Norm M. Tubman and Esin Tureci and David Garc{\'{\i}}a Vali{\~{n}}as and Sofia Vallecorsa and Christopher Wever and Konrad Wojciechowski and Xiaodi Wu and Shinjae Yoo and Nobuyuki Yoshioka and Victor Wen{-}zhe Yu and Seiji Yunoki and Sergiy Zhuk and Dmitry Zubarev}, title = {Quantum-centric supercomputing for materials science: {A} perspective on challenges and future directions}, journal = {Future Gener. Comput. Syst.}, volume = {160}, pages = {666--710}, year = {2024}, url = {https://doi.org/10.1016/j.future.2024.04.060}, doi = {10.1016/J.FUTURE.2024.04.060}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/AlexeevABBBCCCCCCCCMDEE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/WooldridgeCHHSBERRKKSG24, author = {Abigail R. Wooldridge and Pascale Carayon and Peter Hoonakker and Bat{-}Zion Hose and David W. Shaffer and Thomas Brazelton and Ben L. Eithun and Deborah A. Rusy and Joshua Ross and Jonathan E. Kohler and Michelle M. Kelly and Scott R. Springman and Ayse P. Gurses}, title = {Team Cognition in Handoffs: Relating System Factors, Team Cognition Functions and Outcomes in Two Handoff Processes}, journal = {Hum. Factors}, volume = {66}, number = {1}, pages = {271--293}, year = {2024}, url = {https://doi.org/10.1177/00187208221086342}, doi = {10.1177/00187208221086342}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/WooldridgeCHHSBERRKKSG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcci/ShokeenPWKCPW24, author = {Ekta Shokeen and Anthony J. Pellicone and David Weintrop and Diane Jass Ketelhut and Michel Cukier and Jandelyn Dawn Plane and Caro Williams{-}Pierce}, title = {Children's approaches to solving puzzles in videogames}, journal = {Int. J. Child Comput. Interact.}, volume = {40}, pages = {100635}, year = {2024}, url = {https://doi.org/10.1016/j.ijcci.2024.100635}, doi = {10.1016/J.IJCCI.2024.100635}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcci/ShokeenPWKCPW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/LestandiWDKMVKFRDJ24, author = {Lucas Lestandi and Jian Cheng Wong and Guoying Dong and Shemuel Joash Kuehsamy and J. Mikula and Guglielmo Vastola and Umesh Kizhakkinan and C. S. Ford and David William Rosen and My Ha Dao and Mark Hyunpong Jhon}, title = {Data-driven surrogate modelling of residual stresses in Laser Powder-Bed Fusion}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {37}, number = {6}, pages = {685--707}, year = {2024}, url = {https://doi.org/10.1080/0951192x.2023.2257628}, doi = {10.1080/0951192X.2023.2257628}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/LestandiWDKMVKFRDJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ires/BaloghBPKR24, author = {Matt Balogh and William Billingsley and David J. Paul and Mary Anne Kennan and Melanie Robertson{-}Dean}, title = {Practices and pain points in personal records}, journal = {Inf. Res.}, volume = {29}, number = {1}, pages = {73--96}, year = {2024}, url = {https://doi.org/10.47989/ir291604}, doi = {10.47989/IR291604}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ires/BaloghBPKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/CarrellFGHHNWB24, author = {David S. Carrell and James S. Floyd and Susan Gruber and Brian L. Hazlehurst and Patrick J. Heagerty and Jennifer C. Nelson and Brian D. Williamson and Robert Ball}, title = {A general framework for developing computable clinical phenotype algorithms}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {8}, pages = {1785--1796}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocae121}, doi = {10.1093/JAMIA/OCAE121}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/CarrellFGHHNWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MullieAABBBCTMLLLLSSTWC24, author = {Louis Mullie and Jonathan Afilalo and Patrick M. Archambault and Rima Bouchakri and Kip Brown and David L. Buckeridge and Yiorgos Alexandros Cavayas and Alexis F. Turgeon and Denis Martineau and Fran{\c{c}}ois Lamontagne and Martine Lebrasseur and Renald Lemieux and Jeffrey Li and Micha{\"{e}}l Sauthier and Pascal St{-}Onge and An Tang and William Witteman and Michael Chass{\'{e}}}, title = {{CODA:} an open-source platform for federated analysis and machine learning on distributed healthcare data}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {3}, pages = {651--665}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocad235}, doi = {10.1093/JAMIA/OCAD235}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/MullieAABBBCTMLLLLSSTWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SmithWCPWMOWRKSWSZTJAC24, author = {Joshua C. Smith and Brian D. Williamson and David J. Cronkite and Daniel Park and Jill M. Whitaker and Michael F. McLemore and Joshua Osmanski and Robert Winter and Arvind Ramaprasan and Ann Kelley and Mary Shea and Saranrat Wittayanukorn and Danijela Stojanovic and Yueqin Zhao and Sengwee Toh and Kevin B. Johnson and David Aronoff and David S. Carrell}, title = {Data-driven automated classification algorithms for acute health conditions: applying PheNorm to {COVID-19} disease}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {3}, pages = {574--582}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocad241}, doi = {10.1093/JAMIA/OCAD241}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SmithWCPWMOWRKSWSZTJAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/WaltonNWSFEWMLBASUTUPRZW24, author = {Nephi A. Walton and Radhakrishnan Nagarajan and Chen Wang and Murat Sincan and Robert R. Freimuth and David B. Everman and Derek C. Walton and Scott P. McGrath and Dominick J. Lemas and Panayiotis V. Benos and Alexander V. Alekseyenko and Qianqian Song and Ece D. Gamsiz Uzun and Casey Overby Taylor and Alper Uzun and Thomas N. Person and Nadav Rappoport and Zhongming Zhao and Marc S. Williams}, title = {Enabling the clinical application of artificial intelligence in genomics: a perspective of the {AMIA} Genomics and Translational Bioinformatics Workgroup}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {2}, pages = {536--541}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocad211}, doi = {10.1093/JAMIA/OCAD211}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/WaltonNWSFEWMLBASUTUPRZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/JiangGTSZPZ24, author = {Shiyi Jiang and Xin Gai and Miriam M. Treggiari and William W. Stead and Yuankang Zhao and C. David Page and Anru R. Zhang}, title = {Soft phenotyping for sepsis via {EHR} time-aware soft clustering}, journal = {J. Biomed. Informatics}, volume = {152}, pages = {104615}, year = {2024}, url = {https://doi.org/10.1016/j.jbi.2024.104615}, doi = {10.1016/J.JBI.2024.104615}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/JiangGTSZPZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KyrimiSPPWMT24, author = {Evangelia Kyrimi and Rebecca S. Stoner and Zane B. Perkins and Erhan Pisirir and Jared M. Wohlgemut and William Marsh and Nigel R. M. Tai}, title = {Updating and recalibrating causal probabilistic models on a new target population}, journal = {J. Biomed. Informatics}, volume = {149}, pages = {104572}, year = {2024}, url = {https://doi.org/10.1016/j.jbi.2023.104572}, doi = {10.1016/J.JBI.2023.104572}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/KyrimiSPPWMT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/HeidGCLGVWGM24, author = {Esther Heid and Kevin P. Greenman and Yunsie Chung and Shih{-}Cheng Li and David E. Graff and Florence H. Vermeire and Haoyang Wu and William H. Green Jr. and Charles McGill}, title = {Chemprop: {A} Machine Learning Package for Chemical Property Prediction}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {1}, pages = {9--17}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01250}, doi = {10.1021/ACS.JCIM.3C01250}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/HeidGCLGVWGM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/WilliamsI24, author = {David C. Williams and Neil Inala}, title = {Physics-Informed Generative Model for Drug-like Molecule Conformers}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {8}, pages = {2988--3007}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01816}, doi = {10.1021/ACS.JCIM.3C01816}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/WilliamsI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/GuffantiBHAGCFNCM24, author = {Diego Guffanti and Alberto Brunete and Miguel Hernando and David {\'{A}}lvarez and Ernesto Gambao and William Chamorro and Diego Fern{\'{a}}ndez{-}V{\'{a}}zquez and V{\'{\i}}ctor Navarro{-}L{\'{o}}pez and Mar{\'{\i}}a Carratal{\'{a}}{-}Tejada and Juan Carlos Miangolarra{-}Page}, title = {Robotics-driven gait analysis: Assessing Azure Kinect's performance in in-lab versus in-corridor environments}, journal = {J. Field Robotics}, volume = {41}, number = {4}, pages = {1133--1145}, year = {2024}, url = {https://doi.org/10.1002/rob.22313}, doi = {10.1002/ROB.22313}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/GuffantiBHAGCFNCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhir/SivarajkumarMORHLHVW24, author = {Sonish Sivarajkumar and Haneef Ahamed Mohammad and David Oniani and Kirk Roberts and William R. Hersh and Hongfang Liu and Daqing He and Shyam Visweswaran and Yanshan Wang}, title = {Clinical Information Retrieval: {A} Literature Review}, journal = {J. Heal. Informatics Res.}, volume = {8}, number = {2}, pages = {313--352}, year = {2024}, url = {https://doi.org/10.1007/s41666-024-00159-4}, doi = {10.1007/S41666-024-00159-4}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhir/SivarajkumarMORHLHVW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/BradleyABWB24, author = {Alexander T. Bradley and Robert J. Arthern and David T. Bett and C. Rosie Williams and James Byrne}, title = {WAVI.jl: Ice Sheet Modelling in Julia}, journal = {J. Open Source Softw.}, volume = {9}, number = {96}, pages = {5584}, year = {2024}, url = {https://doi.org/10.21105/joss.05584}, doi = {10.21105/JOSS.05584}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/BradleyABWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/OxenfordRHNBEGLDSAFARTWBSSCSLOAMPLN24, author = {Simon Oxenford and Ana Sof{\'{\i}}a R{\'{\i}}os and Barbara Hollunder and Clemens Neudorfer and Alexandre Boutet and Gavin J. B. Elias and J{\"{u}}rgen Germann and Aaron Loh and Wissam Deeb and Bryan Salvato and Leonardo Almeida and Kelly D. Foote and Robert S. C. Amaral and Paul B. Rosenberg and David F. Tang{-}Wai and David A. Wolk and Anna D. Burke and Marwan N. Sabbagh and Stephen Salloway and M. Mallar Chakravarty and Gwenn S. Smith and Constantine G. Lyketsos and Michael S. Okun and William S. Anderson and Zoltan Mari and Francisco A. Ponce and Andres M. Lozano and Wolf{-}Julian Neumann and Bassam Al{-}Fatly and Andreas Horn}, title = {WarpDrive: Improving spatial normalization using manual refinements}, journal = {Medical Image Anal.}, volume = {91}, pages = {103041}, year = {2024}, url = {https://doi.org/10.1016/j.media.2023.103041}, doi = {10.1016/J.MEDIA.2023.103041}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/OxenfordRHNBEGLDSAFARTWBSSCSLOAMPLN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/DablainBKAC24, author = {Damien Dablain and Colin Bellinger and Bartosz Krawczyk and David W. Aha and Nitesh V. Chawla}, title = {Understanding imbalanced data: {XAI} {\&} interpretable {ML} framework}, journal = {Mach. Learn.}, volume = {113}, number = {6}, pages = {3751--3769}, year = {2024}, url = {https://doi.org/10.1007/s10994-023-06414-w}, doi = {10.1007/S10994-023-06414-W}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ml/DablainBKAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/MirkaSW24, author = {Renee Mirka and Devin Smedira and David P. Williamson}, title = {Graph coloring and semidefinite rank}, journal = {Math. Program.}, volume = {206}, number = {1}, pages = {577--605}, year = {2024}, url = {https://doi.org/10.1007/s10107-024-02085-0}, doi = {10.1007/S10107-024-02085-0}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mp/MirkaSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mti/RuisBBTBCLS24, author = {Andrew R. Ruis and Carol Barford and Jais Brohinsky and Yuanru Tan and Matthew Bougie and Zhiqiang Cai and Tyler J. Lark and David Williamson Shaffer}, title = {iPlan: {A} Platform for Constructing Localized, Reduced-Form Models of Land-Use Impacts}, journal = {Multimodal Technol. Interact.}, volume = {8}, number = {4}, pages = {30}, year = {2024}, url = {https://doi.org/10.3390/mti8040030}, doi = {10.3390/MTI8040030}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mti/RuisBBTBCLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/CreaghHYMTCWLYD24, author = {Andrew P. Creagh and Valentin Hamy and Hang Yuan and Gert Mertes and Ryan Tomlinson and Wen{-}Hung Chen and Rachel Williams and Christopher Llop and Christopher Yee and Mei Sheng Duh and Aiden R. Doherty and Luis Garcia{-}Gancedo and David A. Clifton}, title = {Digital health technologies and machine learning augment patient reported outcomes to remotely characterise rheumatoid arthritis}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-024-01013-y}, doi = {10.1038/S41746-024-01013-Y}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/CreaghHYMTCWLYD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/MirkaW24, author = {Renee Mirka and David P. Williamson}, title = {Max cut and semidefinite rank}, journal = {Oper. Res. Lett.}, volume = {53}, pages = {107067}, year = {2024}, url = {https://doi.org/10.1016/j.orl.2024.107067}, doi = {10.1016/J.ORL.2024.107067}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/MirkaW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/FengGZBWPDDHFL24, author = {Jiarui Feng and S. Peter Goedegebuure and Amanda Zeng and Ye Bi and Ting Wang and Philip R. O. Payne and Li Ding and David DeNardo and William Hawkins and Ryan C. Fields and Fuhai Li}, title = {sc2MeNetDrug: {A} computational tool to uncover inter-cell signaling targets and identify relevant drugs based on single cell RNA-seq data}, journal = {PLoS Comput. Biol.}, volume = {20}, number = {1}, year = {2024}, url = {https://doi.org/10.1371/journal.pcbi.1011785}, doi = {10.1371/JOURNAL.PCBI.1011785}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/FengGZBWPDDHFL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/SwetnamABBCCCCCCCDDDEEFFFGHJLLLM24, author = {Tyson L. Swetnam and Parker B. Antin and Ryan Bartelme and Alexander Bucksch and David Camhy and Greg Chism and Illyoung Choi and Amanda M. Cooksey and Michele Cosi and Cindy Cowen and Michael Culshaw{-}Maurer and Robert Davey and Sean Davey and Upendra Devisetty and Tony Edgin and Andy Edmonds and Dmitry V. Fedorov and Jeremy Frady and John Fonner and Jeffrey K. Gillan and Iqbal Hossain and Blake Joyce and Konrad Lang and Tina Lee and Shelley Littin and Ian McEwen and Nirav C. Merchant and David Micklos and Andrew Nelson and Ashley Ramsey and Sarah Roberts and Paul Sarando and Edwin Skidmore and Jawon Song and Mary Margaret Sprinkle and Sriram Srinivasan and Dan Stanzione and Jonathan D. Strootman and Sarah Stryeck and Reetu Tuteja and Matthew Vaughn and Mojib Wali and Mariah Wall and Ramona Walls and Liya Wang and Todd Wickizer and Jason Williams and John Wregglesworth and Eric Lyons}, title = {CyVerse: Cyberinfrastructure for open science}, journal = {PLoS Comput. Biol.}, volume = {20}, number = {2}, year = {2024}, url = {https://doi.org/10.1371/journal.pcbi.1011270}, doi = {10.1371/JOURNAL.PCBI.1011270}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/SwetnamABBCCCCCCCDDDEEFFFGHJLLLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/NullEJTMHZ24, author = {W. David Null and William Edwards and Dohun Jeong and Teodor Tchalakov and James Menezes and Kris Hauser and Y Z}, title = {Automatically-Tuned Model Predictive Control for an Underwater Soft Robot}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {1}, pages = {571--578}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3333662}, doi = {10.1109/LRA.2023.3333662}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/NullEJTMHZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LewisCGMSSSWW24, author = {Mark David Lewis and Stephanie Cayula and Richard W. Gould Jr. and William David Miller and Igor Shulman and Geoffrey B. Smith and Travis A. Smith and David W. Wang and Hemantha W. Wijesekera}, title = {Bio-Optical Properties near a Coastal Convergence Zone Derived from Aircraft Remote Sensing Imagery and Modeling}, journal = {Remote. Sens.}, volume = {16}, number = {11}, pages = {1965}, year = {2024}, url = {https://doi.org/10.3390/rs16111965}, doi = {10.3390/RS16111965}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LewisCGMSSSWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigir/AzzopardiCKMTRAACREHHKK24, author = {Leif Azzopardi and Charles L. A. Clarke and Paul B. Kantor and Bhaskar Mitra and Johanne R. Trippas and Zhaochun Ren and Mohammad Aliannejadi and Negar Arabzadeh and Raman Chandrasekar and Maarten de Rijke and Panagiotis Eustratiadis and William R. Hersh and Jin Huang and Evangelos Kanoulas and Jasmin Kareem and Yongkang Li and Simon Lupart and Kidist Amde Mekonnen and Adam Roegiest and Ian Soboroff and Fabrizio Silvestri and Suzan Verberne and David Vos and Eugene Yang and Yuyue Zhao}, title = {Report on the Search Futures Workshop at {ECIR} 2024}, journal = {{SIGIR} Forum}, volume = {58}, number = {1}, pages = {1--41}, year = {2024}, url = {https://doi.org/10.1145/3687273.3687288}, doi = {10.1145/3687273.3687288}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigir/AzzopardiCKMTRAACREHHKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tacl/StroblMW0A24, author = {Lena Strobl and William Merrill and Gail Weiss and David Chiang and Dana Angluin}, title = {What Formal Languages Can Transformers Express? {A} Survey}, journal = {Trans. Assoc. Comput. Linguistics}, volume = {12}, pages = {543--561}, year = {2024}, url = {https://doi.org/10.1162/tacl\_a\_00663}, doi = {10.1162/TACL\_A\_00663}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tacl/StroblMW0A24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/BensemannCHBWW24, author = {Joshua Bensemann and Hasnain Cheena and David Tse Jung Huang and Elizabeth Broadbent and Jonathan Williams and J{\"{o}}rg Wicker}, title = {From What You See to What We Smell: Linking Human Emotions to Bio-Markers in Breath}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {15}, number = {2}, pages = {465--477}, year = {2024}, url = {https://doi.org/10.1109/TAFFC.2023.3275216}, doi = {10.1109/TAFFC.2023.3275216}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/BensemannCHBWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamm/TravesW24, author = {Will Traves and David L. Wehlau}, title = {Ten Points on a Cubic}, journal = {Am. Math. Mon.}, volume = {131}, number = {2}, pages = {112--130}, year = {2024}, url = {https://doi.org/10.1080/00029890.2023.2274240}, doi = {10.1080/00029890.2023.2274240}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamm/TravesW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/WanQQDD24, author = {Hang Wan and Zhizhuo Qiu and Rui Quan and Micha{\"{e}}l David and William Derigent}, title = {{GTIACO:} energy efficient clustering algorithm based on game theory and improved ant colony optimization}, journal = {Telecommun. Syst.}, volume = {86}, number = {3}, pages = {463--480}, year = {2024}, url = {https://doi.org/10.1007/s11235-024-01132-7}, doi = {10.1007/S11235-024-01132-7}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/WanQQDD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HarcourtMR24, author = {William David Harcourt and David G. Macfarlane and Duncan A. Robertson}, title = {3D Terrain Mapping and Filtering From Coarse-Resolution Data Cubes Extracted From Real-Aperture 94-GHz Radar}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--18}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3353676}, doi = {10.1109/TGRS.2024.3353676}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/HarcourtMR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/DavidDLTD24, author = {Micha{\"{e}}l David and William Derigent and Ga{\"{e}}l Loubet and Alexandru Takacs and Daniela Dragomirescu}, title = {Communicating Materials: Communicating Concrete Development for Construction Industry}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {6983--6994}, year = {2024}, url = {https://doi.org/10.1109/TII.2024.3352261}, doi = {10.1109/TII.2024.3352261}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/DavidDLTD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/WilliamsMGN24, author = {David S. W. Williams and Daniele De Martini and Matthew Gadd and Paul Newman}, title = {Mitigating Distributional Shift in Semantic Segmentation via Uncertainty Estimation From Unlabeled Data}, journal = {{IEEE} Trans. Robotics}, volume = {40}, pages = {3146--3165}, year = {2024}, url = {https://doi.org/10.1109/TRO.2024.3401020}, doi = {10.1109/TRO.2024.3401020}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/WilliamsMGN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KumarLSMKMBKNMR24, author = {Harsh Kumar and Tong Li and Jiakai Shi and Ilya Musabirov and Rachel Kornfield and Jonah Meyerhoff and Ananya Bhattacharjee and Chris J. Karr and Theresa Nguyen and David C. Mohr and Anna N. Rafferty and Sofia S. Villar and Nina Deliu and Joseph Jay Williams}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Using Adaptive Bandit Experiments to Increase and Investigate Engagement in Mental Health}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {22906--22912}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i21.30328}, doi = {10.1609/AAAI.V38I21.30328}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KumarLSMKMBKNMR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/RauchAFGKKLMMMP24, author = {Christopher B. Rauch and Ursula Addison and Michael W. Floyd and Prateek Goel and Justin Karneeb and Ray Kulhanek and Othalia Larue and David H. M{\'{e}}nager and Mallika Mainali and Matthew Molineaux and Adam Pease and Anik Sen and J. T. Turner and Rosina Weber}, editor = {Ron P. A. Petrick and Christopher W. Geib}, title = {Algorithmic Decision-Making in Difficult Scenarios}, booktitle = {Proceedings of the {AAAI} 2024 Spring Symposium Series, Stanford, CA, USA, March 25-27, 2024}, pages = {583--585}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaaiss.v3i1.31285}, doi = {10.1609/AAAISS.V3I1.31285}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/RauchAFGKKLMMMP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/GroeneveldBWBKT24, author = {Dirk Groeneveld and Iz Beltagy and Evan Pete Walsh and Akshita Bhagia and Rodney Kinney and Oyvind Tafjord and Ananya Harsh Jha and Hamish Ivison and Ian Magnusson and Yizhong Wang and Shane Arora and David Atkinson and Russell Authur and Khyathi Raghavi Chandu and Arman Cohan and Jennifer Dumas and Yanai Elazar and Yuling Gu and Jack Hessel and Tushar Khot and William Merrill and Jacob Morrison and Niklas Muennighoff and Aakanksha Naik and Crystal Nam and Matthew E. Peters and Valentina Pyatkin and Abhilasha Ravichander and Dustin Schwenk and Saurabh Shah and Will Smith and Emma Strubell and Nishant Subramani and Mitchell Wortsman and Pradeep Dasigi and Nathan Lambert and Kyle Richardson and Luke Zettlemoyer and Jesse Dodge and Kyle Lo and Luca Soldaini and Noah A. Smith and Hannaneh Hajishirzi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {OLMo: Accelerating the Science of Language Models}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {15789--15809}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.acl-long.841}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/GroeneveldBWBKT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/StapHBMT24, author = {David Stap and Eva Hasler and Bill Byrne and Christof Monz and Ke Tran}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {The Fine-Tuning Paradox: Boosting Translation Quality Without Sacrificing {LLM} Abilities}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {6189--6206}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.acl-long.336}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/StapHBMT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmidc/KimRWY24, author = {David Y. J. Kim and Prerna Ravi and Randi Williams and Daeun Yoo}, title = {App Planner: Utilizing Generative {AI} in {K-12} Mobile App Development Education}, booktitle = {Proceedings of the 23rd Annual {ACM} Interaction Design and Children Conference, {IDC} 2024, Delft, Netherlands, June 17-20, 2024}, pages = {770--775}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3628516.3659392}, doi = {10.1145/3628516.3659392}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acmidc/KimRWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/AnselYHGJVBBBBC24, author = {Jason Ansel and Edward Z. Yang and Horace He and Natalia Gimelshein and Animesh Jain and Michael Voznesensky and Bin Bao and Peter Bell and David Berard and Evgeni Burovski and Geeta Chauhan and Anjali Chourdia and Will Constable and Alban Desmaison and Zachary DeVito and Elias Ellison and Will Feng and Jiong Gong and Michael Gschwind and Brian Hirsh and Sherlock Huang and Kshiteej Kalambarkar and Laurent Kirsch and Michael Lazos and Mario Lezcano and Yanbo Liang and Jason Liang and Yinghai Lu and C. K. Luk and Bert Maher and Yunjie Pan and Christian Puhrsch and Matthias Reso and Mark Saroufim and Marcos Yukio Siraichi and Helen Suk and Shunting Zhang and Michael Suo and Phil Tillet and Xu Zhao and Eikan Wang and Keren Zhou and Richard Zou and Xiaodong Wang and Ajit Mathews and William Wen and Gregory Chanan and Peng Wu and Soumith Chintala}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {PyTorch 2: Faster Machine Learning Through Dynamic Python Bytecode Transformation and Graph Compilation}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {929--947}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640366}, doi = {10.1145/3620665.3640366}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/AnselYHGJVBBBBC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avi/AmirkhaniRS24, author = {Sima Amirkhani and Dave W. Randall and Gunnar Stevens}, editor = {Barbara Rita Barricelli and Gerhard Fischer and Daniela Fogli and Anders I. M{\o}rch and Antonio Piccinno and Stefano Valtolina}, title = {Designing for Affected Individuals: Exploring the Intersection of Privacy, Surveillance, and Intimacy in Technological Devices}, booktitle = {Proceedings of the Eight International Workshop on Cultures of Participation in the Digital Age: Differentiating and Deepening the Concept of "End User" in the Digital Age co-located with the International Conference on Advanced Visual Interfaces {(AVI} 2024), Arenzano, Italy, June 4, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3685}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3685/short12.pdf}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avi/AmirkhaniRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/AmirkhaniA0S24, author = {Sima Amirkhani and Fatemeh Alizadeh and Dave Randall and Gunnar Stevens}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Beyond Dollars: Unveiling the Deeper Layers of Online Romance Scams Introducing "Body Scam"}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {57:1--57:6}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651004}, doi = {10.1145/3613905.3651004}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/AmirkhaniA0S24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JahnE0BNMW24, author = {Leonie Jahn and Philip Engelbutzeder and Dave W. Randall and Yannick Bollmann and Vasilis Ntouros and Lea Katharina Michel and Volker Wulf}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {In Between Users and Developers: Serendipitous Connections and Intermediaries in Volunteer-Driven Open-Source Software Development}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {924:1--924:15}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642541}, doi = {10.1145/3613904.3642541}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JahnE0BNMW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/RullerAAESRBBW24, author = {Sarah R{\"{u}}ller and Konstantin Aal and Norah Abokhodair and Houda Elmimouni and Yarden Skop and Dave W. Randall and Nina Boulus{-}R{\o}dje and Alan Borning and Volker Wulf}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Ethnography at the Edge: Exploring Research Dynamics in Crisis and Conflict Areas}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {578:1--578:4}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3643982}, doi = {10.1145/3613905.3643982}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/RullerAAESRBBW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SpittlePTITJQSW24, author = {Becky Spittle and Payod Panda and Lev Tankelevitch and Kori Inkpen and John Tang and Sasa Junuzovic and Qianqian Qi and Pat Sweeney and Andrew D. Wilson and William A. S. Buxton and Abigail Sellen and Sean Rintel}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Comparing the Agency of Hybrid Meeting Remote Users in 2D and 3D Interfaces of the Hybridge System}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {82:1--82:12}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651103}, doi = {10.1145/3613905.3651103}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SpittlePTITJQSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chiir/00010CDGK24, author = {William Jones and Rob Capra and Mary Czerwinski and Jesse David Dinneen and Jacek Gwizdka and Unmil Karadkar}, editor = {Paul D. Clough and Morgan Harvey and Frank Hopfgartner}, title = {{PIM} 2024: The Information We Need, When We Need It...: As We Get Ever Closer, Is this Ideal Still Ideal?}, booktitle = {Proceedings of the 2024 {ACM} {SIGIR} Conference on Human Information Interaction and Retrieval, {CHIIR} 2024, Sheffield, United Kingdom, March 10-14, 2024}, pages = {438--440}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627508.3638333}, doi = {10.1145/3627508.3638333}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chiir/00010CDGK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cig/GordonKHL24, author = {Pete Gordon and William Kavanagh and Thomas Howson and David LaVallee}, title = {Assessing player performance in tactical {FPS} games: {A} proposal for an xGoals-inspired model}, booktitle = {{IEEE} Conference on Games, CoG 2024, Milan, Italy, August 5-8, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CoG60054.2024.10645546}, doi = {10.1109/COG60054.2024.10645546}, timestamp = {Wed, 11 Sep 2024 11:31:52 +0200}, biburl = {https://dblp.org/rec/conf/cig/GordonKHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/DoukhanMPSD24, author = {David Doukhan and Christine Maertens and William Le Personnic and Ludovic Speroni and R{\'{e}}da Dehak}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {InaGVAD : {A} Challenging French {TV} and Radio Corpus Annotated for Speech Activity Detection and Speaker Gender Segmentation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {8963--8974}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.785}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/DoukhanMPSD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/GanttBACWDY24, author = {William Gantt and Shabnam Behzad and Hannah Youngeun An and Yunmo Chen and Aaron Steven White and Benjamin Van Durme and Mahsa Yarmohammadi}, editor = {Yvette Graham and Matthew Purver}, title = {MultiMUC: Multilingual Template Filling on {MUC-4}}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024 - Volume 1: Long Papers, St. Julian's, Malta, March 17-22, 2024}, pages = {349--368}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-long.21}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/GanttBACWDY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurogp/LangdonC24, author = {William B. Langdon and David Clark}, editor = {Mario Giacobini and Bing Xue and Luca Manzoni}, title = {Genetic Improvement of Last Level Cache}, booktitle = {Genetic Programming - 27th European Conference, EuroGP 2024, Held as Part of EvoStar 2024, Aberystwyth, UK, April 3-5, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14631}, pages = {209--226}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-56957-9\_13}, doi = {10.1007/978-3-031-56957-9\_13}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurogp/LangdonC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/WehnertFPLS24, author = {Sabine Wehnert and Manuel Fiorelli and Davide Picca and Ernesto William De Luca and Armando Stellato}, title = {LIRAI'24: 2nd Workshop on Legal Information Retrieval meets Artificial Intelligence}, booktitle = {Proceedings of the 35th {ACM} Conference on Hypertext and Social Media, {HT} 2024, Poznan, Poland, September 10-13, 2024}, pages = {390--392}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3648188.3675120}, doi = {10.1145/3648188.3675120}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ht/WehnertFPLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SinghBSC24, author = {Maninderpal Singh and William Bjorndahl and Amritpal Singh and Joseph Camp}, title = {Towards a Regulation Compliant Crowdsourcing Mechanism in {XG} Through Multichain-Blockchain}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} 2024 Workshops, Denver, CO, USA, June 9-13, 2024}, pages = {1437--1442}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCWorkshops59551.2024.10615849}, doi = {10.1109/ICCWORKSHOPS59551.2024.10615849}, timestamp = {Wed, 21 Aug 2024 14:57:07 +0200}, biburl = {https://dblp.org/rec/conf/icc/SinghBSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/WilliamsLTCPM24, author = {Jeremy J. Williams and Felix Liu and David Tskhakaya and Stefan Costea and Ales Podolnik and Stefano Markidis}, editor = {Leonardo Franco and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Optimizing BIT1, a Particle-in-Cell Monte Carlo Code, with OpenMP/OpenACC and {GPU} Acceleration}, booktitle = {Computational Science - {ICCS} 2024 - 24th International Conference, Malaga, Spain, July 2-4, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14832}, pages = {316--330}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-63749-0\_22}, doi = {10.1007/978-3-031-63749-0\_22}, timestamp = {Wed, 10 Jul 2024 20:46:39 +0200}, biburl = {https://dblp.org/rec/conf/iccS/WilliamsLTCPM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbr/MolineauxWFMLAKRRMSGKTM24, author = {Matthew Molineaux and Rosina O. Weber and Michael W. Floyd and David H. M{\'{e}}nager and Othalia Larue and Ursula Addison and Ray Kulhanek and Noah Reifsnyder and Christopher B. Rauch and Mallika Mainali and Anik Sen and Prateek Goel and Justin Karneeb and J. T. Turner and John Meyer}, editor = {Juan A. Recio{-}Garc{\'{\i}}a and Mauricio Gabriel Orozco{-}del{-}Castillo and Derek Bridge}, title = {Aligning to Human Decision-Makers in Military Medical Triage}, booktitle = {Case-Based Reasoning Research and Development - 32nd International Conference, {ICCBR} 2024, Merida, Mexico, July 1-4, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14775}, pages = {371--387}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-63646-2\_24}, doi = {10.1007/978-3-031-63646-2\_24}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccbr/MolineauxWFMLAKRRMSGKTM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbr/SenMRAFGKKLMMTW24, author = {Anik Sen and Mallika Mainali and Christopher B. Rauch and Ursula Addison and Michael W. Floyd and Prateek Goel and Justin Karneeb and Ray Kulhanek and Othalia Larue and David H. M{\'{e}}nager and Matthew Molineaux and J. T. Turner and Rosina O. Weber}, editor = {Juan A. Recio{-}Garc{\'{\i}}a and Mauricio Gabriel Orozco{-}del{-}Castillo and Derek Bridge}, title = {Counterfactual-Based Synthetic Case Generation}, booktitle = {Case-Based Reasoning Research and Development - 32nd International Conference, {ICCBR} 2024, Merida, Mexico, July 1-4, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14775}, pages = {388--403}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-63646-2\_25}, doi = {10.1007/978-3-031-63646-2\_25}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccbr/SenMRAFGKKLMMTW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HuangL0YZJ024, author = {Yinan Huang and William Lu and Joshua Robinson and Yu Yang and Muhan Zhang and Stefanie Jegelka and Pan Li}, title = {On the Stability of Expressive Positional Encodings for Graphs}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=xAqcJ9XoTf}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HuangL0YZJ024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/MuldrewHZB24, author = {William Muldrew and Peter Hayes and Mingtian Zhang and David Barber}, title = {Active Preference Learning for Large Language Models}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=CTgEV6qgUy}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/MuldrewHZB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SwartworthW24, author = {William J. Swartworth and David P. Woodruff}, title = {Fast Sampling-Based Sketches for Tensors}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=tMkPL7Tiul}, timestamp = {Wed, 04 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SwartworthW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/BlackCCDHHJLRSS24, author = {Richard Black and Marco Caballero and Andromachi Chatzieleftheriou and Tim Deegan and Philip Heard and Freddie Hong and Russell Joyce and Sergey Legtchenko and Antony I. T. Rowstron and Adam Smith and David Sweeney and Hugh Williams}, title = {{RASCAL:} {A} Scalable, High-redundancy Robot for Automated Storage and Retrieval Systems}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {8868--8874}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610551}, doi = {10.1109/ICRA57147.2024.10610551}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/BlackCCDHHJLRSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WilliamsG0M24, author = {David S. W. Williams and Matthew Gadd and Paul Newman and Daniele De Martini}, title = {Masked {\(\gamma\)}-SSL: Learning Uncertainty Estimation via Masked Image Modeling}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {16192--16198}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610398}, doi = {10.1109/ICRA57147.2024.10610398}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WilliamsG0M24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/WilliamsCKMPPS24, author = {David Williams and James Callan and Serkan Kirbas and Sergey Mechtaev and Justyna Petke and Thomas Prideaux{-}Ghee and Federica Sarro}, title = {User-Centric Deployment of Automated Program Repair at Bloomberg}, booktitle = {Proceedings of the 46th International Conference on Software Engineering: Software Engineering in Practice, {ICSE-SEIP} 2024, Lisbon, Portugal, April 14-20, 2024}, pages = {81--91}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3639477.3639756}, doi = {10.1145/3639477.3639756}, timestamp = {Mon, 24 Jun 2024 15:20:25 +0200}, biburl = {https://dblp.org/rec/conf/icse/WilliamsCKMPPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/JinKW24, author = {Billy Jin and Nathan Klein and David P. Williamson}, editor = {Jens Vygen and Jaroslaw Byrka}, title = {A Lower Bound for the Max Entropy Algorithm for {TSP}}, booktitle = {Integer Programming and Combinatorial Optimization - 25th International Conference, {IPCO} 2024, Wroc{\l}aw, Poland, July 3-5, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14679}, pages = {238--251}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-59835-7\_18}, doi = {10.1007/978-3-031-59835-7\_18}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipco/JinKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/BorchersWKASA24, author = {Conrad Borchers and Yeyu Wang and Shamya Karumbaiah and Muhammad Ashiq and David Williamson Shaffer and Vincent Aleven}, title = {Revealing Networks: Understanding Effective Teacher Practices in AI-Supported Classrooms using Transmodal Ordered Network Analysis}, booktitle = {Proceedings of the 14th Learning Analytics and Knowledge Conference, {LAK} 2024, Kyoto, Japan, March 18-22, 2024}, pages = {371--381}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3636555.3636892}, doi = {10.1145/3636555.3636892}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lak/BorchersWKASA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmve/RogglaSW00C24, author = {Thomas R{\"{o}}ggla and David A. Shamma and Julie R. Williamson and Irene Viola and Silvia Rossi and Pablo C{\'{e}}sar}, title = {A Platform for Collecting User Behaviour Data during Social {VR} Experiments Using Mozilla Hubs}, booktitle = {Proceedings of the 16th International Workshop on Immersive Mixed and Virtual Environment Systems, {MMVE} 2024, Bari, Italy, April 15-18, 2024}, pages = {41--44}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3652212.3652225}, doi = {10.1145/3652212.3652225}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmve/RogglaSW00C24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/Vashishtha0GDW24, author = {Siddharth Vashishtha and Alexander Martin and William Gantt and Benjamin Van Durme and Aaron Steven White}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {FAMuS: Frames Across Multiple Sources}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {8250--8273}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.457}, doi = {10.18653/V1/2024.NAACL-LONG.457}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/Vashishtha0GDW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/Chow0WHBZQMSZPW24, author = {Mike Chow and Yang Wang and William Wang and Ayichew Hailu and Rohan Bopardikar and Bin Zhang and Jialiang Qu and David Meisner and Santosh Sonawane and Yunqi Zhang and Rodrigo Paim and Mack Ward and Ivor Huang and Matt McNally and Daniel Hodges and Zoltan Farkas and Caner Gocmen and Elvis Huang and Chunqiang Tang}, editor = {Ada Gavrilovska and Douglas B. Terry}, title = {ServiceLab: Preventing Tiny Performance Regressions at Hyperscale through Pre-Production Testing}, booktitle = {18th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2024, Santa Clara, CA, USA, July 10-12, 2024}, pages = {545--562}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/osdi24/presentation/chow}, timestamp = {Tue, 16 Jul 2024 16:41:59 +0200}, biburl = {https://dblp.org/rec/conf/osdi/Chow0WHBZQMSZPW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/GiordanoRDGMMRUMS24, author = {Andrea Giordano and Alessio De Rango and Donato D'Ambrosio and Marisa Gil and Davide Macr{\`{\i}} and Xavier Martorell and Rocco Rongo and Gladys Utrera and Giuseppe Mendicino and William Spataro}, editor = {Adriana E. Chis and Horacio Gonz{\'{a}}lez{-}V{\'{e}}lez}, title = {Cellular Automata on a Multi-GPU Architecture: {A} Technical Overview}, booktitle = {32nd Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2024, Dublin, Ireland, March 20-22, 2024}, pages = {253--259}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/PDP62718.2024.00042}, doi = {10.1109/PDP62718.2024.00042}, timestamp = {Mon, 22 Apr 2024 21:24:59 +0200}, biburl = {https://dblp.org/rec/conf/pdp/GiordanoRDGMMRUMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robosoft/FosterHallHA24, author = {William Foster{-}Hall and David J. Harvey and Rini Akmeliawati}, title = {Soft Robotics for Space Applications: Towards a Family of Locomotion Platforms}, booktitle = {7th {IEEE} International Conference on Soft Robotics, RoboSoft 2024, San Diego, CA, USA, April 14-17, 2024}, pages = {698--704}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/RoboSoft60065.2024.10521915}, doi = {10.1109/ROBOSOFT60065.2024.10521915}, timestamp = {Mon, 03 Jun 2024 20:37:01 +0200}, biburl = {https://dblp.org/rec/conf/robosoft/FosterHallHA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/SakzadPSBSLMSB24, author = {Amin Sakzad and David J. Paul and Judithe Sheard and Ljiljana Brankovic and Matthew P. Skerritt and Nan Li and Sepehr Minagar and Simon and William Billingsley}, editor = {Ben Stephenson and Jeffrey A. Stone and Lina Battestilli and Samuel A. Rebelsky and Libby Shoop}, title = {Diverging assessments: What, Why, and Experiences}, booktitle = {Proceedings of the 55th {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2024, Volume 1, Portland, OR, USA, March 20-23, 2024}, pages = {1161--1167}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626252.3630832}, doi = {10.1145/3626252.3630832}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/SakzadPSBSLMSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KalmukGBSRRMCHE24, author = {David Kalmuk and Christian Garcia{-}Arellano and Ronald Barber and Richard Sidle and Kostas Rakopoulos and Hamdi Roumani and William Minor and Alexander Cheung and Robert C. Hooper and Matthew Emmerton and Zach Hoggard and Scott Walkty and Patrick P{\'{e}}rez and Aleksandrs Santars and Michael Chen and Matthew Olan and Daniel C. Zilio and Imran Sayyid and Humphrey Li and Ketan Rampurkar and Krishna K. Ramachandran and Yiren Shen}, editor = {Pablo Barcel{\'{o}} and Nayat S{\'{a}}nchez{-}Pi and Alexandra Meliou and S. Sudarshan}, title = {Native Cloud Object Storage in Db2 Warehouse: Implementing a Fast and Cost-Efficient Cloud Storage Architecture}, booktitle = {Companion of the 2024 International Conference on Management of Data, {SIGMOD/PODS} 2024, Santiago AA, Chile, June 9-15, 2024}, pages = {188--200}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626246.3653393}, doi = {10.1145/3626246.3653393}, timestamp = {Wed, 24 Jul 2024 21:43:30 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/KalmukGBSRRMCHE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stoc/GhadiriLPSWY24, author = {Mehrdad Ghadiri and Yin Tat Lee and Swati Padmanabhan and William Swartworth and David P. Woodruff and Guanghao Ye}, editor = {Bojan Mohar and Igor Shinkar and Ryan O'Donnell}, title = {Improving the Bit Complexity of Communication for Distributed Convex Optimization}, booktitle = {Proceedings of the 56th Annual {ACM} Symposium on Theory of Computing, {STOC} 2024, Vancouver, BC, Canada, June 24-28, 2024}, pages = {1130--1140}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3618260.3649787}, doi = {10.1145/3618260.3649787}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/stoc/GhadiriLPSWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visigrapp/LingFWG24, author = {Ye Ling and David M. Frohlich and Tom H. Williamson and Jean{-}Yves Guillemaut}, editor = {Petia Radeva and Antonino Furnari and Kadi Bouatouch and A. Augusto de Sousa}, title = {Symmetry Completion Test: {A} Novel Approach for Visual Distortion Mapping and Correction Using Symmetry Constraints}, booktitle = {Proceedings of the 19th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2024, Volume 4: VISAPP, Rome, Italy, February 27-29, 2024}, pages = {414--425}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012378000003660}, doi = {10.5220/0012378000003660}, timestamp = {Mon, 08 Apr 2024 14:22:28 +0200}, biburl = {https://dblp.org/rec/conf/visigrapp/LingFWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/ByunMRABBBG0HJ024, author = {Chansup Byun and Julie Mullen and Albert Iwersen Reuther and William Arcand and William Bergeron and David Bestor and Daniel Burrill and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Peter Michaleas and Guillermo Morales and Andrew Prout and Antonio Rosa and Charles Yee and Jeremy Kepner and Lauren Milechin}, editor = {Shawn T. Brown and J. Barr von Oehsen and Eric Adams and Eva Siegmann}, title = {LLload: Simplifying Real-Time Job Monitoring for {HPC} Users}, booktitle = {Practice and Experience in Advanced Research Computing 2024: Human Powered Computing, {PEARC} 2024, Providence, RI, USA, July 21-25, 2024}, pages = {65:1--65:4}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626203.3670565}, doi = {10.1145/3626203.3670565}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xsede/ByunMRABBBG0HJ024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sbsi/2024, editor = {Ronney Moreira de Castro and Jos{\'{e}} Maria N. David and Johnny Cardoso Marques and Tadeu Moreira de Classe and Victor Str{\"{o}}ele and Williamson Alison Freitas Silva}, title = {Proceedings of the 20th Brazilian Symposium on Information Systems, {SBSI} 2024, Juiz de Fora, Brazil, May 20-23, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3658271}, doi = {10.1145/3658271}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbsi/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11567, author = {Hang Woon Lee and David O. Williams Rogers and Brycen D. Pearl and Hao Chen and Koki Ho}, title = {Deterministic Multi-stage Constellation Reconfiguration Using Integer Linear Programing and Sequential Decision-Making Methods}, journal = {CoRR}, volume = {abs/2401.11567}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11567}, doi = {10.48550/ARXIV.2401.11567}, eprinttype = {arXiv}, eprint = {2401.11567}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11567.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-13903, author = {Callum Bennie and Bridget Casey and C{\'{e}}cile Paris and Dana Kulic and Brendan Tidd and Nicholas Lawrance and Alex Pitt and Fletcher Talbot and Jason Williams and David Howard and Pavan Sikka and Hashini Senaratne}, title = {Alternative Interfaces for Human-initiated Natural Language Communication and Robot-initiated Haptic Feedback: Towards Better Situational Awareness in Human-Robot Collaboration}, journal = {CoRR}, volume = {abs/2401.13903}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.13903}, doi = {10.48550/ARXIV.2401.13903}, eprinttype = {arXiv}, eprint = {2401.13903}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-13903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15182, author = {David Y. J. Kim and Prerna Ravi and Randi Williams and Daeun Yoo}, title = {App Planner: Utilizing Generative {AI} for Design Thinking in {K-12} Mobile App Development Education}, journal = {CoRR}, volume = {abs/2401.15182}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15182}, doi = {10.48550/ARXIV.2401.15182}, eprinttype = {arXiv}, eprint = {2401.15182}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15182.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15222, author = {Abdullateef I. Almudaifer and Tobias O'Leary and Whitney L. Covington and JaMor Hairston and Zachary Deitch and Ankit Anand and Caleb M. Carroll and Estera Crisan and William Bradford and Lauren Walter and Ellen F. Eaton and Sue S. Feldman and John D. Osborne}, title = {Transfer Learning for the Prediction of Entity Modifiers in Clinical Text: Application to Opioid Use Disorder Case Detection}, journal = {CoRR}, volume = {abs/2401.15222}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15222}, doi = {10.48550/ARXIV.2401.15222}, eprinttype = {arXiv}, eprint = {2401.15222}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-16209, author = {William Gantt and Shabnam Behzad and Hannah Youngeun An and Yunmo Chen and Aaron Steven White and Benjamin Van Durme and Mahsa Yarmohammadi}, title = {MultiMUC: Multilingual Template Filling on {MUC-4}}, journal = {CoRR}, volume = {abs/2401.16209}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.16209}, doi = {10.48550/ARXIV.2401.16209}, eprinttype = {arXiv}, eprint = {2401.16209}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-16209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00838, author = {Dirk Groeneveld and Iz Beltagy and Pete Walsh and Akshita Bhagia and Rodney Kinney and Oyvind Tafjord and Ananya Harsh Jha and Hamish Ivison and Ian Magnusson and Yizhong Wang and Shane Arora and David Atkinson and Russell Authur and Khyathi Raghavi Chandu and Arman Cohan and Jennifer Dumas and Yanai Elazar and Yuling Gu and Jack Hessel and Tushar Khot and William Merrill and Jacob Morrison and Niklas Muennighoff and Aakanksha Naik and Crystal Nam and Matthew E. Peters and Valentina Pyatkin and Abhilasha Ravichander and Dustin Schwenk and Saurabh Shah and Will Smith and Emma Strubell and Nishant Subramani and Mitchell Wortsman and Pradeep Dasigi and Nathan Lambert and Kyle Richardson and Luke Zettlemoyer and Jesse Dodge and Kyle Lo and Luca Soldaini and Noah A. Smith and Hannaneh Hajishirzi}, title = {OLMo: Accelerating the Science of Language Models}, journal = {CoRR}, volume = {abs/2402.00838}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00838}, doi = {10.48550/ARXIV.2402.00838}, eprinttype = {arXiv}, eprint = {2402.00838}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00838.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03414, author = {Rugved Chavan and Gabriel Hyman and Zoraiz Qureshi and Nivetha Jayakumar and William Terrell and Stuart Berr and David Schiff and Megan Wardius and Nathan Fountain and Thomas Eluvathingal Muttikkal and Mark Quigg and Miaomiao Zhang and Bijoy Kundu}, title = {An end-to-end deep learning pipeline to derive blood input with partial volume corrections for automated parametric brain {PET} mapping}, journal = {CoRR}, volume = {abs/2402.03414}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03414}, doi = {10.48550/ARXIV.2402.03414}, eprinttype = {arXiv}, eprint = {2402.03414}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08114, author = {William Muldrew and Peter Hayes and Mingtian Zhang and David Barber}, title = {Active Preference Learning for Large Language Models}, journal = {CoRR}, volume = {abs/2402.08114}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08114}, doi = {10.48550/ARXIV.2402.08114}, eprinttype = {arXiv}, eprint = {2402.08114}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08147, author = {David Brandfonbrener and Sibi Raja and Tarun Prasad and Chloe Loughridge and Jianang Yang and Simon Henniger and William E. Byrd and Robert Zinkov and Nada Amin}, title = {Verified Multi-Step Synthesis using Large Language Models and Monte Carlo Tree Search}, journal = {CoRR}, volume = {abs/2402.08147}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08147}, doi = {10.48550/ARXIV.2402.08147}, eprinttype = {arXiv}, eprint = {2402.08147}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09341, author = {Malika Sanhinova and Nazim Haouchine and Steven D. Pieper and William M. Wells III and Tracy A. Balboni and Alexander Spektor and Mai Anh Huynh and Jeffrey P. Guenette and Bryan Czajkowski and Sarah Caplan and Patrick Doyle and Heejoo Kang and David B. Hackney and Ron N. Alkalay}, title = {Registration of Longitudinal Spine CTs for Monitoring Lesion Growth}, journal = {CoRR}, volume = {abs/2402.09341}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09341}, doi = {10.48550/ARXIV.2402.09341}, eprinttype = {arXiv}, eprint = {2402.09341}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09341.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10224, author = {Kenji Brameld and Germ{\'{a}}n Castro and Claude Sammut and Mark Roberts and David W. Aha}, title = {Human-Centric Goal Reasoning with Ripple-Down Rules}, journal = {CoRR}, volume = {abs/2402.10224}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10224}, doi = {10.48550/ARXIV.2402.10224}, eprinttype = {arXiv}, eprint = {2402.10224}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10224.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13005, author = {Jonathan Dan and Una Pale and Alireza Amirshahi and William Cappelletti and Thorir Mar Ingolfsson and Xiaying Wang and Andrea Cossettini and Adriano Bernini and Luca Benini and S{\'{a}}ndor Beniczky and David Atienza and Philippe Ryvlin}, title = {SzCORE: {A} Seizure Community Open-source Research Evaluation framework for the validation of EEG-based automated seizure detection algorithms}, journal = {CoRR}, volume = {abs/2402.13005}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13005}, doi = {10.48550/ARXIV.2402.13005}, eprinttype = {arXiv}, eprint = {2402.13005}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17622, author = {David S. W. Williams and Matthew Gadd and Paul Newman and Daniele De Martini}, title = {Masked Gamma-SSL: Learning Uncertainty Estimation via Masked Image Modeling}, journal = {CoRR}, volume = {abs/2402.17622}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17622}, doi = {10.48550/ARXIV.2402.17622}, eprinttype = {arXiv}, eprint = {2402.17622}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17622.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-17653, author = {David S. W. Williams and Daniele De Martini and Matthew Gadd and Paul Newman}, title = {Mitigating Distributional Shift in Semantic Segmentation via Uncertainty Estimation from Unlabelled Data}, journal = {CoRR}, volume = {abs/2402.17653}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.17653}, doi = {10.48550/ARXIV.2402.17653}, eprinttype = {arXiv}, eprint = {2402.17653}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-17653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18660, author = {Edward A. Miller and David M. Williams}, title = {Versatile mixed methods for compressible flows}, journal = {CoRR}, volume = {abs/2402.18660}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18660}, doi = {10.48550/ARXIV.2402.18660}, eprinttype = {arXiv}, eprint = {2402.18660}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18660.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-02558, author = {Brenda Y. Miao and Irene Y. Chen and Christopher YK Williams and Jays{\'{o}}n M. Davidson and Augusto Garcia{-}Agundez and Harry Sun and Travis Zack and Atul J. Butte and Madhumita Sushil}, title = {Updating the Minimum Information about CLinical Artificial Intelligence {(MI-CLAIM)} checklist for generative modeling research}, journal = {CoRR}, volume = {abs/2403.02558}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.02558}, doi = {10.48550/ARXIV.2403.02558}, eprinttype = {arXiv}, eprint = {2403.02558}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-02558.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03218, author = {Nathaniel Li and Alexander Pan and Anjali Gopal and Summer Yue and Daniel Berrios and Alice Gatti and Justin D. Li and Ann{-}Kathrin Dombrowski and Shashwat Goel and Long Phan and Gabriel Mukobi and Nathan Helm{-}Burger and Rassin Lababidi and Lennart Justen and Andrew B. Liu and Michael Chen and Isabelle Barrass and Oliver Zhang and Xiaoyuan Zhu and Rishub Tamirisa and Bhrugu Bharathi and Adam Khoja and Zhenqi Zhao and Ariel Herbert{-}Voss and Cort B. Breuer and Andy Zou and Mantas Mazeika and Zifan Wang and Palash Oswal and Weiran Liu and Adam A. Hunt and Justin Tienken{-}Harder and Kevin Y. Shih and Kemper Talley and John Guan and Russell Kaplan and Ian Steneker and David Campbell and Brad Jokubaitis and Alex Levinson and Jean Wang and William Qian and Kallol Krishna Karmakar and Steven Basart and Stephen Fitz and Mindy Levine and Ponnurangam Kumaraguru and Uday Kiran Tupakula and Vijay Varadharajan and Yan Shoshitaishvili and Jimmy Ba and Kevin M. Esvelt and Alexandr Wang and Dan Hendrycks}, title = {The {WMDP} Benchmark: Measuring and Reducing Malicious Use With Unlearning}, journal = {CoRR}, volume = {abs/2403.03218}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03218}, doi = {10.48550/ARXIV.2403.03218}, eprinttype = {arXiv}, eprint = {2403.03218}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03218.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07925, author = {David C. Williams and Neil Inala}, title = {Physics-informed generative model for drug-like molecule conformers}, journal = {CoRR}, volume = {abs/2403.07925}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07925}, doi = {10.48550/ARXIV.2403.07925}, eprinttype = {arXiv}, eprint = {2403.07925}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07925.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10748, author = {Christophe Bonneville and Xiaolong He and April Tran and Jun Sur Richard Park and William Fries and Daniel A. Messenger and Siu Wun Cheung and Yeonjong Shin and David M. Bortz and Debojyoti Ghosh and Jiun{-}Shyan Chen and Jonathan L. Belof and Youngsoo Choi}, title = {A Comprehensive Review of Latent Space Dynamics Identification Algorithms for Intrusive and Non-Intrusive Reduced-Order-Modeling}, journal = {CoRR}, volume = {abs/2403.10748}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10748}, doi = {10.48550/ARXIV.2403.10748}, eprinttype = {arXiv}, eprint = {2403.10748}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10748.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-16877, author = {Damien LaRocque and William Guimont{-}Martin and David{-}Alexandre Duclos and Philippe Gigu{\`{e}}re and Fran{\c{c}}ois Pomerleau}, title = {Proprioception Is All You Need: Terrain Classification for Boreal Forests}, journal = {CoRR}, volume = {abs/2403.16877}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.16877}, doi = {10.48550/ARXIV.2403.16877}, eprinttype = {arXiv}, eprint = {2403.16877}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-16877.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-19146, author = {Mehrdad Ghadiri and Yin Tat Lee and Swati Padmanabhan and William Swartworth and David P. Woodruff and Guanghao Ye}, title = {Improving the Bit Complexity of Communication for Distributed Convex Optimization}, journal = {CoRR}, volume = {abs/2403.19146}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.19146}, doi = {10.48550/ARXIV.2403.19146}, eprinttype = {arXiv}, eprint = {2403.19146}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-19146.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08417, author = {William Fleshman and Aleem Khan and Marc Marone and Benjamin Van Durme}, title = {AdapterSwap: Continuous Training of LLMs with Data Removal and Access-Control Guarantees}, journal = {CoRR}, volume = {abs/2404.08417}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08417}, doi = {10.48550/ARXIV.2404.08417}, eprinttype = {arXiv}, eprint = {2404.08417}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08417.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10179, author = {SIMA Team and Maria Abi Raad and Arun Ahuja and Catarina Barros and Frederic Besse and Andrew Bolt and Adrian Bolton and Bethanie Brownfield and Gavin Buttimore and Max Cant and Sarah Chakera and Stephanie C. Y. Chan and Jeff Clune and Adrian Collister and Vikki Copeman and Alex Cullum and Ishita Dasgupta and Dario de Cesare and Julia Di Trapani and Yani Donchev and Emma Dunleavy and Martin Engelcke and Ryan Faulkner and Frankie Garcia and Charles Gbadamosi and Zhitao Gong and Lucy Gonzalez and Kshitij Gupta and Karol Gregor and Arne Olav Hallingstad and Tim Harley and Sam Haves and Felix Hill and Ed Hirst and Drew A. Hudson and Jony Hudson and Steph Hughes{-}Fitt and Danilo J. Rezende and Mimi Jasarevic and Laura Kampis and Nan Rosemary Ke and Thomas Keck and Junkyung Kim and Oscar Knagg and Kavya Kopparapu and Andrew K. Lampinen and Shane Legg and Alexander Lerchner and Marjorie Limont and Yulan Liu and Maria Loks{-}Thompson and Joseph Marino and Kathryn Martin Cussons and Loic Matthey and Siobhan Mcloughlin and Piermaria Mendolicchio and Hamza Merzic and Anna Mitenkova and Alexandre Moufarek and Val{\'{e}}ria Oliveira and Yanko Gitahy Oliveira and Hannah Openshaw and Renke Pan and Aneesh Pappu and Alex Platonov and Ollie Purkiss and David P. Reichert and John Reid and Pierre Harvey Richemond and Tyson Roberts and Giles Ruscoe and Jaume Sanchez Elias and Tasha Sandars and Daniel P. Sawyer and Tim Scholtes and Guy Simmons and Daniel Slater and Hubert Soyer and Heiko Strathmann and Peter Stys and Allison C. Tam and Denis Teplyashin and Tayfun Terzi and Davide Vercelli and Bojan Vujatovic and Marcus Wainwright and Jane X. Wang and Zhengdong Wang and Daan Wierstra and Duncan Williams and Nathaniel Wong and Sarah York and Nick Young}, title = {Scaling Instructable Agents Across Many Simulated Worlds}, journal = {CoRR}, volume = {abs/2404.10179}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10179}, doi = {10.48550/ARXIV.2404.10179}, eprinttype = {arXiv}, eprint = {2404.10179}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10179.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10270, author = {Jeremy J. Williams and Felix Liu and David Tskhakaya and Stefan Costea and Ales Podolnik and Stefano Markidis}, title = {Optimizing BIT1, a Particle-in-Cell Monte Carlo Code, with OpenMP/OpenACC and {GPU} Acceleration}, journal = {CoRR}, volume = {abs/2404.10270}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10270}, doi = {10.48550/ARXIV.2404.10270}, eprinttype = {arXiv}, eprint = {2404.10270}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-12241, author = {Bertie Vidgen and Adarsh Agrawal and Ahmed M. Ahmed and Victor Akinwande and Namir Al{-}Nuaimi and Najla Alfaraj and Elie Alhajjar and Lora Aroyo and Trupti Bavalatti and Borhane Blili{-}Hamelin and Kurt D. Bollacker and Rishi Bomassani and Marisa Ferrara Boston and Sim{\'{e}}on Campos and Kal Chakra and Canyu Chen and Cody Coleman and Zacharie Delpierre Coudert and Leon Derczynski and Debojyoti Dutta and Ian Eisenberg and James Ezick and Heather Frase and Brian Fuller and Ram Gandikota and Agasthya Gangavarapu and Ananya Gangavarapu and James Gealy and Rajat Ghosh and James Goel and Usman Gohar and Subhra S. Goswami and Scott A. Hale and Wiebke Hutiri and Joseph Marvin Imperial and Surgan Jandial and Nick Judd and Felix Juefei{-}Xu and Foutse Khomh and Bhavya Kailkhura and Hannah Rose Kirk and Kevin Klyman and Chris Knotz and Michael Kuchnik and Shachi H. Kumar and Chris Lengerich and Bo Li and Zeyi Liao and Eileen Peters Long and Victor Lu and Yifan Mai and Priyanka Mary Mammen and Kelvin Manyeki and Sean McGregor and Virendra Mehta and Shafee Mohammed and Emanuel Moss and Lama Nachman and Dinesh Jinenhally Naganna and Amin Nikanjam and Besmira Nushi and Luis Oala and Iftach Orr and Alicia Parrish and Cigdem Patlak and William Pietri and Forough Poursabzi{-}Sangdeh and Eleonora Presani and Fabrizio Puletti and Paul R{\"{o}}ttger and Saurav Sahay and Tim Santos and Nino Scherrer and Alice Schoenauer Sebag and Patrick Schramowski and Abolfazl Shahbazi and Vin Sharma and Xudong Shen and Vamsi Sistla and Leonard Tang and Davide Testuggine and Vithursan Thangarasa and Elizabeth Anne Watkins and Rebecca Weiss and Chris Welty and Tyler Wilbers and Adina Williams and Carole{-}Jean Wu and Poonam Yadav and Xianjun Yang and Yi Zeng and Wenhui Zhang and Fedor Zhdanov and Jiacheng Zhu and Percy Liang and Peter Mattson and Joaquin Vanschoren}, title = {Introducing v0.5 of the {AI} Safety Benchmark from MLCommons}, journal = {CoRR}, volume = {abs/2404.12241}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.12241}, doi = {10.48550/ARXIV.2404.12241}, eprinttype = {arXiv}, eprint = {2404.12241}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-12241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13165, author = {Morteza Behrooz and Yuandong Tian and William Ngan and Yael Yungster and Justin Wong and David Zax}, title = {Holding the Line: {A} Study of Writers' Attitudes on Co-creativity with {AI}}, journal = {CoRR}, volume = {abs/2404.13165}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13165}, doi = {10.48550/ARXIV.2404.13165}, eprinttype = {arXiv}, eprint = {2404.13165}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13165.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-17102, author = {Trenton J. Gobel and David M. Williams}, title = {An explicit construction of optimized interpolation points on the 4-simplex}, journal = {CoRR}, volume = {abs/2404.17102}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.17102}, doi = {10.48550/ARXIV.2404.17102}, eprinttype = {arXiv}, eprint = {2404.17102}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-17102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-00264, author = {David R. Treadwell IV and Derek Jacoby and William Parkinson and Bruce Maxwell and Yvonne Coady}, title = {Using Texture to Classify Forests Separately from Vegetation}, journal = {CoRR}, volume = {abs/2405.00264}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.00264}, doi = {10.48550/ARXIV.2405.00264}, eprinttype = {arXiv}, eprint = {2405.00264}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-00264.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-02576, author = {David Valencia and Henry Williams and Trevor Gee and Bruce A. MacDonald and Minas V. Liarokapis}, title = {{CTD4} - {A} Deep Continuous Distributional Actor-Critic Agent with a Kalman Fusion of Multiple Critics}, journal = {CoRR}, volume = {abs/2405.02576}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.02576}, doi = {10.48550/ARXIV.2405.02576}, eprinttype = {arXiv}, eprint = {2405.02576}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-02576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-08337, author = {Benjamin Sinclair and Lucy Vivash and Jasmine Moses and Miranda Lynch and William Pham and Karina Dorfman and Cassandra Marotta and Shaun Koh and Jacob Bunyamin and Ella Rowsthorn and Alex Jarema and Himashi Peiris and Zhaolin Chen and Sandy R. Shultz and David K. Wright and Dexiao Kong and Sharon L. Naismith and Terence J. O'Brien and Meng Law}, title = {Perivascular space Identification Nnunet for Generalised Usage {(PINGU)}}, journal = {CoRR}, volume = {abs/2405.08337}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.08337}, doi = {10.48550/ARXIV.2405.08337}, eprinttype = {arXiv}, eprint = {2405.08337}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-08337.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-08691, author = {Jan{-}Hendrik Ewers and Sarah Swinton and David Anderson and Euan William McGookin and Douglas G. Thomson}, title = {Enhancing Reinforcement Learning in Sensor Fusion: {A} Comparative Analysis of Cubature and Sampling-based Integration Methods for Rover Search Planning}, journal = {CoRR}, volume = {abs/2405.08691}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.08691}, doi = {10.48550/ARXIV.2405.08691}, eprinttype = {arXiv}, eprint = {2405.08691}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-08691.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-10254, author = {George Shaikovski and Adam Casson and Kristen Severson and Eric Zimmermann and Yi Kan Wang and Jeremy D. Kunz and Juan Retamero and Gerard Oakley and David S. Klimstra and Christopher Kanan and Matthew G. Hanna and Michal Zelechowski and Julian Viret and Neil A. Tenenholtz and James Brian Hall and Nicol{\`{o}} Fusi and Razik Yousfi and Peter Hamilton and William A. Moye and Eugene Vorontsov and Siqi Liu and Thomas J. Fuchs}, title = {{PRISM:} {A} Multi-Modal Generative Foundation Model for Slide-Level Histopathology}, journal = {CoRR}, volume = {abs/2405.10254}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.10254}, doi = {10.48550/ARXIV.2405.10254}, eprinttype = {arXiv}, eprint = {2405.10254}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-10254.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-11133, author = {Lavsen Dahal and Mobina Ghojogh Nejad and Dhrubajyoti Ghosh and Yubraj Bhandari and David Kim and Fong Chi Ho and Fakrul Islam Tushar and Sheng Luoa and Kyle J. Lafata and Ehsan Abadi and Ehsan Samei and Joseph Y. Lo and William Paul Segars}, title = {{XCAT-3.0:} {A} Comprehensive Library of Personalized Digital Twins Derived from {CT} Scans}, journal = {CoRR}, volume = {abs/2405.11133}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.11133}, doi = {10.48550/ARXIV.2405.11133}, eprinttype = {arXiv}, eprint = {2405.11133}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-11133.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-12790, author = {Sarah Swinton and Jan{-}Hendrik Ewers and Euan William McGookin and David Anderson and Douglas G. Thomson}, title = {A Novel Methodology for Autonomous Planetary Exploration Using Multi-Robot Teams}, journal = {CoRR}, volume = {abs/2405.12790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.12790}, doi = {10.48550/ARXIV.2405.12790}, eprinttype = {arXiv}, eprint = {2405.12790}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-12790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-14577, author = {Domenic Rosati and Jan Wehner and Kai Williams and Lukasz Bartoszcze and David Atanasov and Robie Gonzales and Subhabrata Majumdar and Carsten Maple and Hassan Sajjad and Frank Rudzicz}, title = {Representation noising effectively prevents harmful fine-tuning on LLMs}, journal = {CoRR}, volume = {abs/2405.14577}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.14577}, doi = {10.48550/ARXIV.2405.14577}, eprinttype = {arXiv}, eprint = {2405.14577}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-14577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-15007, author = {William Fleshman and Benjamin Van Durme}, title = {RE-Adapt: Reverse Engineered Adaptation of Large Language Models}, journal = {CoRR}, volume = {abs/2405.15007}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.15007}, doi = {10.48550/ARXIV.2405.15007}, eprinttype = {arXiv}, eprint = {2405.15007}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-15007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-20089, author = {David Stap and Eva Hasler and Bill Byrne and Christof Monz and Ke M. Tran}, title = {The Fine-Tuning Paradox: Boosting Translation Quality Without Sacrificing {LLM} Abilities}, journal = {CoRR}, volume = {abs/2405.20089}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.20089}, doi = {10.48550/ARXIV.2405.20089}, eprinttype = {arXiv}, eprint = {2405.20089}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-20089.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-21074, author = {Xiao Zhang and William Gao and Seemandhar Jain and Michael Maire and David A. Forsyth and Anand Bhattad}, title = {Latent Intrinsics Emerge from Training to Relight}, journal = {CoRR}, volume = {abs/2405.21074}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.21074}, doi = {10.48550/ARXIV.2405.21074}, eprinttype = {arXiv}, eprint = {2405.21074}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-21074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-04429, author = {David Doukhan and Christine Maertens and William Le Personnic and Ludovic Speroni and R{\'{e}}da Dehak}, title = {InaGVAD : a Challenging French {TV} and Radio Corpus Annotated for Speech Activity Detection and Speaker Gender Segmentation}, journal = {CoRR}, volume = {abs/2406.04429}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.04429}, doi = {10.48550/ARXIV.2406.04429}, eprinttype = {arXiv}, eprint = {2406.04429}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-04429.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06735, author = {William Swartworth and David P. Woodruff}, title = {Fast Sampling Based Sketches for Tensors}, journal = {CoRR}, volume = {abs/2406.06735}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06735}, doi = {10.48550/ARXIV.2406.06735}, eprinttype = {arXiv}, eprint = {2406.06735}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06735.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14764, author = {William Fleshman and Benjamin Van Durme}, title = {RE-AdaptIR: Improving Information Retrieval through Reverse Engineered Adaptation}, journal = {CoRR}, volume = {abs/2406.14764}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14764}, doi = {10.48550/ARXIV.2406.14764}, eprinttype = {arXiv}, eprint = {2406.14764}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14764.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-19058, author = {Jeremy J. Williams and Stefan Costea and Allen D. Malony and David Tskhakaya and Leon Kos and Ales Podolnik and Jakub Hromadka and Kevin A. Huck and Erwin Laure and Stefano Markidis}, title = {Understanding the Impact of openPMD on BIT1, a Particle-in-Cell Monte Carlo Code, through Instrumentation, Monitoring, and In-Situ Analysis}, journal = {CoRR}, volume = {abs/2406.19058}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.19058}, doi = {10.48550/ARXIV.2406.19058}, eprinttype = {arXiv}, eprint = {2406.19058}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-19058.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-19470, author = {Vipul Gupta and David Pantoja and Candace Ross and Adina Williams and Megan Ung}, title = {Changing Answer Order Can Decrease {MMLU} Accuracy}, journal = {CoRR}, volume = {abs/2406.19470}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.19470}, doi = {10.48550/ARXIV.2406.19470}, eprinttype = {arXiv}, eprint = {2406.19470}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-19470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-00394, author = {Jeremy J. Williams and Ashish Bhole and Dylan Kierans and Matthias Hoelzl and Ihor Holod and Weikang Tang and David Tskhakaya and Stefan Costea and Leon Kos and Ales Podolnik and Jakub Hromadka and JOREK Team and Erwin Laure and Stefano Markidis}, title = {Understanding Large-Scale Plasma Simulation Challenges for Fusion Energy on Supercomputers}, journal = {CoRR}, volume = {abs/2407.00394}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.00394}, doi = {10.48550/ARXIV.2407.00394}, eprinttype = {arXiv}, eprint = {2407.00394}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-00394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-01481, author = {Chansup Byun and Julia Mullen and Albert Reuther and William Arcand and William Bergeron and David Bestor and Daniel Burrill and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Peter Michaleas and Guillermo Morales and Andrew Prout and Antonio Rosa and Charles Yee and Jeremy Kepner and Lauren Milechin}, title = {LLload: Simplifying Real-Time Job Monitoring for {HPC} Users}, journal = {CoRR}, volume = {abs/2407.01481}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.01481}, doi = {10.48550/ARXIV.2407.01481}, eprinttype = {arXiv}, eprint = {2407.01481}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-01481.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04888, author = {Mahdi Ait Lhaj Loutfi and Teodora Boblea Podasca and Alex Zwanenburg and Taman Upadhaya and Jorge Barrios and David R. Raleigh and William C. Chen and Dante P. I. Capaldi and Hong Zheng and Olivier Gevaert and Jing Wu and Alvin C. Silva and Paul J. Zhang and Harrison X. Bai and Jan Seuntjens and Steffen L{\"{o}}ck and Patrick O. Richard and Olivier Morin and Caroline Reinhold and Martin D. Lepage and Martin Valli{\`{e}}res}, title = {Unraveling Radiomics Complexity: Strategies for Optimal Simplicity in Predictive Modeling}, journal = {CoRR}, volume = {abs/2407.04888}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04888}, doi = {10.48550/ARXIV.2407.04888}, eprinttype = {arXiv}, eprint = {2407.04888}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-05467, author = {Talia Gershon and Seetharami Seelam and Brian Belgodere and Milton Bonilla and Lan Hoang and Danny Barnett and I{-}Hsin Chung and Apoorve Mohan and Ming{-}Hung Chen and Lixiang Luo and Robert Walkup and Constantinos Evangelinos and Shweta Salaria and Marc Dombrowa and Yoonho Park and Apo Kayi and Liran Schour and Alim Alim and Ali Sydney and Pavlos Maniotis and Laurent Schares and Bernard Metzler and Bengi Karacali{-}Akyamac and Sophia Wen and Tatsuhiro Chiba and Sunyanan Choochotkaew and Takeshi Yoshimura and Claudia Misale and Tonia Elengikal and Kevin O. Connor and Zhuoran Liu and Richard Molina and Lars Schneidenbach and James Caden and Christopher Laibinis and Carlos Fonseca and Vasily Tarasov and Swaminathan Sundararaman and Frank B. Schmuck and Scott Guthridge and Jeremy Cohn and Marc Eshel and Paul Muench and Runyu Liu and William Pointer and Drew Wyskida and Bob Krull and Ray Rose and Brent Wolfe and William Cornejo and John Walter and Colm Malone and Clifford Perucci and Frank Franco and Nigel Hinds and Bob Calio and Pavel Druyan and Robert Kilduff and John Kienle and Connor McStay and Andrew Figueroa and Matthew Connolly and Edie Fost and Gina Roma and Jake Fonseca and Ido Levy and Michele Payne and Ryan Schenkel and Amir Malki and Lion Schneider and Aniruddha Narkhede and Shekeba Moshref and Alexandra Kisin and Olga Dodin and Bill Rippon and Henry Wrieth and John Ganci and Johnny Colino and Donna Habeger{-}Rose and Rakesh Pandey and Aditya Gidh and Aditya Gaur and Dennis Patterson and Samsuddin Salmani and Rambilas Varma and Rumana Rumana and Shubham Sharma and Mayank Mishra and Rameswar Panda and Aditya Prasad and Matt Stallone and Gaoyuan Zhang and Yikang Shen and David Cox and Ruchir Puri and Dakshi Agrawal and Drew Thorstensen and Joel Belog and Brent Tang}, title = {The infrastructure powering IBM's Gen {AI} model development}, journal = {CoRR}, volume = {abs/2407.05467}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.05467}, doi = {10.48550/ARXIV.2407.05467}, eprinttype = {arXiv}, eprint = {2407.05467}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-05467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-15956, author = {Fatemeh Alizadeh and Dave W. Randall and Peter Tolmie and Minha Lee and Yuhui Xu and Sarah Mennicken and Mikolaj P. Wozniak and Dennis Paul and Dominik Pins}, title = {Future of Home-living: Designing Smart Spaces for Modern Domestic Life}, journal = {CoRR}, volume = {abs/2407.15956}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.15956}, doi = {10.48550/ARXIV.2407.15956}, eprinttype = {arXiv}, eprint = {2407.15956}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-15956.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21338, author = {David Valencia and Henry Williams and Yuning Xing and Trevor Gee and Minas V. Liarokapis and Bruce A. MacDonald}, title = {Image-Based Deep Reinforcement Learning with Intrinsically Motivated Stimuli: On the Execution of Complex Robotic Tasks}, journal = {CoRR}, volume = {abs/2407.21338}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21338}, doi = {10.48550/ARXIV.2407.21338}, eprinttype = {arXiv}, eprint = {2407.21338}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21338.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-00553, author = {Wilson de Souza Junior and David William Marques Guerra and Jos{\'{e}} Carlos Marinello and Taufik Abr{\~{a}}o and Ekram Hossain}, title = {Manifold-Based Optimizations for RIS-Aided Massive {MIMO} Systems}, journal = {CoRR}, volume = {abs/2408.00553}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.00553}, doi = {10.48550/ARXIV.2408.00553}, eprinttype = {arXiv}, eprint = {2408.00553}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-00553.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-01581, author = {Ankur Mahesh and William D. Collins and Boris Bonev and Noah D. Brenowitz and Yair Cohen and Peter Harrington and Karthik Kashinath and Thorsten Kurth and Joshua North and Travis A. O'Brien and Michael S. Pritchard and David Pruitt and Mark Risser and Shashank Subramanian and Jared Willard}, title = {Huge Ensembles Part {II:} Properties of a Huge Ensemble of Hindcasts Generated with Spherical Fourier Neural Operators}, journal = {CoRR}, volume = {abs/2408.01581}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.01581}, doi = {10.48550/ARXIV.2408.01581}, eprinttype = {arXiv}, eprint = {2408.01581}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-01581.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-02834, author = {William Patton and Jeff L. Rhoades and Marwan Zouinkhi and David G. Ackerman and Caroline Malin{-}Mayor and Diane Adjavon and Larissa Heinrich and Davis Bennett and Yurii Zubov and CellMap Project Team and Aubrey V. Weigel and Jan Funke}, title = {DaCapo: a modular deep learning framework for scalable 3D image segmentation}, journal = {CoRR}, volume = {abs/2408.02834}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.02834}, doi = {10.48550/ARXIV.2408.02834}, eprinttype = {arXiv}, eprint = {2408.02834}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-02834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-02869, author = {Jeremy J. Williams and Daniel Medeiros and Stefan Costea and David Tskhakaya and Franz Poeschel and Ren{\'{e}} Widera and Axel Huebl and Scott Klasky and Norbert Podhorszki and Leon Kos and Ales Podolnik and Jakub Hromadka and Tapish Narwal and Klaus Steiniger and Michael Bussmann and Erwin Laure and Stefano Markidis}, title = {Enabling High-Throughput Parallel {I/O} in Particle-in-Cell Monte Carlo Simulations with openPMD and Darshan {I/O} Monitoring}, journal = {CoRR}, volume = {abs/2408.02869}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.02869}, doi = {10.48550/ARXIV.2408.02869}, eprinttype = {arXiv}, eprint = {2408.02869}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-02869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AmjadCDMPP23, author = {Khurram Amjad and William J. R. Christian and Ksenija Dvurecenska and David H. Mollenhauer and Craig P. Przybyla and Eann A. Patterson}, title = {Quantitative Comparisons of Volumetric Datasets From Experiments and Computational Models}, journal = {{IEEE} Access}, volume = {11}, pages = {123401--123417}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3328326}, doi = {10.1109/ACCESS.2023.3328326}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AmjadCDMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/TanCGDHTVMFBNB23, author = {Hung{-}Jui Tan and Arlene E. Chung and David Gotz and Allison Deal and Hillary M. Heiling and Randall Teal and Maihan Vu and William D. Meeks and Raymond Fang and Antonia Bennett and Matthew E. Nielsen and Ethan Basch}, title = {Electronic Health Record Use and Perceptions among Urologic Surgeons}, journal = {Appl. Clin. Inform.}, volume = {14}, number = {2}, pages = {279--289}, year = {2023}, url = {https://doi.org/10.1055/s-0043-1763513}, doi = {10.1055/S-0043-1763513}, timestamp = {Sun, 13 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/TanCGDHTVMFBNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HenzingerJPW23, author = {Monika Henzinger and Billy Jin and Richard Peng and David P. Williamson}, title = {A Combinatorial Cut-Toggling Algorithm for Solving Laplacian Linear Systems}, journal = {Algorithmica}, volume = {85}, number = {12}, pages = {3680--3716}, year = {2023}, url = {https://doi.org/10.1007/s00453-023-01154-8}, doi = {10.1007/S00453-023-01154-8}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HenzingerJPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/BaumgartnerABBCCDEFGGHKLMMBNNRSS23, author = {Renate Baumgartner and Payal Arora and Corinna Bath and Darja Burljaev and Kinga Ciereszko and Bart Custers and Jin Ding and Waltraud Ernst and Eduard Fosch{-}Villaronga and Vassilis Galanos and Thomas Gremsl and Tereza Hendl and Cordula Kropp and Christian Lenk and Paul Martin and Somto Mbelu and Sara Morais dos Santos Bruss and Karolina Napiwodzka and Ewa Nowak and Tiara Roxanne and Silja Samerski and David Schneeberger and Karolin Tampe{-}Mai and Katerina Vlantoni and Kevin Wiggert and Robin Williams}, title = {Fair and equitable {AI} in biomedical research and healthcare: Social science perspectives}, journal = {Artif. Intell. Medicine}, volume = {144}, pages = {102658}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102658}, doi = {10.1016/J.ARTMED.2023.102658}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/BaumgartnerABBCCDEFGGHKLMMBNNRSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WilliamsRTAH23, author = {Nathan P. Williams and Carlos H. M. Rodrigues and Jia Truong and David B. Ascher and Jessica K. Holien}, title = {DockNet: high-throughput protein-protein interface contact prediction}, journal = {Bioinform.}, volume = {39}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btac797}, doi = {10.1093/BIOINFORMATICS/BTAC797}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/WilliamsRTAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/DohertyDHMPCSWCMDM23, author = {Trevor Doherty and Emma Dempster and Eilis J. Hannon and Jonathan Mill and Richie Poulton and David Corcoran and Karen Sugden and Ben Williams and Avshalom Caspi and Terrie E. Moffitt and Sarah Jane Delany and Therese M. Murphy}, title = {A comparison of feature selection methodologies and learning algorithms in the development of a {DNA} methylation-based telomere length estimator}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {178}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05282-4}, doi = {10.1186/S12859-023-05282-4}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/DohertyDHMPCSWCMDM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/AndersonWC23, author = {Jude T. Anderson and David M. Williams and Andrew Corrigan}, title = {Surface And Hypersurface Meshing Techniques for Space-Time Finite Element Methods}, journal = {Comput. Aided Des.}, volume = {163}, pages = {103574}, year = {2023}, url = {https://doi.org/10.1016/j.cad.2023.103574}, doi = {10.1016/J.CAD.2023.103574}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cad/AndersonWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/NascimentoLLSM23, author = {Valfride Nascimento and Rayson Laroca and Jorge de A. Lambert and William Robson Schwartz and David Menotti}, title = {Super-resolution of license plate images using attention modules and sub-pixel convolution layers}, journal = {Comput. Graph.}, volume = {113}, pages = {69--76}, year = {2023}, url = {https://doi.org/10.1016/j.cag.2023.05.005}, doi = {10.1016/J.CAG.2023.05.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/NascimentoLLSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SchachererHCHCLPKFH23, author = {Daniela P. Schacherer and Markus D. Herrmann and David A. Clunie and Henning H{\"{o}}fener and William Clifford and William J. R. Longabaugh and Steven D. Pieper and Ron Kikinis and Andrey Fedorov and Andr{\'{e}} Homeyer}, title = {The {NCI} Imaging Data Commons as a platform for reproducible research in computational pathology}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107839}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107839}, doi = {10.1016/J.CMPB.2023.107839}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/SchachererHCHCLPKFH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsci/McCarthyKF23, author = {William P. McCarthy and David Kirsh and Judith E. Fan}, title = {Consistency and Variation in Reasoning About Physical Assembly}, journal = {Cogn. Sci.}, volume = {47}, number = {12}, year = {2023}, url = {https://doi.org/10.1111/cogs.13397}, doi = {10.1111/COGS.13397}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsci/McCarthyKF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/HoffmannLJWR23, author = {Sven Hoffmann and Thomas Ludwig and Florian Jasche and Volker Wulf and David W. Randall}, title = {RetrofittAR: Supporting Hardware-Centered Expertise Sharing in Manufacturing Settings through Augmented Reality}, journal = {Comput. Support. Cooperative Work.}, volume = {32}, number = {1}, pages = {93--139}, year = {2023}, url = {https://doi.org/10.1007/s10606-022-09430-x}, doi = {10.1007/S10606-022-09430-X}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cscw/HoffmannLJWR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/MosconiCSRKP23, author = {Gaia Mosconi and Aparecido Fabiano Pinatti de Carvalho and Hussain Abid Syed and David W. Randall and Helena Karasti and Volkmar Pipek}, title = {Fostering Research Data Management in Collaborative Research Contexts: Lessons learnt from an 'Embedded' Evaluation of 'Data Story'}, journal = {Comput. Support. Cooperative Work.}, volume = {32}, number = {4}, pages = {911--949}, year = {2023}, url = {https://doi.org/10.1007/s10606-023-09467-6}, doi = {10.1007/S10606-023-09467-6}, timestamp = {Sun, 24 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cscw/MosconiCSRKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/ZoniGF23, author = {Davide Zoni and Andrea Galimberti and William Fornaciari}, title = {A Survey on Run-time Power Monitors at the Edge}, journal = {{ACM} Comput. Surv.}, volume = {55}, number = {14s}, pages = {325:1--325:33}, year = {2023}, url = {https://doi.org/10.1145/3593044}, doi = {10.1145/3593044}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/ZoniGF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/da/CaballeroGBW23, author = {William N. Caballero and Ethan Gharst and David Banks and Jeffery D. Weir}, title = {Multipolar Security Cooperation Planning: {A} Multiobjective, Adversarial-Risk-Analysis Approach}, journal = {Decis. Anal.}, volume = {20}, number = {1}, pages = {16--39}, year = {2023}, url = {https://doi.org/10.1287/deca.2022.0458}, doi = {10.1287/DECA.2022.0458}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/da/CaballeroGBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datascience/DavidRCLCGHKKLOPKRSWWBMABCC23, author = {Romain David and Audrey S. Richard and Claire Connellan and Katharina B. Lauer and Maria Luisa Chiusano and Carole A. Goble and Martin Houde and Isabel Kemmer and Antje Keppler and Philippe Lieutaud and Christian Ohmann and Maria Panagiotopoulou and Sara Raza Khan and Arina Rybina and Stian Soiland{-}Reyes and Charlotte Wit and Rudolf Wittner and Rafael Andrade Buono and Sarah Arnaud Marsh and Pauline Audergon and Dylan Bonfils and Jos{\'{e}} Mar{\'{\i}}a Carazo and Remi Charrel and Frederik Coppens and Wolfgang Fecke and Claudia Filippone and Eva G. Alvarez and Sheraz Gul and Henning Hermjakob and Katja Herzog and Petr Holub and Lukasz Kozera and Allyson L. Lister and Jos{\'{e}} L{\'{o}}pez{-}Coronado and B{\'{e}}n{\'{e}}dicte Madon and Kurt Majcen and William Martin and Wolfgang M{\"{u}}ller and Elli Papadopoulou and Christine M. A. Prat and Paolo Romano and Susanna{-}Assunta Sansone and Gary Saunders and Niklas Blomberg and Jonathan Ewbank}, title = {Umbrella Data Management Plans to Integrate {FAIR} Data: Lessons From the ISIDORe and {BY-COVID} Consortia for Pandemic Preparedness}, journal = {Data Sci. J.}, volume = {22}, pages = {35}, year = {2023}, url = {https://doi.org/10.5334/dsj-2023-035}, doi = {10.5334/DSJ-2023-035}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datascience/DavidRCLCGHKKLOPKRSWWBMABCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/TempleWCLCKSN23, author = {William G. Temple and Yue Wu and Carmen Cheh and Yuan Li and Binbin Chen and Zbigniew T. Kalbarczyk and William H. Sanders and David M. Nicol}, title = {CyberSAGE: The cyber security argument graph evaluation tool}, journal = {Empir. Softw. Eng.}, volume = {28}, number = {1}, pages = {18}, year = {2023}, url = {https://doi.org/10.1007/s10664-021-10056-8}, doi = {10.1007/S10664-021-10056-8}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ese/TempleWCLCKSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/CaseyDGTGZSOLWPFCWWMWA23, author = {Arlene Casey and Emma M. Davidson and Claire Grover and Richard Tobin and Andreas Grivas and Huayu Zhang and Patrick Schrempf and Alison Q. O'Neil and Liam Lee and Michael Walsh and Freya Pellie and Karen Ferguson and Vera Cvoro and Honghan Wu and Heather Whalley and Grant Mair and William Whiteley and Beatrice Alex}, title = {Understanding the performance and reliability of {NLP} tools: a comparison of four {NLP} tools predicting stroke phenotypes in radiology reports}, journal = {Frontiers Digit. Health}, volume = {5}, year = {2023}, url = {https://doi.org/10.3389/fdgth.2023.1184919}, doi = {10.3389/FDGTH.2023.1184919}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/CaseyDGTGZSOLWPFCWWMWA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/FordBABKBHLGBW23, author = {T. J. Ford and Derrick Matthew Buchanan and Azeezat Azeez and David A. Benrimoh and Irakli Kaloiani and Igor D. Bandeira and Saron Hunegnaw and Lucy Lan and Mia Gholmieh and Vivek Buch and Nolan R. Williams}, title = {Taking modern psychiatry into the metaverse: Integrating augmented, virtual, and mixed reality technologies into psychiatric care}, journal = {Frontiers Digit. Health}, volume = {5}, year = {2023}, url = {https://doi.org/10.3389/fdgth.2023.1146806}, doi = {10.3389/FDGTH.2023.1146806}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/FordBABKBHLGBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/CaoNZKBGKMZYCDDDSHWAZHTS23, author = {Chao Cao and Lucas Nogueira and Hongbiao Zhu and John Keller and Graeme Best and Rohit Garg and David Kohanbash and Jay Maier and Shibo Zhao and Fan Yang and Katarina Cujic and Ryan Darnley and Robert DeBortoli and Bill Drozd and Peigen Sun and Ian Higgins and Steven Willits and Greg Armstrong and Ji Zhang and Geoffrey A. Hollinger and Matthew Travers and Sebastian A. Scherer}, title = {Exploring the Most Sectors at the {DARPA} Subterranean Challenge Finals}, journal = {Field Robotics}, volume = {3}, number = {1}, pages = {801--836}, year = {2023}, url = {https://doi.org/10.55417/fr.2023025}, doi = {10.55417/FR.2023025}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/CaoNZKBGKMZYCDDDSHWAZHTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frma/GarbaSPCCCCDFHHHJJMYGC23, author = {Ibrahim Garba and Rogena Sterling and Rebecca Plevel and William Carson and Felina M. Cordova{-}Marks and Jewel Cummins and Caleigh Curley and Dominique David{-}Chavez and Adam Fernandez and Danielle Hiraldo and Vanessa Hiratsuka and Maui Hudson and Mary Beth J{\"{a}}ger and Lydia L. Jennings and Andrew Martinez and Joseph Yracheta and Nanibaa' Garrison and Stephanie Russo Carroll}, title = {Indigenous Peoples and research: self-determination in research governance}, journal = {Frontiers Res. Metrics Anal.}, volume = {8}, year = {2023}, url = {https://doi.org/10.3389/frma.2023.1272318}, doi = {10.3389/FRMA.2023.1272318}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/frma/GarbaSPCCCCDFHHHJJMYGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/TadicRRW23, author = {Borislav Tadic and Markus Rohde and Dave W. Randall and Volker Wulf}, title = {Design Evolution of a Tool for Privacy and Security Protection for Activists Online: Cyberactivist}, journal = {Int. J. Hum. Comput. Interact.}, volume = {39}, number = {1}, pages = {249--271}, year = {2023}, url = {https://doi.org/10.1080/10447318.2022.2041894}, doi = {10.1080/10447318.2022.2041894}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/TadicRRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/DommerCKRWAROMB23, author = {Abigail C. Dommer and Lorenzo Casalino and Fiona L. Kearns and Mia Rosenfeld and Nicholas Wauer and Surl{-}Hee Ahn and John Russo and A. Sofia F. Oliveira and Clare Morris and Anthony T. Bogetti and Anda Trifan and Alexander Brace and Terra Sztain and Austin Clyde and Heng Ma and S. Chakra Chennubhotla and Hyungro Lee and Matteo Turilli and Syma Khalid and Teresa Tamayo{-}Mendoza and Matthew Welborn and Anders S. Christensen and Daniel G. A. Smith and Zhuoran Qiao and Sai K. Sirumalla and Michael O'Connor and Frederick R. Manby and Anima Anandkumar and David J. Hardy and James C. Phillips and Abraham C. Stern and Josh Romero and David Clark and Mitchell Dorrell and Tom Maiden and Lei Huang and John D. McCalpin and Christopher J. Woods and Alan Gray and Matt Williams and Bryan Barker and Harinda Rajapaksha and Richard Pitts and Tom Gibbs and John E. Stone and Daniel M. Zuckerman and Adrian J. Mulholland and Thomas F. Miller III and Shantenu Jha and Arvind Ramanathan and Lillian T. Chong and Rommie E. Amaro}, title = {{\#}COVIDisAirborne: AI-enabled multiscale computational microscopy of delta SARS-CoV-2 in a respiratory aerosol}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {37}, number = {1}, pages = {28--44}, year = {2023}, url = {https://doi.org/10.1177/10943420221128233}, doi = {10.1177/10943420221128233}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpca/DommerCKRWAROMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/ZhaoLTPWLSBK23, author = {Yun Zhao and Felix Luong and Simon Teshuva and Andria Pelentritou and William Woods and David T. J. Liley and Daniel F. Schmidt and Mario Boley and Levin Kuhlmann}, title = {Improved Neurophysiological Process Imaging Through Optimization of Kalman Filter Initial Conditions}, journal = {Int. J. Neural Syst.}, volume = {33}, number = {5}, pages = {2350024:1--2350024:20}, year = {2023}, url = {https://doi.org/10.1142/S0129065723500247}, doi = {10.1142/S0129065723500247}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijns/ZhaoLTPWLSBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interfaces/FournierWGABCDD23, author = {Auriel M. V. Fournier and R. Randy Wilson and Jeffrey S. Gleason and Evan M. Adams and Janell M. Brush and Robert J. Cooper and Stephen J. Demaso and Melanie J. L. Driscoll and Peter C. Frederick and Patrick G. R. Jodice and Mary Ann Ottinger and David B. Reeves and Michael A. Seymour and Stephanie M. Sharuga and John M. Tirpak and William G. Vermillion and Theodore J. Zenzal and James E. Lyons and Mark S. Woodrey}, title = {Structured Decision Making to Prioritize Regional Bird Monitoring Needs}, journal = {{INFORMS} J. Appl. Anal.}, volume = {53}, number = {3}, pages = {207--217}, year = {2023}, url = {https://doi.org/10.1287/inte.2022.1154}, doi = {10.1287/INTE.2022.1154}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interfaces/FournierWGABCDD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/CaballeroIB23, author = {William N. Caballero and David R{\'{\i}}os Insua and David Banks}, title = {Decision support issues in automated driving systems}, journal = {Int. Trans. Oper. Res.}, volume = {30}, number = {3}, pages = {1216--1244}, year = {2023}, url = {https://doi.org/10.1111/itor.12936}, doi = {10.1111/ITOR.12936}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/CaballeroIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/AbhariPW23, author = {Kaveh Abhari and Michael Pesavento and David Williams}, title = {Supporting participatory innovation during the {COVID-19} pandemic: a comparative study of enterprise social media use}, journal = {Inf. Technol. People}, volume = {36}, number = {5}, pages = {2030--2062}, year = {2023}, url = {https://doi.org/10.1108/ITP-01-2021-0041}, doi = {10.1108/ITP-01-2021-0041}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itp/AbhariPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/LiuWWHFMWHKLASZROHTHSSPCDHFSXL23, author = {Sijia Liu and Andrew Wen and Liwei Wang and Huan He and Sunyang Fu and Robert T. Miller and Andrew E. Williams and Daniel R. Harris and Ramakanth Kavuluru and Mei Liu and Noor Abu{-}El{-}Rub and Dalton Schutte and Rui Zhang and Masoud Rouhizadeh and John D. Osborne and Yongqun He and Umit Topaloglu and Stephanie S. Hong and Joel H. Saltz and Thomas Schaffter and Emily R. Pfaff and Christopher G. Chute and Tim Duong and Melissa A. Haendel and Rafael Fuentes and Peter Szolovits and Hua Xu and Hongfang Liu}, title = {An open natural language processing {(NLP)} framework for EHR-based clinical research: a case demonstration using the National {COVID} Cohort Collaborative {(N3C)}}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {12}, pages = {2036--2040}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad134}, doi = {10.1093/JAMIA/OCAD134}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/LiuWWHFMWHKLASZROHTHSSPCDHFSXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/OstropoletsACBBBDDFGGIKKKLLLLMNNO23, author = {Anna Ostropolets and Yasser Albogami and Mitchell Conover and Juan M. Banda and William A. Baumgartner Jr. and Clair Blacketer and Priyamvada Desai and Scott L. DuVall and Stephen P. Fortin and James P. Gilbert and Asieh Golozar and Joshua Ide and Andrew S. Kanter and David M. Kern and Chungsoo Kim and Lana Y. H. Lai and Chenyu Li and Feifan Liu and Kristine E. Lynch and Evan Minty and Maria In{\^{e}}s Neves and Ding Quan Ng and Tontel Obene and Victor Pera and Nicole Pratt and Gowtham Rao and Nadav Rappoport and Ines Reinecke and Paola Saroufim and Azza Shoaibi and Katherine Simon and Marc A. Suchard and Joel N. Swerdel and Erica A. Voss and James Weaver and Linying Zhang and George Hripcsak and Patrick B. Ryan}, title = {Reproducible variability: assessing investigator discordance across 9 research teams attempting to reproduce the same observational study}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {5}, pages = {859--868}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad009}, doi = {10.1093/JAMIA/OCAD009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/OstropoletsACBBBDDFGGIKKKLLLLMNNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SchlueterSMKFWQSZTBDBRGD23, author = {David J. Schlueter and Lina M. Sulieman and Huan Mo and Jacob M. Keaton and Tracey M. Ferrara and Ariel Williams and Jun Qian and Onajia J. Stubblefield and Chenjie Zeng and Tam C. Tran and Lisa Bastarache and Jian Dai and Anav Babbar and Andrea H. Ramirez and Slavina Goleva and Joshua C. Denny}, title = {Systematic replication of smoking disease associations using survey responses and {EHR} data in the \emph{All of Us} Research Program}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {139--153}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad205}, doi = {10.1093/JAMIA/OCAD205}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SchlueterSMKFWQSZTBDBRGD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/TanGHSGLDMHMBYCDZAABCHCLLMHOPSSATVW23, author = {Amelia L. M. Tan and Emily J. Getzen and Meghan R. Hutch and Zachary H. Strasser and Alba Guti{\'{e}}rrez{-}Sacrist{\'{a}}n and Trang T. Le and Arianna Dagliati and Michele Morris and David A. Hanauer and Bertrand Moal and Clara{-}Lea Bonzel and William Yuan and Lorenzo Chiudinelli and Priam Das and Harrison G. Zhang and Bruce J. Aronow and Paul Avillach and Gabriel A. Brat and Tianxi Cai and Chuan Hong and William G. La Cava and He Hooi Will Loh and Yuan Luo and Shawn N. Murphy and Kee Yuan Hgiam and Gilbert S. Omenn and Lav P. Patel and Malarkodi J. Samayamuthu and Emily R. Shriver and Zahra Shakeri Hossein Abad and Byorn W. L. Tan and Shyam Visweswaran and Xuan Wang and Griffin M. Weber and Zongqi Xia and Bertrand Verdy and Qi Long and Danielle L. Mowery and John H. Holmes}, title = {Informative missingness: What can we learn from patterns in missing laboratory data in the electronic health record?}, journal = {J. Biomed. Informatics}, volume = {139}, pages = {104306}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104306}, doi = {10.1016/J.JBI.2023.104306}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/TanGHSGLDMHMBYCDZAABCHCLLMHOPSSATVW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/WoenselTMAAABCEHRKKMMMPRTWP23, author = {William Van Woensel and Samson W. Tu and Wojtek Michalowski and Syed Sibte Raza Abidi and Samina Abidi and Jos{\'{e}} Ram{\'{o}}n Alonso and Alessio Bottrighi and Marc Carrier and Ruth Edry and Irit Hochberg and Malvika Rao and Stephen P. Kingwell and Alexandra Kogan and Mar Marcos and Bego{\~{n}}a Mart{\'{\i}}nez{-}Salvador and Martin Michalowski and Luca Piovesan and David Ria{\~{n}}o and Paolo Terenziani and Szymon Wilk and Mor Peleg}, title = {A community-of-practice-based evaluation methodology for knowledge intensive computational methods and its application to multimorbidity decision support}, journal = {J. Biomed. Informatics}, volume = {142}, pages = {104395}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104395}, doi = {10.1016/J.JBI.2023.104395}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/WoenselTMAAABCEHRKKMMMPRTWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/FanTRWCSG23, author = {Yizhou Fan and Yuanru Tan and Mladen Rakovic and Yeyu Wang and Zhiqiang Cai and David Williamson Shaffer and Dragan Gasevic}, title = {Dissecting learning tactics in {MOOC} using ordered network analysis}, journal = {J. Comput. Assist. Learn.}, volume = {39}, number = {1}, pages = {154--166}, year = {2023}, url = {https://doi.org/10.1111/jcal.12735}, doi = {10.1111/JCAL.12735}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcal/FanTRWCSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jche/GomezSWS23, author = {David R. Gomez and William Swann and Mary Willms Wohlwend and Stephanie Spong}, title = {Adapting Under Pressure: {A} Case Study in Scaling Faculty Development for Emergency Remote Teaching}, journal = {J. Comput. High. Educ.}, volume = {35}, number = {1}, pages = {91--110}, year = {2023}, url = {https://doi.org/10.1007/s12528-022-09330-5}, doi = {10.1007/S12528-022-09330-5}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jche/GomezSWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/AngusFFGGLL23, author = {Justin Ray Angus and William Farmer and Alex Friedman and Debojyoti Ghosh and David P. Grote and David J. Larson and Anthony Link}, title = {An implicit particle code with \emph{exact} energy and charge conservation for electromagnetic studies of dense plasmas}, journal = {J. Comput. Phys.}, volume = {491}, pages = {112383}, year = {2023}, url = {https://doi.org/10.1016/j.jcp.2023.112383}, doi = {10.1016/J.JCP.2023.112383}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/AngusFFGGLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jea/MirkaW23, author = {Renee Mirka and David P. Williamson}, title = {An Experimental Evaluation of Semidefinite Programming and Spectral Algorithms for Max Cut}, journal = {{ACM} J. Exp. Algorithmics}, volume = {28}, pages = {2.1:1--2.1:18}, year = {2023}, url = {https://doi.org/10.1145/3609426}, doi = {10.1145/3609426}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jea/MirkaW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgaa/EppsteinLW23, author = {David Eppstein and Andrea Lincoln and Virginia {Vassilevska Williams}}, title = {Quasipolynomiality of the Smallest Missing Induced Subgraph}, journal = {J. Graph Algorithms Appl.}, volume = {27}, number = {5}, pages = {329--339}, year = {2023}, url = {https://doi.org/10.7155/jgaa.00625}, doi = {10.7155/JGAA.00625}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jgaa/EppsteinLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/ChowdheryNDBMRBCSGSSTMRBTSPRDHPBAI23, author = {Aakanksha Chowdhery and Sharan Narang and Jacob Devlin and Maarten Bosma and Gaurav Mishra and Adam Roberts and Paul Barham and Hyung Won Chung and Charles Sutton and Sebastian Gehrmann and Parker Schuh and Kensen Shi and Sasha Tsvyashchenko and Joshua Maynez and Abhishek Rao and Parker Barnes and Yi Tay and Noam Shazeer and Vinodkumar Prabhakaran and Emily Reif and Nan Du and Ben Hutchinson and Reiner Pope and James Bradbury and Jacob Austin and Michael Isard and Guy Gur{-}Ari and Pengcheng Yin and Toju Duke and Anselm Levskaya and Sanjay Ghemawat and Sunipa Dev and Henryk Michalewski and Xavier Garcia and Vedant Misra and Kevin Robinson and Liam Fedus and Denny Zhou and Daphne Ippolito and David Luan and Hyeontaek Lim and Barret Zoph and Alexander Spiridonov and Ryan Sepassi and David Dohan and Shivani Agrawal and Mark Omernick and Andrew M. Dai and Thanumalayan Sankaranarayana Pillai and Marie Pellat and Aitor Lewkowycz and Erica Moreira and Rewon Child and Oleksandr Polozov and Katherine Lee and Zongwei Zhou and Xuezhi Wang and Brennan Saeta and Mark Diaz and Orhan Firat and Michele Catasta and Jason Wei and Kathy Meier{-}Hellstern and Douglas Eck and Jeff Dean and Slav Petrov and Noah Fiedel}, title = {PaLM: Scaling Language Modeling with Pathways}, journal = {J. Mach. Learn. Res.}, volume = {24}, pages = {240:1--240:113}, year = {2023}, url = {https://jmlr.org/papers/v24/22-1144.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChowdheryNDBMRBCSGSSTMRBTSPRDHPBAI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/BernholdtDGMW23, author = {David E. Bernholdt and Mathieu Doucet and William F. Godoy and Addi Malviya{-}Thakur and Gregory R. Watson}, title = {Experiential findings for sustainable software ecosystems to support experimental and observational science}, journal = {J. Comput. Sci.}, volume = {71}, pages = {102033}, year = {2023}, url = {https://doi.org/10.1016/j.jocs.2023.102033}, doi = {10.1016/J.JOCS.2023.102033}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocs/BernholdtDGMW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocsap/ButcherBBCHMPS23, author = {David S. Butcher and Christian J. Brigham and James Berhalter and Abigail L. Centers and William M. Hunkapiller and Timothy P. Murphy and Eric C. Palm and Julia H. Smith}, title = {Cybersecurity in a Large-Scale Research Facility - One Institution's Approach}, journal = {J. Cybersecur. Priv.}, volume = {3}, number = {2}, pages = {191--208}, year = {2023}, url = {https://doi.org/10.3390/jcp3020011}, doi = {10.3390/JCP3020011}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocsap/ButcherBBCHMPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/RohskopfSLCGJMZNSSSWTW23, author = {Andrew Rohskopf and C. Sievers and Nicholas Lubbers and Mary Alice Cusentnio and James Goff and Jan Janssen and Megan McCarthy and David Montes Oca de Zapiain and Svetoslav Nikolov and Khachik Sargsyan and Dionysios Sema and Ember Sikorski and Logan Williams and Aidan P. Thompson and Mitchell A. Wood}, title = {FitSNAP: Atomistic machine learning with {LAMMPS}}, journal = {J. Open Source Softw.}, volume = {8}, number = {83}, pages = {5118}, year = {2023}, url = {https://doi.org/10.21105/joss.05118}, doi = {10.21105/JOSS.05118}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/RohskopfSLCGJMZNSSSWTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/SchodtWFKMPMFBKRJLROSL23, author = {David J. Schodt and Michael J. Wester and Mohamadreza Fazel and Sajjad Khan and Hanieh Mazloom{-}Farsibaf and Sandeep Pallikkuth and Marjolein B. m. Meddens and Farzin Farzam and Eric A. Burns and William K. Kanagy and Derek A. Rinaldi and Elton Jhamba and Sheng Liu and Peter K. Relich and Mark J. Olah and Stanly L. Steinberg and Keith A. Lidke}, title = {{SMITE:} Single Molecule Imaging Toolbox Extraordinaire {(MATLAB)}}, journal = {J. Open Source Softw.}, volume = {8}, number = {90}, pages = {5563}, year = {2023}, url = {https://doi.org/10.21105/joss.05563}, doi = {10.21105/JOSS.05563}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/SchodtWFKMPMFBKRJLROSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/BaiHJRTW23, author = {Yicheng Bai and Omar El Housni and Billy Jin and Paat Rusmevichientong and Huseyin Topaloglu and David P. Williamson}, title = {Fluid Approximations for Revenue Management Under High-Variance Demand}, journal = {Manag. Sci.}, volume = {69}, number = {7}, pages = {4016--4026}, year = {2023}, url = {https://doi.org/10.1287/mnsc.2023.4769}, doi = {10.1287/MNSC.2023.4769}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mansci/BaiHJRTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/DongSZWCDCAWW23, author = {Hang Dong and V{\'{\i}}ctor Su{\'{a}}rez{-}Paniagua and Huayu Zhang and Minhong Wang and Arlene Casey and Emma M. Davidson and Jiaoyan Chen and Beatrice Alex and William Whiteley and Honghan Wu}, title = {Ontology-driven and weakly supervised rare disease identification from clinical notes}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {86}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02181-9}, doi = {10.1186/S12911-023-02181-9}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/DongSZWCDCAWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlq/BradleyWilliamsH23, author = {David B. Bradley{-}Williams and Immanuel Halupczok}, title = {Spherically complete models of Hensel minimal valued fields}, journal = {Math. Log. Q.}, volume = {69}, number = {2}, pages = {138--146}, year = {2023}, url = {https://doi.org/10.1002/malq.202100055}, doi = {10.1002/MALQ.202100055}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlq/BradleyWilliamsH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/GutekunstW23, author = {Samuel C. Gutekunst and David P. Williamson}, title = {The Circlet Inequalities: {A} New, Circulant-Based, Facet-Defining Inequality for the {TSP}}, journal = {Math. Oper. Res.}, volume = {48}, number = {1}, pages = {393--418}, year = {2023}, url = {https://doi.org/10.1287/moor.2022.1265}, doi = {10.1287/MOOR.2022.1265}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/GutekunstW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/DeySW23, author = {Santanu S. Dey and Mohit Singh and David P. Williamson}, title = {Special Issue: Integer Programming and Combinatorial Optimization {(IPCO)} 2021}, journal = {Math. Program.}, volume = {197}, number = {2}, pages = {449--450}, year = {2023}, url = {https://doi.org/10.1007/s10107-022-01892-7}, doi = {10.1007/S10107-022-01892-7}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mp/DeySW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/MartinAAAABBBBBBBBLBRCCfDDDHNFGGGMG23, author = {Fergal J. Martin and M. Ridwan Amode and Alisha Aneja and Olanrewaju Austine{-}Orimoloye and Andrey G. Azov and If Barnes and Arne Becker and Ruth Bennett and Andrew E. Berry and Jyothish Bhai and Simarpreet Kaur Bhurji and Alexandra Bignell and Sanjay Boddu and Paulo R. B. Lins and Lucy Brooks and Shashank Budhanuru Ramaraju and Mehrnaz Charkhchi and Alexander Cockburn and Luca Da Rin Fioretto and Claire Davidson and Kamalkumar Jayantilal Dodiya and Sarah M. Donaldson and Bilal El Houdaigui and Tamara El Naboulsi and Reham Fatima and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Thiago Augusto Lopes Genez and Gurpreet S. Ghattaoraya and Jose Gonzalez Martinez and Cristi Guijarro and Matthew Hardy and Zoe Hollis and Thibaut Hourlier and Toby Hunt and Mike P. Kay and Vinay Kaykala and Tuan Le and Diana Lemos and Diego Marques{-}Coelho and Jos{\'{e}} Carlos Marug{\'{a}}n and Gabriela Alejandra Merino and Louisse Paola Mirabueno and Aleena Mushtaq and Syed Nakib Hossain and Denye N. Ogeh and Manoj Pandian Sakthivel and Anne Parker and Malcolm Perry and Ivana Pilizota and Irina Prosovetskaia and Jos{\'{e}} G. P{\'{e}}rez{-}Silva and Ahamed Imran Abdul Salam and Nuno Saraiva{-}Agostinho and Helen Schuilenburg and Dan Sheppard and Swati Sinha and Botond Sipos and William Stark and Emily Steed and Ranjit Sukumaran and Dulika Sumathipala and Marie{-}Marthe Suner and Likhitha Surapaneni and Ky{\"{o}}sti Sutinen and Michal Szpak and Francesca Floriana Tricomi and David Urbina{-}G{\'{o}}mez and Andres Veidenberg and Thomas A. Walsh and Brandon Walts and Elizabeth Wass and Natalie L. Willhoft and Jamie Allen and Jorge {\'{A}}lvarez{-}Jarreta and Marc Chakiachvili and Bethany Flint and Stefano Giorgetti and Leanne Haggerty and Garth R Ilsley and Jane E. Loveland and Benjamin Moore and Jonathan M. Mudge and John G. Tate and David Thybert and Stephen J. Trevanion and Andrea Winterbottom and Adam Frankish and Sarah E. Hunt and Magali Ruffier and Fiona Cunningham and Sarah Dyer and Robert D. Finn and Kevin L. Howe and Peter W. Harrison and Andrew D. Yates and Paul Flicek}, title = {Ensembl 2023}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {933--941}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac958}, doi = {10.1093/NAR/GKAC958}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/MartinAAAABBBBBBBBLBRCCfDDDHNFGGGMG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/NassarBBCCDFGHLLMNPNPRSSWZHKHK23, author = {Luis R. Nassar and Galt P. Barber and Anna Benet{-}Pag{\`{e}}s and Jonathan Casper and Hiram Clawson and Mark Diekhans and Clayton M. Fischer and Jairo Navarro Gonzalez and Angie S. Hinrichs and Brian T. Lee and Christopher M. Lee and Pranav Muthuraman and Beagan Nguy and Tiana Pereira and Parisa Nejad and Gerardo Perez and Brian J. Raney and Daniel Schmelter and Matthew L. Speir and Brittney D. Wick and Ann S. Zweig and David Haussler and Robert M. Kuhn and Maximilian Haeussler and W. James Kent}, title = {The {UCSC} Genome Browser database: 2023 update}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {1188--1195}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac1072}, doi = {10.1093/NAR/GKAC1072}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/NassarBBCCDFGHLLMNPNPRSSWZHKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/TerlouwBNACELMRRSMTZAAAAAAABBBBBBCC23, author = {Barbara R. Terlouw and Kai Blin and Jorge C. Navarro{-}Mu{\~{n}}oz and Nicole E. Avalon and Marc G. Chevrette and Susan Egbert and Sanghoon Lee and David Meijer and Michael J. Recchia and Zachary L. Reitz and Jeffrey A. van Santen and Nelly Selem Mojica and Thomas T{\o}rring and Liana Zaroubi and Mohammad Alanjary and Gajender Aleti and C{\'{e}}sar Aguilar and Suhad A. Al{-}Salihi and Hannah E. Augustijn and J. Abraham Avelar{-}Rivas and Luis A. Avitia{-}Dom{\'{\i}}nguez and Francisco Barona{-}G{\'{o}}mez and Jordan Bernaldo{-}Ag{\"{u}}ero and Vincent A. Bielinski and Friederike Biermann and Thomas J. Booth and J. Carrion Bravo and Raquel Castelo{-}Branco and Fernanda O. Chagas and Pablo Cruz{-}Morales and Chao Du and Katherine R. Duncan and Athina Gavriilidou and Damien Gayrard and Karina Guti{\'{e}}rrez{-}Garc{\'{\i}}a and Kristina Haslinger and Eric J. N. Helfrich and Justin J. J. van der Hooft and Afif P. Jati and Edward Kalkreuter and Nikolaos Kalyvas and Kyo Bin Kang and Satria A. Kautsar and Wonyong Kim and Aditya M. Kunjapur and Yong{-}Xin Li and Geng{-}Min Lin and Catarina Loureiro and Joris J. R. Louwen and Nico l L. Louwen and George Lund and Jonathan Parra and Benjamin Philmus and Bita Pourmohsenin and Lotte U. Pronk and Adriana Rego and Devasahayam Arokia Balaya Rex and Serina L. Robinson and L. Rodrigo Rosas{-}Becerra and Eve T. Roxborough and Michelle A. Schorn and Darren J. Scobie and Kumar Saurabh Singh and Nika Sokolova and Xiaoyu Tang and Daniel W. Udwary and Aruna Vigneshwari and Kristiina Vind and Sophie P. J. M. Vromans and Valentin Waschulin and Sam E. Williams and Jaclyn M. Winter and Thomas E. Witte and Huali Xie and Dong Yang and Jingwei Yu and Mitja Zdouc and Zheng Zhong and J{\'{e}}r{\^{o}}me Collemare and Roger G. Linington and Tilmann Weber and Marnix H. Medema}, title = {MIBiG 3.0: a community-driven effort to annotate experimentally validated biosynthetic gene clusters}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {603--610}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac1049}, doi = {10.1093/NAR/GKAC1049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/TerlouwBNACELMRRSMTZAAAAAAABBBBBBCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WishartGPOJBMLSMWBLYFKG23, author = {David S. Wishart and Sagan Girod and Harrison Peters and Eponine Oler and Juan Jovel and Zachary Budinski and Ralph Milford and Vicki W. Lui and Zinat Sayeeda and Robert Mah and William Wei and Hasan Badran and Elvis J. Lo and Mai Yamamoto and Yannick Djoumbou Feunang and Naama Karu and Vasuk Gautam}, title = {ChemFOnt: the chemical functional ontology resource}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {1220--1229}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac919}, doi = {10.1093/NAR/GKAC919}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WishartGPOJBMLSMWBLYFKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BollackMWPLBSCCPFBC23, author = {Ariane Bollack and Pawel J. Markiewicz and Alle Meije Wink and Lloyd Prosser and Johan Lilja and Pierrick Bourgeat and Jonathan M. Schott and William Coath and Lyduine E. Collij and Hugh G. Pemberton and Gill Farrar and Frederik Barkhof and David M. Cash}, title = {Evaluation of novel data-driven metrics of amyloid {\(\beta\)} deposition for longitudinal {PET} studies}, journal = {NeuroImage}, volume = {280}, pages = {120313}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120313}, doi = {10.1016/J.NEUROIMAGE.2023.120313}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BollackMWPLBSCCPFBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/CalabroMLLFHPL23, author = {Finnegan J. Calabro and David F. Montez and Bart Larsen and Charles M. Laymon and William Foran and Michael N. Hallquist and Julie C. Price and Beatriz Luna}, title = {Striatal dopamine supports reward expectation and learning: {A} simultaneous PET/fMRI study}, journal = {NeuroImage}, volume = {267}, pages = {119831}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2022.119831}, doi = {10.1016/J.NEUROIMAGE.2022.119831}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/CalabroMLLFHPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LuppiMRAPWCFPCMBS23, author = {Andrea I. Luppi and Pedro A. M. Mediano and Fernando E. Rosas and Judith Allanson and John D. Pickard and Guy B. Williams and Michael M. Craig and Paola Finoia and Alexander R. D. Peattie and Peter Coppola and David K. Menon and Daniel Bor and Emmanuel A. Stamatakis}, title = {Reduced emergent character of neural dynamics in patients with a disrupted connectome}, journal = {NeuroImage}, volume = {269}, pages = {119926}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.119926}, doi = {10.1016/J.NEUROIMAGE.2023.119926}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LuppiMRAPWCFPCMBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TikVWLSWW23, author = {Martin Tik and Maria Vasileiadi and Michael Woletz and David Linhardt and Anna{-}Lisa Schuler and Nolan R. Williams and Christian Windischberger}, title = {Concurrent TMS/fMRI reveals individual {DLPFC} dose-response pattern}, journal = {NeuroImage}, volume = {282}, pages = {120394}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120394}, doi = {10.1016/J.NEUROIMAGE.2023.120394}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/TikVWLSWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/AbramoffTLTCOEM23, author = {Michael D. Abr{\`{a}}moff and Michelle E. Tarver and Nilsa Loyo{-}Berrios and Sylvia Trujillo and Danton Char and Ziad Obermeyer and Malvina B. Eydelman and William H. Maisel}, title = {Considerations for addressing bias in artificial intelligence for health equity}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00913-9}, doi = {10.1038/S41746-023-00913-9}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/AbramoffTLTCOEM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/BarnettWBBBBBCDDGKKKLMSTWWWZZBW23, author = {Michael Barnett and Dongang Wang and Heidi Beadnall and Antje Bischof and David Brunacci and Helmut Butzkueven and J. William L. Brown and Mariano Cabezas and Tilak Das and Tej Dugal and Daniel Guilfoyle and Alexander Klistorner and Stephen Krieger and Kain Kyle and Linda Ly and Lynette Masters and Andy Shieh and Zihao Tang and Anneke van der Walt and Kayla Ward and Heinz Wiendl and Geng Zhan and Robert Zivadinov and Yael Barnett and Chenyu Wang}, title = {A real-world clinical validation for AI-based {MRI} monitoring in multiple sclerosis}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00940-6}, doi = {10.1038/S41746-023-00940-6}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/BarnettWBBBBBCDDGKKKLMSTWWWZZBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/RutzKCRP23, author = {Philipp Rutz and Christoph Kotthaus and Aparecido Fabiano Pinatti de Carvalho and Dave Randall and Volkmar Pipek}, title = {The Relevance of KES-Oriented Processes for the Implementation of {ERP} Systems: Findings From an Empirical Study in German SMEs}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW2}}, pages = {1--34}, year = {2023}, url = {https://doi.org/10.1145/3610104}, doi = {10.1145/3610104}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/RutzKCRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/TangIJMWRCCSB23, author = {John C. Tang and Kori Inkpen and Sasa Junuzovic and Keri Mallari and Andrew D. Wilson and Sean Rintel and Shiraz Cupala and Tony Carbary and Abigail Sellen and William A. S. Buxton}, title = {Perspectives: Creating Inclusive and Equitable Hybrid Meeting Experiences}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW2}}, pages = {1--25}, year = {2023}, url = {https://doi.org/10.1145/3610200}, doi = {10.1145/3610200}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/TangIJMWRCCSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/WeibertRKAMAR23, author = {Anne Weibert and Nora Oertel Ribeiro and Max Kr{\"{u}}ger and Ahmad Alkhatib and Marcela Muntean and Konstantin Aal and Dave W. Randall}, title = {Literacy and the Process of Becoming Home: Learnings from an Interactive Storytelling-Initiative}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW1}}, pages = {1--29}, year = {2023}, url = {https://doi.org/10.1145/3579503}, doi = {10.1145/3579503}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/WeibertRKAMAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/SahariaHCSFN23, author = {Chitwan Saharia and Jonathan Ho and William Chan and Tim Salimans and David J. Fleet and Mohammad Norouzi}, title = {Image Super-Resolution via Iterative Refinement}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {4}, pages = {4713--4726}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3204461}, doi = {10.1109/TPAMI.2022.3204461}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/SahariaHCSFN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/CarlinRW23, author = {Domhnall Carlin and Austen Rainer and David Wilson}, title = {Where is all the research software? An analysis of software in {UK} academic repositories}, journal = {PeerJ Comput. Sci.}, volume = {9}, pages = {e1546}, year = {2023}, url = {https://doi.org/10.7717/peerj-cs.1546}, doi = {10.7717/PEERJ-CS.1546}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/CarlinRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/FarivarMTCSLKTSP23, author = {Glen G. Farivar and William Manalastas and Hossein Dehghani Tafti and Salvador Ceballos and Alain Sanchez{-}Ruiz and Emma C. Lovell and Georgios Konstantinou and Christopher David Townsend and Madhavi Srinivasan and Josep Pou}, title = {Grid-Connected Energy Storage Systems: State-of-the-Art and Emerging Technologies}, journal = {Proc. {IEEE}}, volume = {111}, number = {4}, pages = {397--420}, year = {2023}, url = {https://doi.org/10.1109/JPROC.2022.3183289}, doi = {10.1109/JPROC.2022.3183289}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/FarivarMTCSLKTSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/AlbantakisBFGHMMZBJSFDHLT23, author = {Larissa Albantakis and Leonardo S. Barbosa and Graham Findlay and Matteo Grasso and Andrew M. Haun and William Marshall and William G. P. Mayner and Alireza Zaeemzadeh and M{\'{e}}lanie Boly and Bj{\o}rn Erik Juel and Shuntaro Sasai and Keiko Fujii and Isaac David and Jeremiah Hendren and Jonathan P. Lang and Giulio Tononi}, title = {Integrated information theory {(IIT)} 4.0: Formulating the properties of phenomenal existence in physical terms}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {10}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011465}, doi = {10.1371/JOURNAL.PCBI.1011465}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/AlbantakisBFGHMMZBJSFDHLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/MalbrankeRDCMB23, author = {Cyril Malbranke and William Rostain and Florence Depardieu and Simona Cocco and R{\'{e}}mi Monasson and David Bikard}, title = {Computational design of novel Cas9 PAM-interacting domains using evolution-based modelling and structural quality assessment}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {11}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011621}, doi = {10.1371/JOURNAL.PCBI.1011621}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/MalbrankeRDCMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/ShefflerYDHFSLB23, author = {William Sheffler and Erin C. Yang and Quinton Dowling and Yang Hsia and Chelsea N. Fries and Jenna Stanislaw and Mark D. Langowski and Marisa Brandys and Zhe Li and Rebecca Skotheim and Andrew J. Borst and Alena Khmelinskaia and Neil P. King and David Baker}, title = {Fast and versatile sequence-independent protein docking for nanomaterials design using RPXDock}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {5}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1010680}, doi = {10.1371/JOURNAL.PCBI.1010680}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/ShefflerYDHFSLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/WestHMMS23, author = {Rebecca K. West and William J. Harrison and Natasha Matthews and Jason B. Mattingley and David K. Sewell}, title = {Modality independent or modality specific? Common computations underlie confidence judgements in visual and auditory decisions}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {7}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011245}, doi = {10.1371/JOURNAL.PCBI.1011245}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/WestHMMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/WuMBFMNRKDFMBMWMSB23, author = {Yue Wu and Steven Mascaro and Mejbah Bhuiyan and Parveen Fathima and Ariel O. Mace and Mark P. Nicol and Peter C. Richmond and Lea{-}Ann Kirkham and Michael Dymock and David A. Foley and Charlie McLeod and Meredith L. Borland and Andrew Martin and Phoebe C. M. Williams and Julie A. Marsh and Thomas L. Snelling and Christopher C. Blyth}, title = {Predicting the causative pathogen among children with pneumonia using a causal Bayesian network}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {3}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1010967}, doi = {10.1371/JOURNAL.PCBI.1010967}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/WuMBFMNRKDFMBMWMSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/programming/SweetDHHEH23, author = {Ian Sweet and David Darais and David Heath and William Harris and Ryan Estes and Michael Hicks}, title = {Symphony: Expressive Secure Multiparty Computation with Coordination}, journal = {Art Sci. Eng. Program.}, volume = {7}, number = {3}, year = {2023}, url = {https://doi.org/10.22152/programming-journal.org/2023/7/14}, doi = {10.22152/PROGRAMMING-JOURNAL.ORG/2023/7/14}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/programming/SweetDHHEH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/ShenKSWJT23, author = {Yizhi Shen and Katherine Klymko and James Sud and David B. Williams{-}Young and Wibe A. de Jong and Norm M. Tubman}, title = {Real-Time Krylov Theory for Quantum Computing Algorithms}, journal = {Quantum}, volume = {7}, pages = {1066}, year = {2023}, url = {https://doi.org/10.22331/q-2023-07-25-1066}, doi = {10.22331/Q-2023-07-25-1066}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/quantum/ShenKSWJT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AeberliPJRL23, author = {Aaron Aeberli and Stuart R. Phinn and Kasper Johansen and Andrew James Robson and David W. Lamb}, title = {Characterisation of Banana Plant Growth Using High-Spatiotemporal-Resolution Multispectral {UAV} Imagery}, journal = {Remote. Sens.}, volume = {15}, number = {3}, pages = {679}, year = {2023}, url = {https://doi.org/10.3390/rs15030679}, doi = {10.3390/RS15030679}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/AeberliPJRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SchreckPJBKJKG23, author = {John S. Schreck and William Petzke and Pedro A. Jimenez and Thomas Brummet and Jason C. Knievel and Eric James and Branko Kosovic and David John Gagne}, title = {Machine Learning and {VIIRS} Satellite Retrievals for Skillful Fuel Moisture Content Monitoring in Wildfire Management}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3372}, year = {2023}, url = {https://doi.org/10.3390/rs15133372}, doi = {10.3390/RS15133372}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SchreckPJBKJKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/StroudDP23, author = {Jasper R. Stroud and William J. Dienstfrey and David F. Plusquellic}, title = {Study on Local Power Plant Emissions Using Multi-Frequency Differential Absorption {LIDAR} and Real-Time Plume Tracking}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4283}, year = {2023}, url = {https://doi.org/10.3390/rs15174283}, doi = {10.3390/RS15174283}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/StroudDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DuncansonTBHRAT23, author = {Kayne A. Duncanson and Simon Thwaites and David Booth and Gary Hanly and William S. P. Robertson and Ehsan Abbasnejad and Dominic Thewlis}, title = {Deep Metric Learning for Scalable Gait-Based Person Re-Identification Using Force Platform Data}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3392}, year = {2023}, url = {https://doi.org/10.3390/s23073392}, doi = {10.3390/S23073392}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/DuncansonTBHRAT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GeanguSMMHKLBTRCHSVAMSM23, author = {Elena Geangu and William A. P. Smith and Harry T. Mason and Astrid Priscilla Martinez{-}Cedillo and David Hunter and Marina I. Knight and Haipeng Liang and Maria del Carmen Garcia de Soria Bazan and Zion Tsz Ho Tse and Thomas Rowland and Dom Corpuz and Josh Hunter and Nishant Singh and Quoc C. Vuong and Mona Ragab Sayed Abdelgayed and David R. Mullineaux and Stephen Smith and Bruce R. Muller}, title = {EgoActive: Integrated Wireless Wearable Sensors for Capturing Infant Egocentric Auditory-Visual Statistics and Autonomic Nervous System Function 'in the Wild'}, journal = {Sensors}, volume = {23}, number = {18}, pages = {7930}, year = {2023}, url = {https://doi.org/10.3390/s23187930}, doi = {10.3390/S23187930}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GeanguSMMHKLBTRCHSVAMSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LoukasVZVMMLPWDBSOG23, author = {Charalampos Loukas and Momchil Vasilev and Rastislav Zimmerman and Randika K. W. Vithanage and Ehsan Mohseni and Charles N. MacLeod and David Lines and Stephen Gareth Pierce and Stewart Williams and Jialuo Ding and Kenneth Burnham and Jim Sibson and Tom O'Hare and Michael R. Grosser}, title = {Transforming Industrial Manipulators via Kinesthetic Guidance for Automated Inspection of Complex Geometries}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3757}, year = {2023}, url = {https://doi.org/10.3390/s23073757}, doi = {10.3390/S23073757}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LoukasVZVMMLPWDBSOG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MatthewsDPDPAASWPG23, author = {Mark William Matthews and Arnold G. Dekker and Ian Price and Nathan Drayson and Joshua Pease and David Antoine and Janet M. Anstee and Robert Sharp and William Woodgate and Stuart R. Phinn and Stephen Gensemer}, title = {Demonstration of a Modular Prototype End-to-End Simulator for Aquatic Remote Sensing Applications}, journal = {Sensors}, volume = {23}, number = {18}, pages = {7824}, year = {2023}, url = {https://doi.org/10.3390/s23187824}, doi = {10.3390/S23187824}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MatthewsDPDPAASWPG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/McClungTWRHL23, author = {Holly L. McClung and William J. Tharion and Leila A. Walker and Maxwell N. Rome and Reed W. Hoyt and David P. Looney}, title = {Using a Contemporary Portable Metabolic Gas Exchange System for Assessing Energy Expenditure: {A} Validity and Reliability Study}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2472}, year = {2023}, url = {https://doi.org/10.3390/s23052472}, doi = {10.3390/S23052472}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/McClungTWRHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParedesKVP23, author = {William David Paredes and Hemani Kaushal and Iman Vakilinia and Zornitza Genova Prodanoff}, title = {LoRa Technology in Flying Ad Hoc Networks: {A} Survey of Challenges and Open Issues}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2403}, year = {2023}, url = {https://doi.org/10.3390/s23052403}, doi = {10.3390/S23052403}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParedesKVP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/HarrisSV23, author = {David G. Harris and Hsin{-}Hao Su and Hoa T. Vu}, title = {On the Locality of Nash-Williams Forest Decomposition and Star-Forest Decomposition}, journal = {{SIAM} J. Discret. Math.}, volume = {37}, number = {2}, pages = {800--830}, year = {2023}, url = {https://doi.org/10.1137/21m1434441}, doi = {10.1137/21M1434441}, timestamp = {Sun, 13 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/HarrisSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/solarjla/RakovicUMEJSPG23, author = {Mladen Rakovic and Nora'ayu Ahmad Uzir and Wannisa Matcha and Brendan R. Eagan and Jelena Jovanovic and David Williamson Shaffer and Abelardo Pardo and Dragan Gasevic}, title = {Network Analytics to Unveil Links of Learning Strategies, Time Management, and Academic Performance in a Flipped Classroom}, journal = {J. Learn. Anal.}, volume = {10}, number = {3}, pages = {64--86}, year = {2023}, url = {https://doi.org/10.18608/jla.2023.7843}, doi = {10.18608/JLA.2023.7843}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/solarjla/RakovicUMEJSPG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/JangBVCJGM23, author = {Youngchan Jang and Eunshin Byon and Soham Vanage and Kristen Cetin and David E. Jahn and William Gallus and Lance Manuel}, title = {Spatiotemporal Post-Calibration in a Numerical Weather Prediction Model for Quantifying Building Energy Consumption}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {20}, number = {4}, pages = {2732--2747}, year = {2023}, url = {https://doi.org/10.1109/TASE.2022.3201475}, doi = {10.1109/TASE.2022.3201475}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/JangBVCJGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/HoffCTLRCISAIETMFRS23, author = {Brad W. Hoff and Zane W. Cohick and Burt S. Tilley and John W. Luginsland and David Revelli and Jason Cox and Hammad Irshad and Amanda Snider and Ariel Arndt and Bennett L. Ibey and Daniel A. Enderich and Robert J. Thomas and Jeremy W. McConaha and Matthew A. Franzi and William P. Roach and Donald A. Shiffler}, title = {Observed Reductions in the Infectivity of Bioaerosols Containing Bovine Coronavirus Under Repetitively Pulsed {RF} Exposure}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {2}, pages = {640--649}, year = {2023}, url = {https://doi.org/10.1109/TBME.2022.3199333}, doi = {10.1109/TBME.2022.3199333}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/HoffCTLRCISAIETMFRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/DonneHWD23, author = {Kelvin E. Donne and David Laurie Hughes and Michael D. Williams and Gareth H. Davies}, title = {The Underlying Complexities Impacting Accelerator Decision Making - {A} Combined Methodological Analysis}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {1}, pages = {312--327}, year = {2023}, url = {https://doi.org/10.1109/TEM.2020.3041558}, doi = {10.1109/TEM.2020.3041558}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/DonneHWD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/OuelletteRJBDTH23, author = {Jeffrey D. Ouellette and Ethan Raines and Joel T. Johnson and William T. Bounds and David J. Dowgiallo and Jakov V. Toporkov and Paul A. Hwang}, title = {Sea Surface Scattering Observations and Predictions Using Satellite-Based S-Band Signals-of-Opportunity}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3300269}, doi = {10.1109/TGRS.2023.3300269}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/OuelletteRJBDTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tochi/EngelbutzederRLASW23, author = {Philip Engelbutzeder and Dave Randall and Marvin Landwehr and Konstantin Aal and Gunnar Stevens and Volker Wulf}, title = {From Surplus and Scarcity toward Abundance: Understanding the Use of {ICT} in Food Resource Sharing Practices}, journal = {{ACM} Trans. Comput. Hum. Interact.}, volume = {30}, number = {5}, pages = {80:1--80:31}, year = {2023}, url = {https://doi.org/10.1145/3589957}, doi = {10.1145/3589957}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tochi/EngelbutzederRLASW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/6gnet/FrieseGBZNMZSPB23, author = {Ingo Friese and Mandy Galkow{-}Schneider and Louay Bassbouss and Alexander Zoubarev and Andy Neparidze and Sergiy Melnyk and Qiuheng Zhou and Hans D. Schotten and Tobias Pfandzelter and David Bermbach and Arndt Kritzner and Enrico Zschau and Prasenjit Dhara and Steve G{\"{o}}ring and William Menz and Alexander Raake and Wolfgang R{\"{u}}ther{-}Kindel and Fabian Quaeck and Nick Stuckert and Robert Vilter}, title = {True 3D Holography: {A} Communication Service of Tomorrow and Its Requirements for a New Converged Cloud and Network Architecture on the Path to 6G}, booktitle = {2nd International Conference on 6G Networking, 6GNet 2023, Paris, France, October 18-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/6GNet58894.2023.10317647}, doi = {10.1109/6GNET58894.2023.10317647}, timestamp = {Tue, 28 Nov 2023 14:39:09 +0100}, biburl = {https://dblp.org/rec/conf/6gnet/FrieseGBZNMZSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/YooBLGBNO23, author = {Minyoung Yoo and Arne Berger and Joseph Lindley and David Philip Green and Yana Boeva and Iohanna Nicenboim and William Odom}, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Beyond Academic Publication: Alternative Outcomes of {HCI} Research}, booktitle = {Companion Publication of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {114--116}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563703.3591458}, doi = {10.1145/3563703.3591458}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/YooBLGBNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/HarmonDRS23, author = {Luke Harmon and Gaetan Delavignette and Arnab Roy and David William Honorio Araujo da Silva}, editor = {Mehdi Tibouchi and Xiaofeng Wang}, title = {{PIE:} p-adic Encoding for High-Precision Arithmetic in Homomorphic Encryption}, booktitle = {Applied Cryptography and Network Security - 21st International Conference, {ACNS} 2023, Kyoto, Japan, June 19-22, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13905}, pages = {425--450}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33488-7\_16}, doi = {10.1007/978-3-031-33488-7\_16}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acns/HarmonDRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/ChapmanAFMB23, author = {Christian D. Chapman and Swaroop Appadwedula and Keith W. Forsythe and William F. Moulder and David W. Browne}, title = {Online Null Adaptation on a Digitally Controllable Reflectarray Receiver}, booktitle = {57th Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2023, Pacific Grove, CA, USA, October 29 - Nov. 1, 2023}, pages = {447--451}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IEEECONF59524.2023.10476996}, doi = {10.1109/IEEECONF59524.2023.10476996}, timestamp = {Tue, 09 Apr 2024 10:37:41 +0200}, biburl = {https://dblp.org/rec/conf/acssc/ChapmanAFMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/ZhaoTGSYALM23, author = {Linxuan Zhao and Yuanru Tan and Dragan Gasevic and David Williamson Shaffer and Lixiang Yan and Riordan Alfredo and Xinyu Li and Roberto Mart{\'{\i}}nez{-}Maldonado}, editor = {Ning Wang and Genaro Rebolledo{-}Mendez and Noboru Matsuda and Olga C. Santos and Vania Dimitrova}, title = {Analysing Verbal Communication in Embodied Team Learning Using Multimodal Data and Ordered Network Analysis}, booktitle = {Artificial Intelligence in Education - 24th International Conference, {AIED} 2023, Tokyo, Japan, July 3-7, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13916}, pages = {242--254}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36272-9\_20}, doi = {10.1007/978-3-031-36272-9\_20}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aied/ZhaoTGSYALM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/LingFWG23, author = {Ye Ling and David M. Frohlich and Tom H. Williamson and Jean{-}Yves Guillemaut}, title = {A toolkit of approaches for digital mapping and correction of visual distortion}, booktitle = {Proceedings of the 25th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} 2023, New York, NY, USA, October 22-25, 2023}, pages = {60:1--60:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3597638.3614510}, doi = {10.1145/3597638.3614510}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/assets/LingFWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/MartinLGWHNKPS23, author = {David Martin and Zikang Leng and Tan Gemicioglu and Jon Womack and Jocelyn Heath and William C. Neubauer and Hyeokhyen Kwon and Thomas Ploetz and Thad Starner}, title = {FingerSpeller: Camera-Free Text Entry Using Smart Rings for American Sign Language Fingerspelling Recognition}, booktitle = {Proceedings of the 25th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} 2023, New York, NY, USA, October 22-25, 2023}, pages = {86:1--86:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3597638.3614491}, doi = {10.1145/3597638.3614491}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/assets/MartinLGWHNKPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/SenaBMDGBOTBSR23, author = {Jessica Sena and Sabyasachi Bandyopadhyay and Mohammad Tahsin Mostafiz and Andrea Davidson and Ziyuan Guan and Jesimon Barreto and Tezcan Ozrazgat{-}Baslanti and Patrick James Tighe and Azra Bihorac and William Robson Schwartz and Parisa Rashidi}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Diurnal Pain Classification in Critically Ill Patients using Machine Learning on Accelerometry and Analgesic Data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {2207--2212}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385764}, doi = {10.1109/BIBM58861.2023.10385764}, timestamp = {Thu, 14 Mar 2024 16:59:09 +0100}, biburl = {https://dblp.org/rec/conf/bibm/SenaBMDGBOTBSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/AlthebeitiFCM23, author = {Hattan Althebeiti and Brett Fazio and William Chen and David Mohaisen}, editor = {Weizhi Meng and Christian Damsgaard Jensen and Cas Cremers and Engin Kirda}, title = {Poster: Mujaz: {A} Summarization-based Approach for Normalized Vulnerability Description}, booktitle = {Proceedings of the 2023 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2023, Copenhagen, Denmark, November 26-30, 2023}, pages = {3537--3539}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576915.3624386}, doi = {10.1145/3576915.3624386}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/AlthebeitiFCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/PajaresWWSH23, author = {Andres Pajares and William P. Wehner and Anders S. Welander and Eugenio Schuster and David A. Humphreys}, title = {A Reference Governor for Plasma-Shape Control in Tokamaks}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2023, Bridgetown, Barbados, August 16-18, 2023}, pages = {804--809}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCTA54093.2023.10253265}, doi = {10.1109/CCTA54093.2023.10253265}, timestamp = {Thu, 28 Sep 2023 09:28:41 +0200}, biburl = {https://dblp.org/rec/conf/ccta/PajaresWWSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/EngelbutzederBB23, author = {Philip Engelbutzeder and Yannick Bollmann and Katie Berns and Marvin Landwehr and Franka Sch{\"{a}}fer and Dave Randall and Volker Wulf}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {(Re-)Distributional Food Justice: Negotiating conflicting views of fairness within a local grassroots community}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {136:1--136:16}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581527}, doi = {10.1145/3544548.3581527}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/EngelbutzederBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/OriniDRYTML23, author = {Michele Orini and Stefan van Duijvenboden and Julia Ram{\'{\i}}rez and William J. Young and Andrew Tinker and Patricia B. Munroe and Pier D. Lambiase}, title = {Ultra-Short Beat-to-Beat Repolarization Variability Predicts Cardiovascular Events in Individuals Without Cardiovascular Disease}, booktitle = {Computing in Cardiology, CinC 2023, Atlanta, GA, USA, October 1-4, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.22489/CinC.2023.178}, doi = {10.22489/CINC.2023.178}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/OriniDRYTML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/RamirezMDOYTLMM23, author = {Julia Ram{\'{\i}}rez and Antonio Miguel and Stefan van Duijvenboden and Michele Orini and William J. Young and Andrew Tinker and Pier D. Lambiase and Patricia B. Munroe and Juan Pablo Mart{\'{\i}}nez}, title = {A Multilayer {CNN} Using the ECG, Age and Sex Predicts Ventricular Arrhythmias in the General Population}, booktitle = {Computing in Cardiology, CinC 2023, Atlanta, GA, USA, October 1-4, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.22489/CinC.2023.342}, doi = {10.22489/CINC.2023.342}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/RamirezMDOYTLMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colt/GamarnikK0X23, author = {David Gamarnik and Eren C. Kizildag and Will Perkins and Changji Xu}, editor = {Gergely Neu and Lorenzo Rosasco}, title = {Geometric Barriers for Stable and Online Algorithms for Discrepancy Minimization}, booktitle = {The Thirty Sixth Annual Conference on Learning Theory, {COLT} 2023, 12-15 July 2023, Bangalore, India}, series = {Proceedings of Machine Learning Research}, volume = {195}, pages = {3231--3263}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v195/gamarnik23a.html}, timestamp = {Wed, 06 Sep 2023 17:49:05 +0200}, biburl = {https://dblp.org/rec/conf/colt/GamarnikK0X23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0001SMPNPOLFSB023, author = {Su Wang and Chitwan Saharia and Ceslee Montgomery and Jordi Pont{-}Tuset and Shai Noy and Stefano Pellegrini and Yasumasa Onoe and Sarah Laszlo and David J. Fleet and Radu Soricut and Jason Baldridge and Mohammad Norouzi and Peter Anderson and William Chan}, title = {Imagen Editor and EditBench: Advancing and Evaluating Text-Guided Image Inpainting}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {18359--18369}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01761}, doi = {10.1109/CVPR52729.2023.01761}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0001SMPNPOLFSB023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FornaciariACDGMZ23, author = {William Fornaciari and Giovanni Agosta and Daniele Cattaneo and Lev Denisov and Andrea Galimberti and Gabriele Magnani and Davide Zoni}, title = {Hardware and Software Support for Mixed Precision Computing: a Roadmap for Embedded and {HPC} Systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137092}, doi = {10.23919/DATE56975.2023.10137092}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FornaciariACDGMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ChenGGCWD23, author = {Yunmo Chen and William Gantt and Weiwei Gu and Tongfei Chen and Aaron Steven White and Benjamin Van Durme}, editor = {Andreas Vlachos and Isabelle Augenstein}, title = {Iterative Document-level Information Extraction via Imitation Learning}, booktitle = {Proceedings of the 17th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2023, Dubrovnik, Croatia, May 2-6, 2023}, pages = {1850--1866}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.eacl-main.136}, doi = {10.18653/V1/2023.EACL-MAIN.136}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ChenGGCWD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/0002PJ0WHC23, author = {Min Suk Lee and Akshay Paul and Tae Houn Joung and Yuchen Xu and Jiajia Wu and W. David Hairston and Gert Cauwenberghs}, title = {Scalable Anatomically-Tunable Fully In-Ear Dry-Electrode Array for User-Generic Unobtrusive Electrophysiology}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340888}, doi = {10.1109/EMBC40787.2023.10340888}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/0002PJ0WHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/BungayERAW0W23, author = {James Bungay and Osasenaga Emokpae and Samuel D. Relton and Jane E. Alty and Stefan Williams and Hui Fang and David C. Wong}, title = {Contactless hand tremor amplitude measurement using smartphones: development and pilot evaluation}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340420}, doi = {10.1109/EMBC40787.2023.10340420}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/BungayERAW0W23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZhaoBPWLK23, author = {Yun Zhao and Mario Boley and Andria Pelentritou and William Woods and David T. J. Liley and Levin Kuhlmann}, title = {Inference-based time-resolved stability analysis of nonlinear whole-cortex modeling: application to Xenon anaesthesia}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340417}, doi = {10.1109/EMBC40787.2023.10340417}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZhaoBPWLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChenGCWD23, author = {Yunmo Chen and William Gantt and Tongfei Chen and Aaron Steven White and Benjamin Van Durme}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {A Unified View of Evaluation Metrics for Structured Prediction}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {12868--12882}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.795}, doi = {10.18653/V1/2023.EMNLP-MAIN.795}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChenGCWD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/EsiobuTHUZFDPWS23, author = {David Esiobu and Xiaoqing Ellen Tan and Saghar Hosseini and Megan Ung and Yuchen Zhang and Jude Fernandes and Jane Dwivedi{-}Yu and Eleonora Presani and Adina Williams and Eric Michael Smith}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{ROBBIE:} Robust Bias Evaluation of Large Generative Language Models}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {3764--3814}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.230}, doi = {10.18653/V1/2023.EMNLP-MAIN.230}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/EsiobuTHUZFDPWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/NathaniWPW23, author = {Deepak Nathani and David Wang and Liangming Pan and William Yang Wang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{MAF:} Multi-Aspect Feedback for Improving Reasoning in Large Language Models}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {6591--6616}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.407}, doi = {10.18653/V1/2023.EMNLP-MAIN.407}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/NathaniWPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/SunAKKXW23, author = {David Q. Sun and Artem Abzaliev and Hadas Kotek and Christopher Klein and Zidi Xiu and Jason D. Williams}, editor = {Mingxuan Wang and Imed Zitouni}, title = {{DELPHI:} Data for Evaluating LLMs' Performance in Handling Controversial Issues}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing: {EMNLP} 2023 - Industry Track, Singapore, December 6-10, 2023}, pages = {820--827}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-industry.76}, doi = {10.18653/V1/2023.EMNLP-INDUSTRY.76}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/SunAKKXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurogp/LangdonABC23, author = {William B. Langdon and Afnan A. Al{-}Subaihin and Aymeric Blot and David Clark}, editor = {Gisele L. Pappa and Mario Giacobini and Zdenek Vas{\'{\i}}cek}, title = {Genetic Improvement of {LLVM} Intermediate Representation}, booktitle = {Genetic Programming - 26th European Conference, EuroGP 2023, Held as Part of EvoStar 2023, Brno, Czech Republic, April 12-14, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13986}, pages = {244--259}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-29573-7\_16}, doi = {10.1007/978-3-031-29573-7\_16}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurogp/LangdonABC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/WilliamsTCPGM23, author = {Jeremy J. Williams and David Tskhakaya and Stefan Costea and Ivy Bo Peng and Marta Garcia{-}Gasulla and Stefano Markidis}, editor = {Demetris Zeinalipour and Dora Blanco Heras and George Pallis and Herodotos Herodotou and Demetris Trihinas and Daniel Balouek and Patrick Diehl and Terry Cojean and Karl F{\"{u}}rlinger and Maja Hanne Kirkeby and Matteo Nardelli and Pierangelo di Sanzo}, title = {Leveraging {HPC} Profiling and Tracing Tools to Understand the Performance of Particle-in-Cell Monte Carlo Simulations}, booktitle = {Euro-Par 2023: Parallel Processing Workshops - Euro-Par 2023 International Workshops, Limassol, Cyprus, August 28 - September 1, 2023, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14351}, pages = {123--134}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-50684-0\_10}, doi = {10.1007/978-3-031-50684-0\_10}, timestamp = {Wed, 24 Apr 2024 16:58:26 +0200}, biburl = {https://dblp.org/rec/conf/europar/WilliamsTCPGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fat/KimBGHHLMPRSSTV23, author = {Jee Young Kim and William Boag and Freya Gulamali and Alifia Hasan and Henry David Jeffry Hogg and Mark Lifson and Deirdre K. Mulligan and Manesh Patel and Inioluwa Deborah Raji and Ajai Sehgal and Keo Shaw and Danny Tobey and Alexandra Valladares and David E. Vidal and Suresh Balu and Mark P. Sendak}, title = {Organizational Governance of Emerging Technologies: {AI} Adoption in Healthcare}, booktitle = {Proceedings of the 2023 {ACM} Conference on Fairness, Accountability, and Transparency, FAccT 2023, Chicago, IL, USA, June 12-15, 2023}, pages = {1396--1417}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3593013.3594089}, doi = {10.1145/3593013.3594089}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fat/KimBGHHLMPRSSTV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fat/QueerinaiOSSVSL23, author = {Organizers Of QueerInAI and Anaelia Ovalle and Arjun Subramonian and Ashwin Singh and Claas Voelcker and Danica J. Sutherland and Davide Locatelli and Eva Breznik and Filip Klubicka and Hang Yuan and Hetvi Jethwani and Huan Zhang and Jaidev Shriram and Kruno Lehman and Luca Soldaini and Maarten Sap and Marc Peter Deisenroth and Maria Leonor Pacheco and Maria Ryskina and Martin Mundt and Milind Agarwal and Nyx McLean and Pan Xu and Pranav A and Raj Korpan and Ruchira Ray and Sarah Mathew and Sarthak Arora and St John and Tanvi Anand and Vishakha Agrawal and William Agnew and Yanan Long and Zijie J. Wang and Zeerak Talat and Avijit Ghosh and Nathaniel Dennler and Michael Noseworthy and Sharvani Jha and Emi Baylor and Aditya Joshi and Natalia Y. Bilenko and Andrew McNamara and Raphael Gontijo Lopes and Alex Markham and Evyn Dong and Jackie Kay and Manu Saraswat and Nikhil Vytla and Luke Stark}, title = {Queer In {AI:} {A} Case Study in Community-Led Participatory {AI}}, booktitle = {Proceedings of the 2023 {ACM} Conference on Fairness, Accountability, and Transparency, FAccT 2023, Chicago, IL, USA, June 12-15, 2023}, pages = {1882--1895}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3593013.3594134}, doi = {10.1145/3593013.3594134}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fat/QueerinaiOSSVSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fct/EvansK23, author = {William S. Evans and David G. Kirkpatrick}, editor = {Henning Fernau and Klaus Jansen}, title = {Minimizing Query Frequency to Bound Congestion Potential for Moving Entities at a Fixed Target Time}, booktitle = {Fundamentals of Computation Theory - 24th International Symposium, {FCT} 2023, Trier, Germany, September 18-21, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14292}, pages = {162--175}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43587-4\_12}, doi = {10.1007/978-3-031-43587-4\_12}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fct/EvansK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fimh/QureshiBONALWNA23, author = {Ahmed Qureshi and Maximilian Balmus and Shaheim Ogbomo{-}Harmitt and Dmitry Nechipurenko and Fazoil Ataullakhanov and Gregory Y. H. Lip and Steven E. Williams and David Nordsletten and Oleg V. Aslanidi and Adelaide de Vecchi}, editor = {Olivier Bernard and Patrick Clarysse and Nicolas Duchateau and Jacques Ohayon and Magalie Viallon}, title = {Modelling Blood Flow and Biochemical Reactions Underlying Thrombogenesis in Atrial Fibrillation}, booktitle = {Functional Imaging and Modeling of the Heart - 12th International Conference, {FIMH} 2023, Lyon, France, June 19-22, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13958}, pages = {435--444}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35302-4\_45}, doi = {10.1007/978-3-031-35302-4\_45}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fimh/QureshiBONALWNA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LangdonPB023, author = {William B. Langdon and Justyna Petke and Aymeric Blot and David Clark}, editor = {Sara Silva and Lu{\'{\i}}s Paquete}, title = {Genetically Improved Software with fewer Data Cache Misses}, booktitle = {Companion Proceedings of the Conference on Genetic and Evolutionary Computation, {GECCO} 2023, Companion Volume, Lisbon, Portugal, July 15-19, 2023}, pages = {799--802}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583133.3590542}, doi = {10.1145/3583133.3590542}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/gecco/LangdonPB023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/WojnaGBFWL23, author = {Katarzyna Wojna and Orestis Georgiou and David Beattie and William Frier and Michael Wright and Christof Lutteroth}, title = {An Exploration of Just Noticeable Differences in Mid-Air Haptics}, booktitle = {{IEEE} World Haptics Conference, {WHC} 2023, Delft, Netherlands, July 10-13, 2023}, pages = {410--416}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WHC56415.2023.10224388}, doi = {10.1109/WHC56415.2023.10224388}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/WojnaGBFWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/CernaMHHR23, author = {Katerina Cern{\'{a}} and Claudia M{\"{u}}ller and Martin Hunker and Florian Hitpass and Dave W. Randall}, editor = {Qin Gao and Jia Zhou}, title = {Designing for Self-directed Learning: Co-creating a Demokit with Older Adults}, booktitle = {Human Aspects of {IT} for the Aged Population - 9th International Conference, {ITAP} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14042}, pages = {3--22}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34866-2\_1}, doi = {10.1007/978-3-031-34866-2\_1}, timestamp = {Thu, 13 Jul 2023 13:25:14 +0200}, biburl = {https://dblp.org/rec/conf/hci/CernaMHHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TeogalboAABDGLSSWWV23, author = {Digno J. R. Teogalbo and David Auner and Natalie Ayala and Charles Burnell and Trice Dayrit and Gamma Gamel and Nick Lotspeich and Alex Smith and Steve Swanson and Elias Willerup and Brady Williamson and Ben Villanueva}, editor = {Jessie Y. C. Chen and Gino Fragomeni}, title = {Mixed Reality Applications for Manipulating Robots and Rovers: {ARSIS} 6.0}, booktitle = {Virtual, Augmented and Mixed Reality - 15th International Conference, {VAMR} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14027}, pages = {382--394}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35634-6\_27}, doi = {10.1007/978-3-031-35634-6\_27}, timestamp = {Thu, 13 Jul 2023 13:25:09 +0200}, biburl = {https://dblp.org/rec/conf/hci/TeogalboAABDGLSSWWV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/RullerAT0RW23, author = {Sarah R{\"{u}}ller and Konstantin Aal and Peter Tolmie and David Randall and Markus Rohde and Volker Wulf}, editor = {Tung X. Bui}, title = {Rurality and Tourism in Transition: How Digitalization Transforms the Character and Landscape of the Tourist Economy in Rural Morocco}, booktitle = {56th Hawaii International Conference on System Sciences, {HICSS} 2023, Maui, Hawaii, USA, January 3-6, 2023}, pages = {3994--4003}, publisher = {ScholarSpace}, year = {2023}, url = {https://hdl.handle.net/10125/103119}, timestamp = {Thu, 16 Feb 2023 16:52:47 +0100}, biburl = {https://dblp.org/rec/conf/hicss/RullerAT0RW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/GalimbertiMFZ23, author = {Andrea Galimberti and Gabriele Montanaro and William Fornaciari and Davide Zoni}, editor = {Jo{\~{a}}o Bispo and Henri{-}Pierre Charles and Stefano Cherubin and Giuseppe Massari}, title = {An Evaluation of the State-Of-The-Art Software and Hardware Implementations of {BIKE}}, booktitle = {14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, {PARMA-DITAM} 2023, January 17, 2023, Toulouse, France}, series = {OASIcs}, volume = {107}, pages = {4:1--4:12}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/OASIcs.PARMA-DITAM.2023.4}, doi = {10.4230/OASICS.PARMA-DITAM.2023.4}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/GalimbertiMFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/PiccoliZFMCRSR23, author = {Michele Piccoli and Davide Zoni and William Fornaciari and Giuseppe Massari and Marco Cococcioni and Federico Rossi and Sergio Saponara and Emanuele Ruffaldi}, editor = {Jo{\~{a}}o Bispo and Henri{-}Pierre Charles and Stefano Cherubin and Giuseppe Massari}, title = {Dynamic Power Consumption of the Full Posit Processing Unit: Analysis and Experiments}, booktitle = {14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, {PARMA-DITAM} 2023, January 17, 2023, Toulouse, France}, series = {OASIcs}, volume = {107}, pages = {6:1--6:11}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/OASIcs.PARMA-DITAM.2023.6}, doi = {10.4230/OASICS.PARMA-DITAM.2023.6}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/PiccoliZFMCRSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcasia/ElwasifGHHHJKLM23, author = {Wael R. Elwasif and William F. Godoy and Nick Hagerty and James Austin Harris and Oscar R. Hernandez and B{\'{a}}lint Jo{\'{o}} and Paul R. C. Kent and Damien Lebrun{-}Grandi{\'{e}} and Elijah A. MacCarthy and Ver{\'{o}}nica G. Melesse Vergara and Bronson Messer and Ross Miller and Sarp Oral and Sergei I. Bastrakov and Michael Bussmann and Alexander Debus and Klaus Steiniger and Jan Stephan and Ren{\'{e}} Widera and Spencer H. Bryngelson and Henry Le Berre and Anand Radhakrishnan and Jeffrey Young and Sunita Chandrasekaran and Florina M. Ciorba and Osman Simsek and Kate Clark and Filippo Spiga and Jeff R. Hammond and John E. Stone and David J. Hardy and Sebastian Keller and Jean{-}Guillaume Piccinali and Christian Trott}, title = {Application Experiences on a GPU-Accelerated Arm-based {HPC} Testbed}, booktitle = {Proceedings of the {HPC} Asia 2023 Workshops, {HPC} Asia 2023, Singapore, 27 February 2023 - 2 March 2023}, pages = {35--49}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581576.3581621}, doi = {10.1145/3581576.3581621}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcasia/ElwasifGHHHJKLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/ByunABBGHHJJKMMMMPRRSYK23, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Anna Klein and Peter Michaleas and Lauren Milechin and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {pPython Performance Study}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2023, Boston, MA, USA, September 25-29, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPEC58863.2023.10363604}, doi = {10.1109/HPEC58863.2023.10363604}, timestamp = {Mon, 22 Jan 2024 20:34:11 +0100}, biburl = {https://dblp.org/rec/conf/hpec/ByunABBGHHJJKMMMMPRRSYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/JananthanKJABBBDGGHHKMMMMPPPPRRSTWYM23, author = {Hayden Jananthan and Jeremy Kepner and Michael Jones and William Arcand and David Bestor and William Bergeron and Chansup Byun and Timothy Davis and Vijay Gadepally and Daniel Grant and Michael Houle and Matthew Hubbell and Anna Klein and Lauren Milechin and Guillermo Morales and Andrew Morris and Julie Mullen and Ritesh Patel and Alex Pentland and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Tyler Trigg and Gabriel Wachman and Charles Yee and Peter Michaleas}, title = {Mapping of Internet "Coastlines" via Large Scale Anonymized Network Source Correlations}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2023, Boston, MA, USA, September 25-29, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPEC58863.2023.10363488}, doi = {10.1109/HPEC58863.2023.10363488}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/JananthanKJABBBDGGHHKMMMMPPPPRRSTWYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/JonesKPDABBBGHHJKMMMPPRRSYM23, author = {Michael Jones and Jeremy Kepner and Andrew Prout and Timothy Davis and William Arcand and David Bestor and William Bergeron and Chansup Byun and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Lauren Milechin and Guillermo Morales and Julie Mullen and Ritesh Patel and Sandeep Pisharody and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, title = {Deployment of Real-Time Network Traffic Analysis Using GraphBLAS Hypersparse Matrices and {D4M} Associative Arrays}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2023, Boston, MA, USA, September 25-29, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPEC58863.2023.10363581}, doi = {10.1109/HPEC58863.2023.10363581}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/JonesKPDABBBGHHJKMMMPPRRSYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/KepnerJDBDJABBGHHKMMMPPPPRRSTYM23, author = {Jeremy Kepner and Michael Jones and Phil Dykstra and Chansup Byun and Timothy Davis and Hayden Jananthan and William Arcand and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Lauren Milechin and Guillermo Morales and Julie Mullen and Ritesh Patel and Alex Pentland and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Tyler Trigg and Charles Yee and Peter Michaleas}, title = {Focusing and Calibration of Large Scale Network Sensors Using GraphBLAS Anonymized Hypersparse Matrices}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2023, Boston, MA, USA, September 25-29, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPEC58863.2023.10363471}, doi = {10.1109/HPEC58863.2023.10363471}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/KepnerJDBDJABBGHHKMMMPPPPRRSTYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/LucaFPSW23, author = {Ernesto William De Luca and Manuel Fiorelli and Davide Picca and Armando Stellato and Sabine Wehnert}, title = {Legal Information Retrieval meets Artificial Intelligence {(LIRAI)}}, booktitle = {Proceedings of the 34th {ACM} Conference on Hypertext and Social Media, {HT} 2023, Rome, Italy, September 4-8, 2023}, pages = {46:1--46:4}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3603163.3610575}, doi = {10.1145/3603163.3610575}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ht/LucaFPSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaif/Buet-GolfouseM23, author = {Francois Buet{-}Golfouse and Nicholas William David Martin}, title = {Lifting Volterra Diffusions via Kernel Decomposition}, booktitle = {4th {ACM} International Conference on {AI} in Finance, {ICAIF} 2023, Brooklyn, NY, USA, November 27-29, 2023}, pages = {481--489}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3604237.3626914}, doi = {10.1145/3604237.3626914}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaif/Buet-GolfouseM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/PisirirWKSPTM23, author = {Erhan Pisirir and Jared M. Wohlgemut and Evangelia Kyrimi and Rebecca S. Stoner and Zane B. Perkins and Nigel R. M. Tai and D. William R. Marsh}, title = {A Process for Evaluating Explanations for Transparent and Trustworthy {AI} Prediction Models}, booktitle = {11th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2023, Houston, TX, USA, June 26-29, 2023}, pages = {388--397}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICHI57859.2023.00058}, doi = {10.1109/ICHI57859.2023.00058}, timestamp = {Wed, 17 Jan 2024 17:11:28 +0100}, biburl = {https://dblp.org/rec/conf/ichi/PisirirWKSPTM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/MartinHTB23, author = {Nicholas William David Martin and Peter Hill and Tingsheng Tan and Francois Buet{-}Golfouse}, editor = {Krystal Maughan and Rosanne Liu and Thomas F. Burns}, title = {Sustainable Resource Management}, booktitle = {The First Tiny Papers Track at {ICLR} 2023, Tiny Papers @ {ICLR} 2023, Kigali, Rwanda, May 5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=DLwlmWwmJBi}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/MartinHTB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/WarnerDTSK23, author = {John G. Warner and Quinton Davidson and Michael Tietz and William Scharpf and Charles Keene}, title = {Characterizing CNN-Based Vessel Detection Algorithm Sensitivity to Optical Sensor Artifacts}, booktitle = {International Conference on Machine Learning and Applications, {ICMLA} 2023, Jacksonville, FL, USA, December 15-17, 2023}, pages = {756--763}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMLA58977.2023.00110}, doi = {10.1109/ICMLA58977.2023.00110}, timestamp = {Tue, 02 Apr 2024 21:06:13 +0200}, biburl = {https://dblp.org/rec/conf/icmla/WarnerDTSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/0002ES23, author = {Zhiqiang Cai and Brendan R. Eagan and David Williamson Shaffer}, editor = {Golnaz Arastoopour Irgens and Simon Knight}, title = {Negative Reversion: Toward Intelligent Co-raters for Coding Qualitative Data in Quantitative Ethnography}, booktitle = {Advances in Quantitative Ethnography - 5th International Conference, {ICQE} 2023, Melbourne, VIC, Australia, October 8-12, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1895}, pages = {426--437}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47014-1\_29}, doi = {10.1007/978-3-031-47014-1\_29}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/0002ES23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/0002MEXS23, author = {Zhiqiang Cai and Cody Marquart and Brendan R. Eagan and Yaxuan Xiao and David Williamson Shaffer}, editor = {Golnaz Arastoopour Irgens and Simon Knight}, title = {A Lightweight Interactive Regular Expression Generator for Qualitative Coding in Quantitative Ethnography}, booktitle = {Advances in Quantitative Ethnography - 5th International Conference, {ICQE} 2023, Melbourne, VIC, Australia, October 8-12, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1895}, pages = {455--469}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47014-1\_31}, doi = {10.1007/978-3-031-47014-1\_31}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/0002MEXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/RuisTBYW0S23, author = {Andrew R. Ruis and Yuanru Tan and Jais Brohinsky and Binrui Yang and Yeyu Wang and Zhiqiang Cai and David Williamson Shaffer}, editor = {Golnaz Arastoopour Irgens and Simon Knight}, title = {Thin Data, Thick Description: Modeling Socio-Environmental Problem-Solving Trajectories in Localized Land-Use Simulations}, booktitle = {Advances in Quantitative Ethnography - 5th International Conference, {ICQE} 2023, Melbourne, VIC, Australia, October 8-12, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1895}, pages = {349--364}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47014-1\_24}, doi = {10.1007/978-3-031-47014-1\_24}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/RuisTBYW0S23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/WangSJWAES23, author = {Yeyu Wang and Mamta Shah and Francisco A. Jimenez and Cheryl Wilson and Muhammad Ashiq and Brendan R. Eagan and David Williamson Shaffer}, editor = {Golnaz Arastoopour Irgens and Simon Knight}, title = {Developing Nursing Students' Practice Readiness with Shadow Health{\textregistered} Digital Clinical Experiences\({}^{\mbox{rmTM}}\): {A} Transmodal Analysis}, booktitle = {Advances in Quantitative Ethnography - 5th International Conference, {ICQE} 2023, Melbourne, VIC, Australia, October 8-12, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1895}, pages = {365--380}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47014-1\_25}, doi = {10.1007/978-3-031-47014-1\_25}, timestamp = {Fri, 26 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/WangSJWAES23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/YanTSGSZLM23, author = {Lixiang Yan and Yuanru Tan and Zachari Swiecki and Dragan Gasevic and David Williamson Shaffer and Linxuan Zhao and Xinyu Li and Roberto Mart{\'{\i}}nez{-}Maldonado}, editor = {Golnaz Arastoopour Irgens and Simon Knight}, title = {Characterising Individual-Level Collaborative Learning Behaviours Using Ordered Network Analysis and Wearable Sensors}, booktitle = {Advances in Quantitative Ethnography - 5th International Conference, {ICQE} 2023, Melbourne, VIC, Australia, October 8-12, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1895}, pages = {66--80}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47014-1\_5}, doi = {10.1007/978-3-031-47014-1\_5}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/YanTSGSZLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ValenciaJLHLTGLMW23, author = {David Valencia and John Jia and Raymond Li and Alex Hayashi and Megan Lecchi and Reuel Terezakis and Trevor Gee and Minas V. Liarokapis and Bruce A. MacDonald and Henry Williams}, title = {Comparison of Model-Based and Model-Free Reinforcement Learning for Real-World Dexterous Robotic Manipulation Tasks}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {871--878}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160983}, doi = {10.1109/ICRA48891.2023.10160983}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/ValenciaJLHLTGLMW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/MnaymnehYNJLHPDW23, author = {Khaled Mnaymneh and Edith Yeung and David B. Northeast and Jeongwan Jin and Patrick Laferri{\`{e}}re and Sofiane Haffouz and Philip J. Poole and Dan Dalacu and Robin L. Williams}, title = {Silicon Nitride Integrated Quantum Photonics}, booktitle = {23rd International Conference on Transparent Optical Networks, {ICTON} 2023, Bucharest, Romania, July 2-6, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTON59386.2023.10207494}, doi = {10.1109/ICTON59386.2023.10207494}, timestamp = {Tue, 15 Aug 2023 11:57:21 +0200}, biburl = {https://dblp.org/rec/conf/icton/MnaymnehYNJLHPDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/MontautRYSCJMFKRCWZLKCCLMCMAM23, author = {Nicola Montaut and Piotr Roztocki and Hao Yu and Stefania Sciara and Mario Chemnitz and Yoann Jestin and Benjamin MacLellan and Bennet Fischer and Michael Kues and Christian Reimer and Luis Romero Cort{\'{e}}s and Benjamin Wetzel and Yanbing Zhang and Sebastien Loranger and Raman Kashyap and Alfonso Cino and Sai T. Chu and Brent E. Little and David J. Moss and Lucia Caspani and William J. Munro and Jos{\'{e}} Aza{\~{n}}a and Roberto Morandotti}, title = {Scalable Quantum Signal Processing with Integrated Photonics and Fiber-based Modules}, booktitle = {23rd International Conference on Transparent Optical Networks, {ICTON} 2023, Bucharest, Romania, July 2-6, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTON59386.2023.10207524}, doi = {10.1109/ICTON59386.2023.10207524}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icton/MontautRYSCJMFKRCWZLKCCLMCMAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/BrightAHMMTCDFGHJKPRSW23, author = {Courtney Bright and David Ardila and Erin L. Hestir and Timothy J. Malthus and Mark William Matthews and David R. Thompson and Nick Carter and Arnold G. Dekker and Renato Prata de Moraes Frasson and Robert O. Green and Alex Held and Klaus Joehnk and Jeremy Kravitz and Joshua Pease and Chris M. Roelfsema and Carl Seubert and Bozena Wojtasiewicz}, title = {The AquaSat-1 Mission Concept: Actionable Information on Water Quality and Aquatic Ecosystems for Australia and Western {USA}}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {4590--4593}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282912}, doi = {10.1109/IGARSS52108.2023.10282912}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/BrightAHMMTCDFGHJKPRSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GlieseKRABBBBBBCCCCCCCCCDDEEFFGGHHHH23, author = {Ulrik Gliese and David Kubalak and Zakk Rhodes and Craig R. Auletti and Sachidananda R. Babu and Branimir Blagojevic and Kasey Boggs and Robert Bousquet and Gregory Bredthauer and Gary L. Brown and Nga T. Cao and Thomas L. Capon and James Champagne and Leland H. Chemerys and Felix N. Chi and Brian L. Clemons and James Cook and William B. Cook and Nicholas P. Costen and Kevin R. Dahya and Paul V. Dizon and Roy Esplin and Robert Estep and Ali Feizi and Steven H. Feng and Eric T. Gorman and Jeffrey Guzek and Omar Haddad and Claef F. Hakun and Locksley B. Haynes and Michael J. Hersh and Carrie S. Hill and David G. Holliday and Luis Ramos{-}Izquierdo and Kim S. Jepsen and Emily Kan and Bradford P. Kercheval and Saman Kholdebarin and Joseph J. Knuble and Anh T. La and Erik D. Laurila and Michael R. Lin and Wei Lu and Albert J. Mariano and Lane A. Meier and Gerhard Meister and Bryan Monosmith and David Mott and Michael M. Mulloney and Quang V. Nguyen and Thomas J. Nolan and Matthew A. Owens and James Peterson and Manuel A. Quijada and Knute A. Ray and Kenneth Squire and Christopher P. Stull and Joe Thomes and Eugene Waluschka and Yiting Wen and Mark E. Wilson and Jeremy Werdell}, title = {Optical and Detector Design of the Ocean Color Instrument for the {NASA} Pace Mission}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {1337--1340}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10281729}, doi = {10.1109/IGARSS52108.2023.10281729}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/GlieseKRABBBBBBCCCCCCCCCDDEEFFGGHHHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/VetawCBWJ23, author = {Gregory D. Vetaw and Benjamin Cowen and Daniel C. Brown and David Williams and Suren Jayasuriya}, title = {Learning-Based Tone Mapping to Improve 3D {SAS} {ATR}}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {6995--6998}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10281904}, doi = {10.1109/IGARSS52108.2023.10281904}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/VetawCBWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/inlg/HowcroftLGG23, author = {David M. Howcroft and William Lamb and Anna Groundwater and Dimitra Gkatzia}, editor = {C. Maria Keet and Hung{-}Yi Lee and Sina Zarrie{\ss}}, title = {Building a dual dataset of text- and image-grounded conversations and summarisation in G{\`{a}}idhlig (Scottish Gaelic)}, booktitle = {Proceedings of the 16th International Natural Language Generation Conference, {INLG} 2023, Prague, Czechia, September 11 - 15, 2023}, pages = {443--448}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.inlg-main.34}, doi = {10.18653/V1/2023.INLG-MAIN.34}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/inlg/HowcroftLGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/HenzingerJPW23, author = {Monika Henzinger and Billy Jin and Richard Peng and David P. Williamson}, editor = {Yael Tauman Kalai}, title = {A Combinatorial Cut-Toggling Algorithm for Solving Laplacian Linear Systems}, booktitle = {14th Innovations in Theoretical Computer Science Conference, {ITCS} 2023, January 10-13, 2023, MIT, Cambridge, Massachusetts, {USA}}, series = {LIPIcs}, volume = {251}, pages = {69:1--69:22}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2023.69}, doi = {10.4230/LIPICS.ITCS.2023.69}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/HenzingerJPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/JinKW23, author = {Billy Jin and Nathan Klein and David P. Williamson}, editor = {Alberto Del Pia and Volker Kaibel}, title = {A 4/3-Approximation Algorithm for Half-Integral Cycle Cut Instances of the {TSP}}, booktitle = {Integer Programming and Combinatorial Optimization - 24th International Conference, {IPCO} 2023, Madison, WI, USA, June 21-23, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13904}, pages = {217--230}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-32726-1\_16}, doi = {10.1007/978-3-031-32726-1\_16}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipco/JinKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SidLakhdarCBALGTJWDDA23, author = {Wissam M. Sid{-}Lakhdar and S{\'{e}}bastien Cayrols and Daniel Bielich and Ahmad Abdelfattah and Piotr Luszczek and Mark Gates and Stanimire Tomov and Hans Johansen and David B. Williams{-}Young and Timothy A. Davis and Jack J. Dongarra and Hartwig Anzt}, title = {{PAQR:} Pivoting Avoiding {QR} factorization}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {322--332}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPS54959.2023.00040}, doi = {10.1109/IPDPS54959.2023.00040}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/SidLakhdarCBALGTJWDDA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/QureshiSGNSLAMD23, author = {Ans Qureshi and David Smith and Trevor Gee and Mahla Nejati and Jalil Shahabi and JongYoon Lim and Ho Seok Ahn and Benjamin McGuinness and Catherine Downes and Rahul Jangali and Kale Black and Hin Lim and Mike Duke and Bruce A. MacDonald and Henry Williams}, title = {Seeing the Fruit for the Leaves: Robotically Mapping Apple Fruitlets in a Commercial Orchard}, booktitle = {{IROS}}, pages = {3234--3239}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341502}, doi = {10.1109/IROS55552.2023.10341502}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/QureshiSGNSLAMD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LohSIAAAAAABBBB23, author = {Gabriel H. Loh and Michael J. Schulte and Mike Ignatowski and Vignesh Adhinarayanan and Shaizeen Aga and Derrick Aguren and Varun Agrawal and Ashwin M. Aji and Johnathan Alsop and Paul T. Bauman and Bradford M. Beckmann and Majed Valad Beigi and Sergey Blagodurov and Travis Boraten and Michael Boyer and William C. Brantley and Noel Chalmers and Shaoming Chen and Kevin Cheng and Michael L. Chu and David Cownie and Nicholas Curtis and Joris Del Pino and Nam Duong and Alexandru Dutu and Yasuko Eckert and Christopher Erb and Chip Freitag and Joseph L. Greathouse and Sudhanva Gurumurthi and Anthony Gutierrez and Khaled Hamidouche and Sachin Hossamani and Wei Huang and Mahzabeen Islam and Nuwan Jayasena and John Kalamatianos and Onur Kayiran and Jagadish Kotra and Alan Lee and Daniel Lowell and Niti Madan and Abhinandan Majumdar and Nicholas Malaya and Srilatha Manne and Susumu Mashimo and Damon McDougall and Elliot Mednick and Michael Mishkin and Mark Nutter and Indrani Paul and Matthew Poremba and Brandon Potter and Kishore Punniyamurthy and Sooraj Puthoor and Steven E. Raasch and Karthik Rao and Gregory Rodgers and Marko Scrbak and Mohammad Seyedzadeh and John Slice and Vilas Sridharan and Ren{\'{e}} van Oostrum and Eric Van Tassell and Abhinav Vishnu and Samuel Wasmundt and Mark Wilkening and Noah Wolfe and Mark Wyse and Adithya Yalavarti and Dmitri Yudanov}, editor = {Yan Solihin and Mark A. Heinrich}, title = {A Research Retrospective on AMD's Exascale Computing Journey}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {81:1--81:14}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589349}, doi = {10.1145/3579371.3589349}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/LohSIAAAAAABBBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LukasYHBTBWCBFMSWGWC23, author = {Christopher J. Lukas and Farah B. Yahya and Kuo{-}Ken Huang and Jim Boley and Daniel S. Truesdell and Jacob Breiholz and Atul Wokhlu and Kyle Craig and Jonathan K. Brown and Andrew Fitting and William Moore and Andy Shih and Alice Wang and Alain Gravel and David D. Wentzloff and Benton H. Calhoun}, title = {A 2.19{\(\mathrm{\mu}\)}W Self-Powered SoC with Integrated Multimodal Energy Harvesting, Dual-Channel up to -92dBm {WRX} and Energy-Aware Subsystem}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {238--239}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067337}, doi = {10.1109/ISSCC42615.2023.10067337}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LukasYHBTBWCBFMSWGWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/GuerraAH23, author = {David William Marques Guerra and Taufik Abr{\~{a}}o and Ekram Hossain}, title = {Matching Pursuit With Phase Rotation for RIS-Aided mmWave Channel Estimation}, booktitle = {19th International Symposium on Wireless Communication Systems, {ISWCS} 2024, Rio de Janeiro, Brazil, July 14-17, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISWCS61526.2024.10639104}, doi = {10.1109/ISWCS61526.2024.10639104}, timestamp = {Wed, 04 Sep 2024 21:11:43 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/GuerraAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/RennieW0M23, author = {Fraser Rennie and David S. W. Williams and Paul Newman and Daniele De Martini}, title = {Doppler-Aware Odometry from {FMCW} Scanning Radar}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {5126--5132}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422412}, doi = {10.1109/ITSC57777.2023.10422412}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/RennieW0M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwslt/AgrawalABBBCCCC23, author = {Sweta Agrawal and Antonios Anastasopoulos and Luisa Bentivogli and Ondrej Bojar and Claudia Borg and Marine Carpuat and Roldano Cattoni and Mauro Cettolo and Mingda Chen and William Chen and Khalid Choukri and Alexandra Chronopoulou and Anna Currey and Thierry Declerck and Qianqian Dong and Kevin Duh and Yannick Est{\`{e}}ve and Marcello Federico and Souhir Gahbiche and Barry Haddow and Benjamin Hsu and Phu Mon Htut and Hirofumi Inaguma and D{\'{a}}vid Javorsk{\'{y}} and John Judge and Yasumasa Kano and Tom Ko and Rishu Kumar and Pengwei Li and Xutai Ma and Prashant Mathur and Evgeny Matusov and Paul McNamee and John P. McCrae and Kenton Murray and Maria Nadejde and Satoshi Nakamura and Matteo Negri and Ha Nguyen and Jan Niehues and Xing Niu and Atul Kr. Ojha and John E. Ortega and Proyag Pal and Juan Pino and Lonneke van der Plas and Peter Pol{\'{a}}k and Elijah Rippeth and Elizabeth Salesky and Jiatong Shi and Matthias Sperber and Sebastian St{\"{u}}ker and Katsuhito Sudoh and Yun Tang and Brian Thompson and Kevin Tran and Marco Turchi and Alex Waibel and Mingxuan Wang and Shinji Watanabe and Rodolfo Zevallos}, editor = {Elizabeth Salesky and Marcello Federico and Marine Carpuat}, title = {Findings of the {IWSLT} 2023 Evaluation Campaign}, booktitle = {Proceedings of the 20th International Conference on Spoken Language Translation, IWSLT@ACL 2023, Toronto, Canada (in-person and online), 13-14 July, 2023}, pages = {1--61}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.iwslt-1.1}, doi = {10.18653/V1/2023.IWSLT-1.1}, timestamp = {Thu, 01 Aug 2024 15:37:24 +0200}, biburl = {https://dblp.org/rec/conf/iwslt/AgrawalABBBCCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jurse/MullallyZFLMC23, author = {Sasha Mullally and Yun Zhang and David Fraser and William Weilong Liu and Toni MacRae and Sean Cox}, title = {Development of a Deep Urban Time Series Using Historical Aerial Photos to Document the Evolution of Recreational Urban Spaces}, booktitle = {Joint Urban Remote Sensing Event, {JURSE} 2023, Heraklion, Greece, May 17-19, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/JURSE57346.2023.10144176}, doi = {10.1109/JURSE57346.2023.10144176}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jurse/MullallyZFLMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/WangRS23, author = {Yeyu Wang and Andrew R. Ruis and David Williamson Shaffer}, editor = {Daniele Di Mitri and Namrata Srivastava and Roberto Mart{\'{\i}}nez{-}Maldonado and Mutlu Cukurova and Daniel Spikol}, title = {Qualitative Parameter Triangulation: {A} Formulated Approach to Parameterize Multimodal Models}, booktitle = {Proceedings of the 6th Workshop on Leveraging Multimodal Data for Generating Meaningful Feedback {(CROSSMMLA} 2023) at the 13th International Learning Analytics {\&} Knowledge {(LAK} 2023), Arlington, Texas, USA, March 13-17, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3439}, pages = {30--35}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3439/paper4.pdf}, timestamp = {Thu, 03 Aug 2023 16:22:08 +0200}, biburl = {https://dblp.org/rec/conf/lak/WangRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lirai/WehnertPL23, author = {Sabine Wehnert and Davide Picca and Ernesto William De Luca}, editor = {Sabine Wehnert and Manuel Fiorelli and Davide Picca and Ernesto William De Luca and Armando Stellato}, title = {Mining Sentiment and Subjectivity in Swiss Case Law}, booktitle = {Proceedings of the 1st Legal Information Retrieval meets Artificial Intelligence Workshop {LIRAI} 2023 co-located with the 34th {ACM} Hypertext Conference {HT} 2023, Rome, Italy, September 04, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3594}, pages = {77--90}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3594/paper6.pdf}, timestamp = {Tue, 02 Jan 2024 17:44:44 +0100}, biburl = {https://dblp.org/rec/conf/lirai/WehnertPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GrajalesLDSDTLMK23, author = {David Grajales and William Le and Fr{\'{e}}d{\'{e}}rick Dallaire and Guillaume Sheehy and Sandryne David and Trang Tran and Fr{\'{e}}d{\'{e}}ric Leblond and Cynthia M{\'{e}}nard and Samuel Kadoury}, editor = {Sharib Ali and Fons van der Sommen and Maureen van Eijnatten and Bartlomiej W. Papiez and Yueming Jin and Iris Kolenbrander}, title = {Towards Real-Time Confirmation of Breast Cancer in the {OR} Using CNN-Based Raman Spectroscopy Classification}, booktitle = {Cancer Prevention Through Early Detection - Second International Workshop, CaPTion 2023, Held in Conjunction with {MICCAI} 2023, Vancouver, BC, Canada, October 12, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14295}, pages = {17--28}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45350-2\_2}, doi = {10.1007/978-3-031-45350-2\_2}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/GrajalesLDSDTLMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/SaleemISHRSPLLG23, author = {Afsah Saleem and Zaid Ilyas and David Suter and Ghulam Mubashar Hassan and Siobhan Reid and John T. Schousboe and Richard Prince and William D. Leslie and Joshua R. Lewis and Syed Zulqarnain Gilani}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {{SCOL:} Supervised Contrastive Ordinal Loss for Abdominal Aortic Calcification Scoring on Vertebral Fracture Assessment Scans}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {14225}, pages = {273--283}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43987-2\_27}, doi = {10.1007/978-3-031-43987-2\_27}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/SaleemISHRSPLLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ManralLPMKMKPRG23, author = {Nipun Manral and Andrew Lin and Caroline Park and Priscilla McElhinney and Aditya Killekar and Hidenari Matsumoto and Jacek Kwiecinski and Konrad Pieszko and Aryabod Razipour and Kajetan Grodecki and Mhairi Doris and Alan C. Kwan and Donghee Han and Keiichiro Kuronuma and Guadalupe Flores Tomasino and Evangelos Tzolos and Aakash D. Shanbhag and Markus Goeller and Mohamed Marwan and Sebastien Cadet and Stephen Achenbach and Stephen J. Nicholls and Dennis T. Wong and Daniel S. Berman and Marc R. Dweck and David E. Newby and Michelle C. Williams and Piotr J. Slomka and Damini Dey}, editor = {Olivier Colliot and Ivana Isgum}, title = {Direct head-to-head comparison of convolutional long short-term memory and transformer networks for artificial Intelligence-based quantification of atherosclerotic plaque and stenosis from coronary {CT} angiography}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2655556}, doi = {10.1117/12.2655556}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ManralLPMKMKPRG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miua/PhitidisOWDSKWBAWH23, author = {Jesse Phitidis and Alison Q. O'Neil and Stewart Wiseman and David Alexander Dickie and Eleni Sakka and Agniete Kampaite and William Whiteley and Miguel O. Bernabeu and Beatrice Alex and Joanna M. Wardlaw and Maria del C. Vald{\'{e}}s Hern{\'{a}}ndez}, editor = {Gordon D. Waiter and Tryphon Lambrou and Georgios Leontidis and Nir Oren and Teresa Morris and Sharon Gordon}, title = {Segmentation of White Matter Hyperintensities and Ischaemic Stroke Lesions in Structural {MRI}}, booktitle = {Medical Image Understanding and Analysis - 27th Annual Conference, {MIUA} 2023, Aberdeen, UK, July 19-21, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14122}, pages = {3--17}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-48593-0\_1}, doi = {10.1007/978-3-031-48593-0\_1}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miua/PhitidisOWDSKWBAWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MazumderBYKRDDH23, author = {Mark Mazumder and Colby R. Banbury and Xiaozhe Yao and Bojan Karlas and William Gaviria Rojas and Sudnya Frederick Diamos and Greg Diamos and Lynn He and Alicia Parrish and Hannah Rose Kirk and Jessica Quaye and Charvi Rastogi and Douwe Kiela and David Jurado and David Kanter and Rafael Mosquera and Will Cukierski and Juan Ciro and Lora Aroyo and Bilge Acun and Lingjiao Chen and Mehul Raje and Max Bartolo and Evan Sabri Eyuboglu and Amirata Ghorbani and Emmett D. Goodman and Addison Howard and Oana Inel and Tariq Kane and Christine R. Kirkpatrick and D. Sculley and Tzu{-}Sheng Kuo and Jonas W. Mueller and Tristan Thrush and Joaquin Vanschoren and Margaret Warren and Adina Williams and Serena Yeung and Newsha Ardalani and Praveen K. Paritosh and Ce Zhang and James Y. Zou and Carole{-}Jean Wu and Cody Coleman and Andrew Y. Ng and Peter Mattson and Vijay Janapa Reddi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {DataPerf: Benchmarks for Data-Centric {AI} Development}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/112db88215e25b3ae2750e9eefcded94-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/MazumderBYKRDDH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/XiuCSLKZMKPW23, author = {Zidi Xiu and Kai{-}Chen Cheng and David Q. Sun and Jiannan Lu and Hadas Kotek and Yuhan Zhang and Paul McCarthy and Christopher Klein and Stephen Pulman and Jason D. Williams}, editor = {Hisashi Kashima and Tsuyoshi Id{\'{e}} and Wen{-}Chih Peng}, title = {Feedback Effect in User Interaction with Intelligent Assistants: Delayed Engagement, Adaption and Drop-out}, booktitle = {Advances in Knowledge Discovery and Data Mining - 27th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2023, Osaka, Japan, May 25-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13936}, pages = {145--158}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33377-4\_12}, doi = {10.1007/978-3-031-33377-4\_12}, timestamp = {Wed, 31 May 2023 14:56:56 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/XiuCSLKZMKPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/GiordanoDMRUGS23, author = {Andrea Giordano and Donato D'Ambrosio and Davide Macr{\`{\i}} and Rocco Rongo and Gladys Utrera and Marisa Gil and William Spataro}, editor = {Raffaele Montella and Javier Garc{\'{\i}}a Blas and Daniele D'Agostino}, title = {OpenCAL++: An object-oriented architecture for transparent Parallel Execution of Cellular Automata models}, booktitle = {31st Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2023, Naples, Italy, March 1-3, 2023}, pages = {244--251}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PDP59025.2023.00045}, doi = {10.1109/PDP59025.2023.00045}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/GiordanoDMRUGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qce/ShenCSDKWTB23, author = {Yizhi Shen and Daan Camps and Aaron Szasz and Siva Darbha and Katherine Klymko and David B. Williams{-}Young and Norm M. Tubman and Roel Van Beeumen}, editor = {Brian La Cour and Lia Yeh and Marek Osinski}, title = {Estimating Eigenenergies from Quantum Dynamics: {A} Unified Noise-Resilient Measurement-Driven Approach}, booktitle = {{IEEE} International Conference on Quantum Computing and Engineering, {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023}, pages = {302--303}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/QCE57702.2023.10253}, doi = {10.1109/QCE57702.2023.10253}, timestamp = {Fri, 15 Dec 2023 20:24:40 +0100}, biburl = {https://dblp.org/rec/conf/qce/ShenCSDKWTB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/SenaratnePTMSHWKP23, author = {Hashini Senaratne and Alex Pitt and Fletcher Talbot and Peyman Moghadam and Pavan Sikka and David Howard and Jason Williams and Dana Kulic and C{\'{e}}cile Paris}, title = {Measuring Situational Awareness Latency in Human-Robot Teaming Experiments}, booktitle = {32nd {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2023, Busan, Republic of Korea, August 28-31, 2023}, pages = {2624--2631}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RO-MAN57019.2023.10309377}, doi = {10.1109/RO-MAN57019.2023.10309377}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/SenaratnePTMSHWKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FornaciariRAZGCTPBBAGCCLSBPUMMCS23, author = {William Fornaciari and Federico Reghenzani and Giovanni Agosta and Davide Zoni and Andrea Galimberti and Francesco Conti and Yvan Tortorella and Emanuele Parisi and Francesco Barchi and Andrea Bartolini and Andrea Acquaviva and Daniele Gregori and Salvatore Cognetta and Carlo Ciancarelli and Antonio Leboffe and Paolo Serri and Alessio Burrello and Daniele Jahier Pagliari and Gianvito Urgese and Maurizio Martina and Guido Masera and Rosario Di Carlo and Antonio Sciarappa}, editor = {Cristina Silvano and Christian Pilato and Marc Reichenbach}, title = {{RISC-V} Processor Technologies for Aerospace Applications in the {ISOLDE} Project}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 23rd International Conference, {SAMOS} 2023, Samos, Greece, July 2-6, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14385}, pages = {363--378}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46077-7\_24}, doi = {10.1007/978-3-031-46077-7\_24}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/FornaciariRAZGCTPBBAGCCLSBPUMMCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/FornaciariRTBMOCRBCMAMIPZCT23, author = {William Fornaciari and Federico Reghenzani and Federico Terraneo and Davide Baroffio and Cecilia Metra and Martin Oma{\~{n}}a and Josie E. Rodriguez Condia and Matteo Sonza Reorda and Robert Birke and Iacopo Colonnelli and Gianluca Mittone and Marco Aldinucci and Gabriele Mencagli and Francesco Iannone and Filippo Palombi and Giuseppe Zummo and Daniele Cesarini and Federico Tesser}, editor = {Cristina Silvano and Christian Pilato and Marc Reichenbach}, title = {RISC-V-Based Platforms for {HPC:} Analyzing Non-functional Properties for Future {HPC} and Big-Data Clusters}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 23rd International Conference, {SAMOS} 2023, Samos, Greece, July 2-6, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14385}, pages = {395--410}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46077-7\_26}, doi = {10.1007/978-3-031-46077-7\_26}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/FornaciariRTBMOCRBCMAMIPZCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/WuHCWAPHBY23, author = {Chengyue Wu and David A. Hormuth and Chase Christenson and Ryan T. Woodall and Michael R. A. Abdelmalik and William T. Phillips and Thomas J. R. Hughes and Andrew J. Brenner and Thomas E. Yankeelov}, title = {Optimized Patient-Specific Catheter Placement for Convection-Enhanced Nanoparticle Delivery in Recurrent Glioblastoma}, booktitle = {Proceedings of the {SC} '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis, {SC-W} 2023, Denver, CO, USA, November 12-17, 2023}, pages = {119--120}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3624062.3624079}, doi = {10.1145/3624062.3624079}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/WuHCWAPHBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/CuddihyRMSAW23, author = {Paul Cuddihy and Daniel Russell and Eric Mertens and Kit Siu and David W. Archer and Jenny Williams}, editor = {Terry R. Payne and Valentina Presutti and Guilin Qi and Mar{\'{\i}}a Poveda{-}Villal{\'{o}}n and Giorgos Stoilos and Laura Hollink and Zoi Kaoudi and Gong Cheng and Juanzi Li}, title = {Aviation Certification Powered by the Semantic Web Stack}, booktitle = {The Semantic Web - {ISWC} 2023 - 22nd International Semantic Web Conference, Athens, Greece, November 6-10, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14266}, pages = {345--361}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47243-5\_19}, doi = {10.1007/978-3-031-47243-5\_19}, timestamp = {Sat, 08 Jun 2024 13:13:55 +0200}, biburl = {https://dblp.org/rec/conf/semweb/CuddihyRMSAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/Gardner-McCuneT23b, author = {Christina Gardner{-}McCune and David S. Touretzky and Bryan Cox and Judith Uchidiuno and Yerika Jimenez and Betia Bentley and William Hanna and Amber Jones}, editor = {Maureen Doyle and Ben Stephenson and Brian Dorn and Leen{-}Kiat Soh and Lina Battestilli}, title = {Co-Designing an {AI} Curriculum with University Researchers and Middle School Teachers}, booktitle = {Proceedings of the 54th {ACM} Technical Symposium on Computer Science Education, Volume 2, {SIGCSE} 2023, Toronto, ON, Canada, March 15-18, 2023}, pages = {1306}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3545947.3576253}, doi = {10.1145/3545947.3576253}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/Gardner-McCuneT23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/RobbinsGSW23, author = {Henry W. Robbins and Samuel C. Gutekunst and David B. Shmoys and David P. Williamson}, editor = {Maureen Doyle and Ben Stephenson and Brian Dorn and Leen{-}Kiat Soh and Lina Battestilli}, title = {{GILP:} An Interactive Tool for Visualizing the Simplex Algorithm}, booktitle = {Proceedings of the 54th {ACM} Technical Symposium on Computer Science Education, Volume 1, {SIGCSE} 2023, Toronto, ON, Canada, March 15-18, 2023}, pages = {108--114}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3545945.3569815}, doi = {10.1145/3545945.3569815}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/RobbinsGSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sohoma/DerigentD23, author = {William Derigent and Micha{\"{e}}l David}, editor = {Theodor Borangiu and Damien Trentesaux and Paulo Leit{\~{a}}o and Lamia Berrah and Jose{-}Fernando Jimenez}, title = {SADHoA- {A} Switching-Agnostic Dynamic Holonic Architecture}, booktitle = {Service Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future - Proceedings of {SOHOMA} 2023, Annecy, France, 28-29 September 2023}, series = {Studies in Computational Intelligence}, volume = {1136}, pages = {495--506}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53445-4\_41}, doi = {10.1007/978-3-031-53445-4\_41}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sohoma/DerigentD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosa/BreenMWW23, author = {Emmett Breen and Renee Mirka and Zichen Wang and David P. Williamson}, editor = {Telikepalli Kavitha and Kurt Mehlhorn}, title = {Revisiting Garg's 2-Approximation Algorithm for the \emph{k}-MST Problem in Graphs}, booktitle = {2023 Symposium on Simplicity in Algorithms, {SOSA} 2023, Florence, Italy, January 23-25, 2023}, pages = {56--68}, publisher = {{SIAM}}, year = {2023}, url = {https://doi.org/10.1137/1.9781611977585.ch6}, doi = {10.1137/1.9781611977585.CH6}, timestamp = {Mon, 20 Mar 2023 16:52:56 +0100}, biburl = {https://dblp.org/rec/conf/sosa/BreenMWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/0001AABBCCCCCCC23, author = {Patrick Anderson and Erika Blancada Aranas and Youssef Assaf and Raphael Behrendt and Richard Black and Marco Caballero and Pashmina Cameron and Burcu Canakci and Thales De Carvalho and Andromachi Chatzieleftheriou and Rebekah Storan Clarke and James Clegg and Daniel Cletheroe and Bridgette Cooper and Tim Deegan and Austin Donnelly and Rokas Drevinskas and Alexander L. Gaunt and Christos Gkantsidis and Ariel Gomez Diaz and Istv{\'{a}}n Haller and Freddie Hong and Teodora Ilieva and Shashidhar Joshi and Russell Joyce and Mint Kunkel and David Lara and Sergey Legtchenko and Fanglin Linda Liu and Bruno Magalh{\~{a}}es and Alana Marzoev and Marvin McNett and Jayashree Mohan and Michael Myrah and Trong Nguyen and Sebastian Nowozin and Aaron Ogus and Hiske Overweg and Antony I. T. Rowstron and Maneesh Sah and Masaaki Sakakura and Peter Scholtz and Nina Schreiner and Omer Sella and Adam Smith and Ioan A. Stefanovici and David Sweeney and Benn Thomsen and Govert Verkes and Phil Wainman and Jonathan Westcott and Luke Weston and Charles Whittaker and Pablo Wilke Berenguer and Hugh Williams and Thomas Winkler and Stefan Winzeck}, editor = {Jason Flinn and Margo I. Seltzer and Peter Druschel and Antoine Kaufmann and Jonathan Mace}, title = {Project Silica: Towards Sustainable Cloud Archival Storage in Glass}, booktitle = {Proceedings of the 29th Symposium on Operating Systems Principles, {SOSP} 2023, Koblenz, Germany, October 23-26, 2023}, pages = {166--181}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3600006.3613208}, doi = {10.1145/3600006.3613208}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sosp/0001AABBCCCCCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssbse/DakhamaELMP23, author = {Aidan Dakhama and Karine Even{-}Mendoza and William B. Langdon and H{\'{e}}ctor D. Men{\'{e}}ndez and Justyna Petke}, editor = {Paolo Arcaini and Tao Yue and Erik M. Fredericks}, title = {SearchGEM5: Towards Reliable Gem5 with Search Based Software Testing and Large Language Models}, booktitle = {Search-Based Software Engineering - 15th International Symposium, {SSBSE} 2023, San Francisco, CA, USA, December 8, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14415}, pages = {160--166}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-48796-5\_14}, doi = {10.1007/978-3-031-48796-5\_14}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssbse/DakhamaELMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stoc/SwartworthW23, author = {William Swartworth and David P. Woodruff}, editor = {Barna Saha and Rocco A. Servedio}, title = {Optimal Eigenvalue Approximation via Sketching}, booktitle = {Proceedings of the 55th Annual {ACM} Symposium on Theory of Computing, {STOC} 2023, Orlando, FL, USA, June 20-23, 2023}, pages = {145--155}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3564246.3585102}, doi = {10.1145/3564246.3585102}, timestamp = {Mon, 22 May 2023 13:01:48 +0200}, biburl = {https://dblp.org/rec/conf/stoc/SwartworthW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/WilliamsBhattiCW23, author = {Ayesha Williams{-}Bhatti and David Carruthers and Andrew Sean Wilson}, title = {{SEPSIS} {COLLAB:} {A} Virtual Reality Training Simulation For Sepsis Treatment}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2023, Shanghai, China, March 25-29, 2023}, pages = {132--135}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VRW58643.2023.00033}, doi = {10.1109/VRW58643.2023.00033}, timestamp = {Tue, 04 Jun 2024 16:13:41 +0200}, biburl = {https://dblp.org/rec/conf/vr/WilliamsBhattiCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waoa/EvansK23, author = {William S. Evans and David G. Kirkpatrick}, editor = {Jaroslaw Byrka and Andreas Wiese}, title = {A Frequency-Competitive Query Strategy for Maintaining Low Collision Potential Among Moving Entities}, booktitle = {Approximation and Online Algorithms - 21st International Workshop, {WAOA} 2023, Amsterdam, The Netherlands, September 7-8, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14297}, pages = {14--28}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-49815-2\_2}, doi = {10.1007/978-3-031-49815-2\_2}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/waoa/EvansK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wivace/SchneiderFWJLMH23, author = {Johannes Josef Schneider and Alessia Faggian and Mathias Sebastian Weyland and William David Jamieson and Jin Li and Hans{-}Georg Matuttis and Silvia Holler and Federica Casiraghi and Aitor Pati{\~{n}}o Diaz and Lorena Cebolla Sanahuja and Martin Michael Hanczyc and Dandolo Flumini and Peter Eggenberger Hotz and David Anthony Barrow and Pantelitsa Dimitriou and Oliver Castell and Rudolf Marcel F{\"{u}}chslin}, editor = {Marco Villani and Stefano Cagnoni and Roberto Serra}, title = {Percolation Breakdown in Binary and Ternary Monodisperse and Polydisperse Systems of Spherical Particles}, booktitle = {Artificial Life and Evolutionary Computation - 17th Italian Workshop, {WIVACE} 2023, Venice, Italy, September 6-8, 2023, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1977}, pages = {161--174}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-57430-6\_13}, doi = {10.1007/978-3-031-57430-6\_13}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wivace/SchneiderFWJLMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wivace/SchneiderHJF0MC23, author = {Johannes Josef Schneider and Peter Eggenberger Hotz and William David Jamieson and Alessia Faggian and Jin Li and Hans{-}Georg Matuttis and Adriano Caliari and Mathias Sebastian Weyland and Dandolo Flumini and Aitor Pati{\~{n}}o Diaz and Silvia Holler and Federica Casiraghi and Lorena Cebolla Sanahuja and Martin Michael Hanczyc and David Anthony Barrow and Pantelitsa Dimitriou and Oliver Castell and Rudolf Marcel F{\"{u}}chslin}, editor = {Marco Villani and Stefano Cagnoni and Roberto Serra}, title = {Kauffman Model with Spatially Separated Ligation and Cleavage Reactions}, booktitle = {Artificial Life and Evolutionary Computation - 17th Italian Workshop, {WIVACE} 2023, Venice, Italy, September 6-8, 2023, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1977}, pages = {141--160}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-57430-6\_12}, doi = {10.1007/978-3-031-57430-6\_12}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wivace/SchneiderHJF0MC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/TordecillaMG23, author = {Rafael David Tordecilla and Jairo R. Montoya{-}Torres and William J. Guerrero}, title = {A Simulation-Optimization Approach for Designing Resilient Hyperconnected Physical Internet Supply Chains}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {3178--3189}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10408196}, doi = {10.1109/WSC60868.2023.10408196}, timestamp = {Sat, 24 Feb 2024 20:42:44 +0100}, biburl = {https://dblp.org/rec/conf/wsc/TordecillaMG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/23/Moran0PW23, author = {Murat Moran and Pascal Lafourcade and Maxime Puys and David Williams}, editor = {Sedat Akleylek and Besik Dundua}, title = {An Introduction to Tools for Formal Analysis of Cryptographic Protocols}, booktitle = {Handbook of Formal Analysis and Verification in Cryptography}, pages = {105--152}, publisher = {{CRC} Press}, year = {2023}, url = {https://doi.org/10.1201/9781003090052-3}, doi = {10.1201/9781003090052-3}, timestamp = {Mon, 25 Sep 2023 12:19:41 +0200}, biburl = {https://dblp.org/rec/books/crc/23/Moran0PW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/lirai/2023, editor = {Sabine Wehnert and Manuel Fiorelli and Davide Picca and Ernesto William De Luca and Armando Stellato}, title = {Proceedings of the 1st Legal Information Retrieval meets Artificial Intelligence Workshop {LIRAI} 2023 co-located with the 34th {ACM} Hypertext Conference {HT} 2023, Rome, Italy, September 04, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3594}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3594}, urn = {urn:nbn:de:0074-3594-2}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lirai/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-03373, author = {David Noever and Kevin Williams}, title = {Chatbots As Fluent Polyglots: Revisiting Breakthrough Code Snippets}, journal = {CoRR}, volume = {abs/2301.03373}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.03373}, doi = {10.48550/ARXIV.2301.03373}, eprinttype = {arXiv}, eprint = {2301.03373}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-03373.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12079, author = {Jude T. Anderson and David M. Williams and Andrew Corrigan}, title = {Surface and hypersurface meshing techniques for space-time finite element methods}, journal = {CoRR}, volume = {abs/2301.12079}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12079}, doi = {10.48550/ARXIV.2301.12079}, eprinttype = {arXiv}, eprint = {2301.12079}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12269, author = {Muhammad Tanveer Jan and Sonia Moshfeghi and Joshua William Conniff and Jinwoo Jang and KwangSoo Yang and Jiannan Zhai and Monica Rosselli and David Newman and Ruth Tappen and Borko Furht}, title = {Methods and Tools for Monitoring Driver's Behavior}, journal = {CoRR}, volume = {abs/2301.12269}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12269}, doi = {10.48550/ARXIV.2301.12269}, eprinttype = {arXiv}, eprint = {2301.12269}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-00557, author = {Jian Cheng Wong and Chin Chun Ooi and Joyjit Chattoraj and Lucas Lestandi and Guoying Dong and Umesh Kizhakkinan and David William Rosen and Mark Hyunpong Jhon and My Ha Dao}, title = {Graph Neural Network Based Surrogate Model of Physics Simulations for Geometry Design}, journal = {CoRR}, volume = {abs/2302.00557}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.00557}, doi = {10.48550/ARXIV.2302.00557}, eprinttype = {arXiv}, eprint = {2302.00557}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-00557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-00577, author = {Tao Ge and Maria Medrano and Rui Liao and David G. Politte and Jeffrey F. Williamson and Bruce R. Whiting and Joseph A. O'Sullivan}, title = {MB-DECTNet: {A} Model-Based Unrolled Network for Accurate 3D {DECT} Reconstruction}, journal = {CoRR}, volume = {abs/2302.00577}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.00577}, doi = {10.48550/ARXIV.2302.00577}, eprinttype = {arXiv}, eprint = {2302.00577}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-00577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-06485, author = {David Gamarnik and Eren C. Kizildag and Will Perkins and Changji Xu}, title = {Geometric Barriers for Stable and Online Algorithms for Discrepancy Minimization}, journal = {CoRR}, volume = {abs/2302.06485}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.06485}, doi = {10.48550/ARXIV.2302.06485}, eprinttype = {arXiv}, eprint = {2302.06485}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-06485.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09716, author = {Ans Qureshi and Neville Loh and Young Min Kwon and David Smith and Trevor Gee and Oliver Batchelor and Josh McCulloch and Mahla Nejati and JongYoon Lim and Richard D. Green and Ho Seok Ahn and Bruce A. MacDonald and Henry Williams}, title = {Seeing the Fruit for the Leaves: Towards Automated Apple Fruitlet Thinning}, journal = {CoRR}, volume = {abs/2302.09716}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09716}, doi = {10.48550/ARXIV.2302.09716}, eprinttype = {arXiv}, eprint = {2302.09716}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10076, author = {Ian Sweet and David Darais and David Heath and William Harris and Ryan Estes and Michael Hicks}, title = {Symphony: Expressive Secure Multiparty Computation with Coordination}, journal = {CoRR}, volume = {abs/2302.10076}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10076}, doi = {10.48550/ARXIV.2302.10076}, eprinttype = {arXiv}, eprint = {2302.10076}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10076.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09354, author = {Daniela P. Schacherer and Markus D. Herrmann and David A. Clunie and Henning H{\"{o}}fener and William Clifford and William J. R. Longabaugh and Steve Pieper and Ron Kikinis and Andrey Fedorov and Andr{\'{e}} Homeyer}, title = {The {NCI} Imaging Data Commons as a platform for reproducible research in computational pathology}, journal = {CoRR}, volume = {abs/2303.09354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09354}, doi = {10.48550/ARXIV.2303.09354}, eprinttype = {arXiv}, eprint = {2303.09354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-10255, author = {Zidi Xiu and Kai{-}Chen Cheng and David Q. Sun and Jiannan Lu and Hadas Kotek and Yuhan Zhang and Paul McCarthy and Christopher Klein and Stephen Pulman and Jason D. Williams}, title = {Feedback Effect in User Interaction with Intelligent Assistants: Delayed Engagement, Adaption and Drop-out}, journal = {CoRR}, volume = {abs/2303.10255}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.10255}, doi = {10.48550/ARXIV.2303.10255}, eprinttype = {arXiv}, eprint = {2303.10255}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-10255.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16972, author = {Anaelia Ovalle and Arjun Subramonian and Ashwin Singh and Claas Voelcker and Danica J. Sutherland and Davide Locatelli and Eva Breznik and Filip Klubicka and Hang Yuan and Hetvi Jethwani and Huan Zhang and Jaidev Shriram and Kruno Lehman and Luca Soldaini and Maarten Sap and Marc Peter Deisenroth and Maria Leonor Pacheco and Maria Ryskina and Martin Mundt and Milind Agarwal and Nyx McLean and Pan Xu and Pranav A and Raj Korpan and Ruchira Ray and Sarah Mathew and Sarthak Arora and St John and Tanvi Anand and Vishakha Agrawal and William Agnew and Yanan Long and Zijie J. Wang and Zeerak Talat and Avijit Ghosh and Nathaniel Dennler and Michael Noseworthy and Sharvani Jha and Emi Baylor and Aditya Joshi and Natalia Y. Bilenko and Andrew McNamara and Raphael Gontijo Lopes and Alex Markham and Evyn Dong and Jackie Kay and Manu Saraswat and Nikhil Vytla and Luke Stark}, title = {Queer In {AI:} {A} Case Study in Community-Led Participatory {AI}}, journal = {CoRR}, volume = {abs/2303.16972}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16972}, doi = {10.48550/ARXIV.2303.16972}, eprinttype = {arXiv}, eprint = {2303.16972}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-01117, author = {Fabr{\'{\i}}cio Olivetti de Fran{\c{c}}a and Marco Virgolin and Michael Kommenda and Maimuna S. Majumder and Miles D. Cranmer and Guilherme Espada and Leon Ingelse and Alcides Fonseca and Mikel Landajuela and Brenden K. Petersen and Ruben Glatt and T. Nathan Mundhenk and C. S. Lee and Jacob D. Hochhalter and David L. Randall and P. Kamienny and H. Zhang and Grant Dick and A. Simon and Bogdan Burlacu and Jaan Kasak and Meera Vieira Machado and Casper Wilstrup and William G. La Cava}, title = {Interpretable Symbolic Regression for Data Science: Analysis of the 2022 Competition}, journal = {CoRR}, volume = {abs/2304.01117}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.01117}, doi = {10.48550/ARXIV.2304.01117}, eprinttype = {arXiv}, eprint = {2304.01117}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-01117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03235, author = {William B. Langdon and Justyna Petke and Aymeric Blot and David Clark}, title = {{GI} Software with fewer Data Cache Misses}, journal = {CoRR}, volume = {abs/2304.03235}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03235}, doi = {10.48550/ARXIV.2304.03235}, eprinttype = {arXiv}, eprint = {2304.03235}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03610, author = {Yuning Xing and Dexter Pham and Henry Williams and David Smith and Ho Seok Ahn and JongYoon Lim and Bruce A. MacDonald and Mahla Nejati}, title = {Look how they have grown: Non-destructive Leaf Detection and Size Estimation of Tomato Plants for 3D Growth Monitoring}, journal = {CoRR}, volume = {abs/2304.03610}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03610}, doi = {10.48550/ARXIV.2304.03610}, eprinttype = {arXiv}, eprint = {2304.03610}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-09281, author = {William Swartworth and David P. Woodruff}, title = {Optimal Eigenvalue Approximation via Sketching}, journal = {CoRR}, volume = {abs/2304.09281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.09281}, doi = {10.48550/ARXIV.2304.09281}, eprinttype = {arXiv}, eprint = {2304.09281}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-09281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-10116, author = {Richard Paluch and Tanja Aal and Katerina Cern{\'{a}} and Dave Randall and Claudia M{\"{u}}ller}, title = {Heteromated Decision-Making: Integrating Socially Assistive Robots in Care Relationships}, journal = {CoRR}, volume = {abs/2304.10116}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.10116}, doi = {10.48550/ARXIV.2304.10116}, eprinttype = {arXiv}, eprint = {2304.10116}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-10116.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-11135, author = {Yuzhen Ding and Hongying Feng and Yunze Yang and Jason Holmes and Zhengliang Liu and David Liu and William W. Wong and Nathan Y. Yu and Terence T. Sio and Steven E. Schild and Baoxin Li and Wei Liu}, title = {Deep-Learning-based Fast and Accurate 3D {CT} Deformable Image Registration in Lung Cancer}, journal = {CoRR}, volume = {abs/2304.11135}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.11135}, doi = {10.48550/ARXIV.2304.11135}, eprinttype = {arXiv}, eprint = {2304.11135}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-11135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-13081, author = {Jee Young Kim and William Boag and Freya Gulamali and Alifia Hasan and Henry David Jeffry Hogg and Mark Lifson and Deirdre K. Mulligan and Manesh Patel and Inioluwa Deborah Raji and Ajai Sehgal and Keo Shaw and Danny Tobey and Alexandra Valladares and David E. Vidal and Suresh Balu and Mark P. Sendak}, title = {Organizational Governance of Emerging Technologies: {AI} Adoption in Healthcare}, journal = {CoRR}, volume = {abs/2304.13081}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.13081}, doi = {10.48550/ARXIV.2304.13081}, eprinttype = {arXiv}, eprint = {2304.13081}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-13081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-14937, author = {James Bungay and Osasenaga Emokpae and Samuel D. Relton and Jane E. Alty and Stefan Williams and Hui Fang and David C. Wong}, title = {Contactless hand tremor amplitude measurement using smartphones: development and pilot evaluation}, journal = {CoRR}, volume = {abs/2304.14937}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.14937}, doi = {10.48550/ARXIV.2304.14937}, eprinttype = {arXiv}, eprint = {2304.14937}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-14937.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-00104, author = {Yuchen Liu and Natasha Ong and Kaiyan Peng and Bo Xiong and Qifan Wang and Rui Hou and Madian Khabsa and Kaiyue Yang and David Liu and Donald S. Williamson and Hanchao Yu}, title = {MMViT: Multiscale Multiview Vision Transformers}, journal = {CoRR}, volume = {abs/2305.00104}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.00104}, doi = {10.48550/ARXIV.2305.00104}, eprinttype = {arXiv}, eprint = {2305.00104}, timestamp = {Thu, 04 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-00104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11910, author = {John S. Schreck and William Petzke and Pedro A. Jimenez and Thomas Brummet and Jason C. Knievel and Eric James and Branko Kosovic and David John Gagne}, title = {Machine Learning and {VIIRS} Satellite Retrievals for Skillful Fuel Moisture Content Monitoring in Wildfire Management}, journal = {CoRR}, volume = {abs/2305.11910}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11910}, doi = {10.48550/ARXIV.2305.11910}, eprinttype = {arXiv}, eprint = {2305.11910}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17313, author = {Valfride Nascimento and Rayson Laroca and Jorge de A. Lambert and William Robson Schwartz and David Menotti}, title = {Super-Resolution of License Plate Images Using Attention Modules and Sub-Pixel Convolution Layers}, journal = {CoRR}, volume = {abs/2305.17313}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17313}, doi = {10.48550/ARXIV.2305.17313}, eprinttype = {arXiv}, eprint = {2305.17313}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-01867, author = {Emmett Breen and Renee Mirka and Zichen Wang and David P. Williamson}, title = {Revisiting Garg's 2-Approximation Algorithm for the k-MST Problem in Graphs}, journal = {CoRR}, volume = {abs/2306.01867}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.01867}, doi = {10.48550/ARXIV.2306.01867}, eprinttype = {arXiv}, eprint = {2306.01867}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-01867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-11185, author = {David Eppstein and Andrea Lincoln and Virginia {Vassilevska Williams}}, title = {Quasipolynomiality of the Smallest Missing Induced Subgraph}, journal = {CoRR}, volume = {abs/2306.11185}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.11185}, doi = {10.48550/ARXIV.2306.11185}, eprinttype = {arXiv}, eprint = {2306.11185}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-11185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16512, author = {Jeremy J. Williams and David Tskhakaya and Stefan Costea and Ivy Bo Peng and Marta Garcia{-}Gasulla and Stefano Markidis}, title = {Leveraging {HPC} Profiling {\&} Tracing Tools to Understand the Performance of Particle-in-Cell Monte Carlo Simulations}, journal = {CoRR}, volume = {abs/2306.16512}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16512}, doi = {10.48550/ARXIV.2306.16512}, eprinttype = {arXiv}, eprint = {2306.16512}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-02680, author = {Marshall Davey and Charles Puelz and Simone Rossi and Margaret Anne Smith and David R. Wells and Greg Sturgeon and William Paul Segars and John P. Vavalle and Charles S. Peskin and Boyce E. Griffith}, title = {Simulating Cardiac Fluid Dynamics in the Human Heart}, journal = {CoRR}, volume = {abs/2307.02680}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.02680}, doi = {10.48550/ARXIV.2307.02680}, eprinttype = {arXiv}, eprint = {2307.02680}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-02680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08593, author = {C. Allaire and R. Ammendola and E.{-}C. Aschenauer and M. Balandat and Marco Battaglieri and J. C. Bernauer and M. Bond{\`{\i}} and N. Branson and Thomas Britton and Anja Butter and I. Chahrour and P. Chatagnon and Evaristo Cisbani and E. W. Cline and S. Dash and C. T. Dean and W. Deconinck and A. Deshpande and Markus Diefenthaler and R. Ent and Cristiano Fanelli and M. Finger and M. Finger Jr. and E. Fol and S. Furletov and Yuan Gao and James Giroux and N. C. Gunawardhana Waduge and R. Harish and O. Hassan and P. L. Hegde and Roger Jos{\'{e}} Hern{\'{a}}ndez{-}Pinto and Astrid N. Hiller Blin and Tanja Horn and J. Huang and D. Jayakodige and B. Joo and M. Junaid and Piyush Karande and B. Kriesten and R. Kunnawalkam Elayavalli and M. Lin and Frank Liu and S. Liuti and G. Matousek and Matthew McEneaney and Diana McSpadden and T. Menzo and T. Miceli and Vinicius Mikuni and R. Montgomery and Benjamin Nachman and R. R. Nair and J. Niestroy and S. A. Ochoa Oregon and J. Oleniacz and J. D. Osborn and C. Paudel and C. Pecar and C. Peng and Gabriel N. Perdue and W. Phelps and M. L. Purschke and K. Rajput and Y. Ren and David Francisco Renter{\'{\i}}a{-}Estrada and D. Richford and B. J. Roy and D. Roy and Nobuo Sato and T. Satogata and German Sborlini and Malachi Schram and D. Shih and J. Singh and R. Singh and Andrzej Si{\'{o}}dmok and P. Stone and J. Stevens and L. Suarez and K. Suresh and A.{-}N. Tawfik and Fernando Torales Acosta and N. Tran and R. Trotta and F. J. Twagirayezu and R. Tyson and S. Volkova and Anselm Vossen and Eric Walter and Daniel Whiteson and Michael Williams and S. Wu and N. Zachariou and P. Zurita}, title = {Artificial Intelligence for the Electron Ion Collider {(AI4EIC)}}, journal = {CoRR}, volume = {abs/2307.08593}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08593}, doi = {10.48550/ARXIV.2307.08593}, eprinttype = {arXiv}, eprint = {2307.08593}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08593.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09288, author = {Hugo Touvron and Louis Martin and Kevin Stone and Peter Albert and Amjad Almahairi and Yasmine Babaei and Nikolay Bashlykov and Soumya Batra and Prajjwal Bhargava and Shruti Bhosale and Dan Bikel and Lukas Blecher and Cristian Canton{-}Ferrer and Moya Chen and Guillem Cucurull and David Esiobu and Jude Fernandes and Jeremy Fu and Wenyin Fu and Brian Fuller and Cynthia Gao and Vedanuj Goswami and Naman Goyal and Anthony Hartshorn and Saghar Hosseini and Rui Hou and Hakan Inan and Marcin Kardas and Viktor Kerkez and Madian Khabsa and Isabel Kloumann and Artem Korenev and Punit Singh Koura and Marie{-}Anne Lachaux and Thibaut Lavril and Jenya Lee and Diana Liskovich and Yinghai Lu and Yuning Mao and Xavier Martinet and Todor Mihaylov and Pushkar Mishra and Igor Molybog and Yixin Nie and Andrew Poulton and Jeremy Reizenstein and Rashi Rungta and Kalyan Saladi and Alan Schelten and Ruan Silva and Eric Michael Smith and Ranjan Subramanian and Xiaoqing Ellen Tan and Binh Tang and Ross Taylor and Adina Williams and Jian Xiang Kuan and Puxin Xu and Zheng Yan and Iliyan Zarov and Yuchen Zhang and Angela Fan and Melanie Kambadur and Sharan Narang and Aur{\'{e}}lien Rodriguez and Robert Stojnic and Sergey Edunov and Thomas Scialom}, title = {Llama 2: Open Foundation and Fine-Tuned Chat Models}, journal = {CoRR}, volume = {abs/2307.09288}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09288}, doi = {10.48550/ARXIV.2307.09288}, eprinttype = {arXiv}, eprint = {2307.09288}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12006, author = {Afsah Saleem and Zaid Ilyas and David Suter and Ghulam Mubashar Hassan and Siobhan Reid and John T. Schousboe and Richard Prince and William D. Leslie and Joshua R. Lewis and Syed Zulqarnain Gilani}, title = {{SCOL:} Supervised Contrastive Ordinal Loss for Abdominal Aortic Calcification Scoring on Vertebral Fracture Assessment Scans}, journal = {CoRR}, volume = {abs/2307.12006}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12006}, doi = {10.48550/ARXIV.2307.12006}, eprinttype = {arXiv}, eprint = {2307.12006}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13485, author = {Gratianus Wesley Putra Data and Henry Howard{-}Jenkins and David William Murray and Victor Prisacariu}, title = {Cos {R-CNN} for Online Few-shot Object Detection}, journal = {CoRR}, volume = {abs/2307.13485}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13485}, doi = {10.48550/ARXIV.2307.13485}, eprinttype = {arXiv}, eprint = {2307.13485}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13485.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-03905, author = {Cecilia Aas and Hisham Abdelsalam and Irina Belousova and Shruti Bhargava and Jianpeng Cheng and Robert Daland and Joris Driesen and Federico Flego and Tristan Guigue and Anders Johannsen and Partha Lal and Jiarui Lu and Joel Ruben Antony Moniz and Nathan Perkins and Dhivya Piraviperumal and Stephen Pulman and Diarmuid {\'{O}} S{\'{e}}aghdha and David Q. Sun and John Torr and Marco Del Vecchio and Jay Wacker and Jason D. Williams and Hong Yu}, title = {Intelligent Assistant Language Understanding On Device}, journal = {CoRR}, volume = {abs/2308.03905}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.03905}, doi = {10.48550/ARXIV.2308.03905}, eprinttype = {arXiv}, eprint = {2308.03905}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-03905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06243, author = {Nilima Nigam and David M. Williams}, title = {Conforming Finite Element Function Spaces in Four Dimensions, Part 1: Foundational Principles and the Tesseract}, journal = {CoRR}, volume = {abs/2308.06243}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06243}, doi = {10.48550/ARXIV.2308.06243}, eprinttype = {arXiv}, eprint = {2308.06243}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06243.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06258, author = {David M. Williams and Nilima Nigam}, title = {Conforming Finite Element Function Spaces in Four Dimensions, Part {II:} The Pentatope and Tetrahedral Prism}, journal = {CoRR}, volume = {abs/2308.06258}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06258}, doi = {10.48550/ARXIV.2308.06258}, eprinttype = {arXiv}, eprint = {2308.06258}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-07512, author = {Ans Qureshi and David Smith and Trevor Gee and Mahla Nejati and Jalil Shahabi and JongYoon Lim and Ho Seok Ahn and Benjamin McGuinness and Catherine Downes and Rahul Jangali and Kale Black and Shen Hin Lim and Mike Duke and Bruce A. MacDonald and Henry Williams}, title = {Seeing the Fruit for the Leaves: Robotically Mapping Apple Fruitlets in a Commercial Orchard}, journal = {CoRR}, volume = {abs/2308.07512}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.07512}, doi = {10.48550/ARXIV.2308.07512}, eprinttype = {arXiv}, eprint = {2308.07512}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-07512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10597, author = {Fraser Rennie and David S. W. Williams and Paul Newman and Daniele De Martini}, title = {Doppler-aware Odometry from {FMCW} Scanning Radar}, journal = {CoRR}, volume = {abs/2308.10597}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10597}, doi = {10.48550/ARXIV.2308.10597}, eprinttype = {arXiv}, eprint = {2308.10597}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10597.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-13088, author = {Aakaash Salvaji and Harry Taylor and David Valencia and Trevor Gee and Henry Williams}, title = {Racing Towards Reinforcement Learning based control of an Autonomous Formula {SAE} Car}, journal = {CoRR}, volume = {abs/2308.13088}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.13088}, doi = {10.48550/ARXIV.2308.13088}, eprinttype = {arXiv}, eprint = {2308.13088}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-13088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01806, author = {Jeremy Kepner and Michael Jones and Phil Dykstra and Chansup Byun and Timothy Davis and Hayden Jananthan and William Arcand and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Lauren Milechin and Guillermo Morales and Julie Mullen and Ritesh Patel and Alex Pentland and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Tyler Trigg and Charles Yee and Peter Michaleas}, title = {Focusing and Calibration of Large Scale Network Sensors using GraphBLAS Anonymized Hypersparse Matrices}, journal = {CoRR}, volume = {abs/2309.01806}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01806}, doi = {10.48550/ARXIV.2309.01806}, eprinttype = {arXiv}, eprint = {2309.01806}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01806.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02464, author = {Michael Jones and Jeremy Kepner and Andrew Prout and Timothy Davis and William Arcand and David Bestor and William Bergeron and Chansup Byun and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Lauren Milechin and Guillermo Morales and Julie Mullen and Ritesh Patel and Sandeep Pisharody and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, title = {Deployment of Real-Time Network Traffic Analysis using GraphBLAS Hypersparse Matrices and {D4M} Associative Arrays}, journal = {CoRR}, volume = {abs/2309.02464}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02464}, doi = {10.48550/ARXIV.2309.02464}, eprinttype = {arXiv}, eprint = {2309.02464}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02464.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-03931, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Anna Klein and Peter Michaleas and Lauren Milechin and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {pPython Performance Study}, journal = {CoRR}, volume = {abs/2309.03931}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.03931}, doi = {10.48550/ARXIV.2309.03931}, eprinttype = {arXiv}, eprint = {2309.03931}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-03931.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-07778, author = {Eugene Vorontsov and Alican Bozkurt and Adam Casson and George Shaikovski and Michal Zelechowski and Siqi Liu and Philippe Mathieu and Alexander van Eck and Donghun Lee and Julian Viret and Eric Robert and Yi Kan Wang and Jeremy D. Kunz and Matthew C. H. Lee and Jan Bernhard and Ran A. Godrich and Gerard Oakley and Ewan Millar and Matthew G. Hanna and Juan Retamero and William A. Moye and Razik Yousfi and Christopher Kanan and David S. Klimstra and Brandon Rothrock and Thomas J. Fuchs}, title = {Virchow: {A} Million-Slide Digital Pathology Foundation Model}, journal = {CoRR}, volume = {abs/2309.07778}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.07778}, doi = {10.48550/ARXIV.2309.07778}, eprinttype = {arXiv}, eprint = {2309.07778}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-07778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13207, author = {John S. Schreck and David John Gagne II and Charlie Becker and William E. Chapman and Kim Elmore and Gabrielle Gantos and Eliot Kim and Dhamma Kimpara and Thomas Martin and Maria J. Molina and Vanessa M. Pryzbylo and Jacob Radford and Belen Saavedra and Justin Willson and Christopher D. Wirz}, title = {Evidential Deep Learning: Enhancing Predictive Uncertainty Estimation for Earth System Science Applications}, journal = {CoRR}, volume = {abs/2309.13207}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13207}, doi = {10.48550/ARXIV.2309.13207}, eprinttype = {arXiv}, eprint = {2309.13207}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13207.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00522, author = {Hayden Jananthan and Jeremy Kepner and Michael Jones and William Arcand and David Bestor and William Bergeron and Chansup Byun and Timothy Davis and Vijay Gadepally and Daniel Grant and Michael Houle and Matthew Hubbell and Anna Klein and Lauren Milechin and Guillermo Morales and Andrew Morris and Julie Mullen and Ritesh Patel and Alex Pentland and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Tyler Trigg and Gabriel Wachman and Charles Yee and Peter Michaleas}, title = {Mapping of Internet "Coastlines" via Large Scale Anonymized Network Source Correlations}, journal = {CoRR}, volume = {abs/2310.00522}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00522}, doi = {10.48550/ARXIV.2310.00522}, eprinttype = {arXiv}, eprint = {2310.00522}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00522.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02579, author = {Yinan Huang and William Lu and Joshua Robinson and Yu Yang and Muhan Zhang and Stefanie Jegelka and Pan Li}, title = {On the Stability of Expressive Positional Encodings for Graph Neural Networks}, journal = {CoRR}, volume = {abs/2310.02579}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02579}, doi = {10.48550/ARXIV.2310.02579}, eprinttype = {arXiv}, eprint = {2310.02579}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12426, author = {Deepak Nathani and David Wang and Liangming Pan and William Yang Wang}, title = {{MAF:} Multi-Aspect Feedback for Improving Reasoning in Large Language Models}, journal = {CoRR}, volume = {abs/2310.12426}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12426}, doi = {10.48550/ARXIV.2310.12426}, eprinttype = {arXiv}, eprint = {2310.12426}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12827, author = {Jeremy Seeman and William Sexton and David Pujol and Ashwin Machanavajjhala}, title = {Privately Answering Queries on Skewed Data via Per Record Differential Privacy}, journal = {CoRR}, volume = {abs/2310.12827}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12827}, doi = {10.48550/ARXIV.2310.12827}, eprinttype = {arXiv}, eprint = {2310.12827}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-13793, author = {Yunmo Chen and William Gantt and Tongfei Chen and Aaron Steven White and Benjamin Van Durme}, title = {A Unified View of Evaluation Metrics for Structured Prediction}, journal = {CoRR}, volume = {abs/2310.13793}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.13793}, doi = {10.48550/ARXIV.2310.13793}, eprinttype = {arXiv}, eprint = {2310.13793}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-13793.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18130, author = {David Q. Sun and Artem Abzaliev and Hadas Kotek and Zidi Xiu and Christopher Klein and Jason D. Williams}, title = {{DELPHI:} Data for Evaluating LLMs' Performance in Handling Controversial Issues}, journal = {CoRR}, volume = {abs/2310.18130}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18130}, doi = {10.48550/ARXIV.2310.18130}, eprinttype = {arXiv}, eprint = {2310.18130}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18326, author = {Harsh Kumar and Tong Li and Jiakai Shi and Ilya Musabirov and Rachel Kornfield and Jonah Meyerhoff and Ananya Bhattacharjee and Chris J. Karr and Theresa Nguyen and David C. Mohr and Anna N. Rafferty and Sofia S. Villar and Nina Deliu and Joseph Jay Williams}, title = {Using Adaptive Bandit Experiments to Increase and Investigate Engagement in Mental Health}, journal = {CoRR}, volume = {abs/2310.18326}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18326}, doi = {10.48550/ARXIV.2310.18326}, eprinttype = {arXiv}, eprint = {2310.18326}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18326.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00208, author = {Lena Strobl and William Merrill and Gail Weiss and David Chiang and Dana Angluin}, title = {Transformers as Recognizers of Formal Languages: {A} Survey on Expressivity}, journal = {CoRR}, volume = {abs/2311.00208}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00208}, doi = {10.48550/ARXIV.2311.00208}, eprinttype = {arXiv}, eprint = {2311.00208}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-01950, author = {Billy Jin and Nathan Klein and David P. Williamson}, title = {A Lower Bound for the Max Entropy Algorithm for {TSP}}, journal = {CoRR}, volume = {abs/2311.01950}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.01950}, doi = {10.48550/ARXIV.2311.01950}, eprinttype = {arXiv}, eprint = {2311.01950}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-01950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02010, author = {Lois Curfman McInnes and Michael A. Heroux and David E. Bernholdt and Anshu Dubey and Elsa Gonsiorowski and Rinku Gupta and Osni Marques and J. David Moulton and Hai Ah Nam and Boyana Norris and Elaine M. Raybourn and James M. Willenbring and Ann S. Almgren and Ross Bartlett and Kita Cranfill and Stephen Fickas and Don Frederick and William F. Godoy and Patricia Grubel and Rebecca Hartman{-}Baker and Axel Huebl and Rose Lynch and Addi Malviya{-}Thakur and Reed Milewicz and Mark C. Miller and Miranda Mundt and Erik Palmer and Suzanne Parete{-}Koon and Megan Phinney and Katherine Riley and David M. Rogers and Benjamin H. Sims and Deborah Stevens and Gregory R. Watson}, title = {A cast of thousands: How the {IDEAS} Productivity project has advanced software productivity and sustainability}, journal = {CoRR}, volume = {abs/2311.02010}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02010}, doi = {10.48550/ARXIV.2311.02010}, eprinttype = {arXiv}, eprint = {2311.02010}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02251, author = {Jessica Sena and Mohammad Tahsin Mostafiz and Jiaqing Zhang and Andrea Davidson and Sabyasachi Bandyopadhyay and Yuanfang Ren and Tezcan Ozrazgat{-}Baslanti and Benjamin Shickel and Tyler J. Loftus and William Robson Schwartz and Azra Bihorac and Parisa Rashidi}, title = {The Potential of Wearable Sensors for Assessing Patient Acuity in Intensive Care Unit {(ICU)}}, journal = {CoRR}, volume = {abs/2311.02251}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02251}, doi = {10.48550/ARXIV.2311.02251}, eprinttype = {arXiv}, eprint = {2311.02251}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02251.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05601, author = {Siddharth Vashishtha and Alexander Martin and William Gantt and Benjamin Van Durme and Aaron Steven White}, title = {FAMuS: Frames Across Multiple Sources}, journal = {CoRR}, volume = {abs/2311.05601}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05601}, doi = {10.48550/ARXIV.2311.05601}, eprinttype = {arXiv}, eprint = {2311.05601}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05601.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07995, author = {David Bradley{-}Williams and Peter J. Cameron and Jan Hubicka and Matej Konecn{\'{y}}}, title = {{EPPA} numbers of graphs}, journal = {CoRR}, volume = {abs/2311.07995}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07995}, doi = {10.48550/ARXIV.2311.07995}, eprinttype = {arXiv}, eprint = {2311.07995}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-08620, author = {William Fleshman and Benjamin Van Durme}, title = {Toucan: Token-Aware Character Level Language Modeling}, journal = {CoRR}, volume = {abs/2311.08620}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.08620}, doi = {10.48550/ARXIV.2311.08620}, eprinttype = {arXiv}, eprint = {2311.08620}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-08620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-09273, author = {Sonia Moshfeghi and Muhammad Tanveer Jan and Joshua William Conniff and Seyedeh Gol Ara Ghoreishi and Jinwoo Jang and Borko Furht and KwangSoo Yang and Monica Rosselli and David Newman and Ruth Tappen and Dana Smith}, title = {In-vehicle Sensing and Data Analysis for Older Drivers with Mild Cognitive Impairment}, journal = {CoRR}, volume = {abs/2311.09273}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.09273}, doi = {10.48550/ARXIV.2311.09273}, eprinttype = {arXiv}, eprint = {2311.09273}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-09273.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-10516, author = {David Williams and James Callan and Serkan Kirbas and Sergey Mechtaev and Justyna Petke and Thomas Prideaux{-}Ghee and Federica Sarro}, title = {User-Centric Deployment of Automated Program Repair at Bloomberg}, journal = {CoRR}, volume = {abs/2311.10516}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.10516}, doi = {10.48550/ARXIV.2311.10516}, eprinttype = {arXiv}, eprint = {2311.10516}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-10516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-17822, author = {Seyedeh Gol Ara Ghoreishi and Sonia Moshfeghi and Muhammad Tanveer Jan and Joshua William Conniff and KwangSoo Yang and Jinwoo Jang and Borko Furht and Ruth Tappen and David Newman and Monica Rosselli and Jiannan Zhai}, title = {Anomalous Behavior Detection in Trajectory Data of Older Drivers}, journal = {CoRR}, volume = {abs/2311.17822}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.17822}, doi = {10.48550/ARXIV.2311.17822}, eprinttype = {arXiv}, eprint = {2311.17822}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-17822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-18140, author = {David Esiobu and Xiaoqing Ellen Tan and Saghar Hosseini and Megan Ung and Yuchen Zhang and Jude Fernandes and Jane Dwivedi{-}Yu and Eleonora Presani and Adina Williams and Eric Michael Smith}, title = {{ROBBIE:} Robust Bias Evaluation of Large Generative Language Models}, journal = {CoRR}, volume = {abs/2311.18140}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.18140}, doi = {10.48550/ARXIV.2311.18140}, eprinttype = {arXiv}, eprint = {2311.18140}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-18140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-00357, author = {Rohan Shad and Cyril Zakka and Dhamanpreet Kaur and Robyn Fong and Ross Warren Filice and John Mongan and Kimberly Kallianos and Nishith Khandwala and David Eng and Matthew Leipzig and Walter Witschey and Alejandro de Feria and Victor Ferrari and Euan A. Ashley and Michael A. Acker and Curtis P. Langlotz and William Hiesinger}, title = {A Generalizable Deep Learning System for Cardiac {MRI}}, journal = {CoRR}, volume = {abs/2312.00357}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.00357}, doi = {10.48550/ARXIV.2312.00357}, eprinttype = {arXiv}, eprint = {2312.00357}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-00357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-05187, author = {Lo{\"{\i}}c Barrault and Yu{-}An Chung and Mariano Coria Meglioli and David Dale and Ning Dong and Mark Duppenthaler and Paul{-}Ambroise Duquenne and Brian Ellis and Hady Elsahar and Justin Haaheim and John Hoffman and Min{-}Jae Hwang and Hirofumi Inaguma and Christopher Klaiber and Ilia Kulikov and Pengwei Li and Daniel Licht and Jean Maillard and Ruslan Mavlyutov and Alice Rakotoarison and Kaushik Ram Sadagopan and Abinesh Ramakrishnan and Tuan Tran and Guillaume Wenzek and Yilin Yang and Ethan Ye and Ivan Evtimov and Pierre Fernandez and Cynthia Gao and Prangthip Hansanti and Elahe Kalbassi and Amanda Kallet and Artyom Kozhevnikov and Gabriel Mejia Gonzalez and Robin San Roman and Christophe Touret and Corinne Wong and Carleigh Wood and Bokai Yu and Pierre Andrews and Can Balioglu and Peng{-}Jen Chen and Marta R. Costa{-}juss{\`{a}} and Maha Elbayad and Hongyu Gong and Francisco Guzm{\'{a}}n and Kevin Heffernan and Somya Jain and Justine Kao and Ann Lee and Xutai Ma and Alexandre Mourachko and Benjamin Peloquin and Juan Pino and Sravya Popuri and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Anna Y. Sun and Paden Tomasello and Changhan Wang and Jeff Wang and Skyler Wang and Mary Williamson}, title = {Seamless: Multilingual Expressive and Streaming Speech Translation}, journal = {CoRR}, volume = {abs/2312.05187}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.05187}, doi = {10.48550/ARXIV.2312.05187}, eprinttype = {arXiv}, eprint = {2312.05187}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-05187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10826, author = {Conrad Borchers and Yeyu Wang and Shamya Karumbaiah and Muhammad Ashiq and David Williamson Shaffer and Vincent Aleven}, title = {Revealing Networks: Understanding Effective Teacher Practices in AI-Supported Classrooms using Transmodal Ordered Network Analysis}, journal = {CoRR}, volume = {abs/2312.10826}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10826}, doi = {10.48550/ARXIV.2312.10826}, eprinttype = {arXiv}, eprint = {2312.10826}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10904, author = {Sabrina Toro and Anna V. Anagnostopoulos and Sue Bello and Kai Blumberg and Rhiannon Cameron and Leigh Carmody and Alexander D. Diehl and Damion M. Dooley and William D. Duncan and Petra Fey and Pascale Gaudet and Nomi L. Harris and Marcin P. Joachimiak and Leila Kiani and Tiago Lubiana and Monica C. Munoz{-}Torres and Shawn T. O'Neil and David Osumi{-}Sutherland and Aleix Puig and Justin P. Reese and Leonore Reiser and Sofia M. C. Robb and Troy Ruemping and James Seager and Eric Sid and Raymund Stefancsik and Magalie Weber and Valerie Wood and Melissa A. Haendel and Christopher J. Mungall}, title = {Dynamic Retrieval Augmented Generation of Ontologies using Artificial Intelligence {(DRAGON-AI)}}, journal = {CoRR}, volume = {abs/2312.10904}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10904}, doi = {10.48550/ARXIV.2312.10904}, eprinttype = {arXiv}, eprint = {2312.10904}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-17414, author = {Jude T. Anderson and David M. Williams}, title = {Space-time hypervolume meshing part 1: Point insertion, geometric predicates, and bistellar flips}, journal = {CoRR}, volume = {abs/2312.17414}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.17414}, doi = {10.48550/ARXIV.2312.17414}, eprinttype = {arXiv}, eprint = {2312.17414}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-17414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaumCCYA23, author = {David Chaum and Bernardo Cardoso and William Carter and Mario Yaksetig and Baltasar Aroso}, title = {Phoenixx: Linear consensus with random sampling}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1262}, year = {2023}, url = {https://eprint.iacr.org/2023/1262}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaumCCYA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HarmonDRS23, author = {Luke Harmon and Gaetan Delavignette and Arnab Roy and David William Honorio Araujo da Silva}, title = {{PIE:} p-adic Encoding for High-Precision Arithmetic in Homomorphic Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {700}, year = {2023}, url = {https://eprint.iacr.org/2023/700}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/HarmonDRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BravosCCDEEGJKL22, author = {George Bravos and Antonio J. Cabrera and Camilo Correa and Dragan Danilovic and Nikolaos Evangeliou and Gilad Ezov and Zoran Gajica and Dusan Jakovetic and Leonidas Kallipolitis and Milan Lukic and Julien{-}Etienne Mascolo and Davide Masera and Ra{\'{u}}l Mazo and Ivan Mezei and Andreas I. Miaoudakis and Nemanja Milosevic and William Oliff and Jacques Robin and Michail Smyrlis and Georgia Sakellari and Giorgos Stamatis and Dusan Stamenkovic and Srdan Skrbic and Carine Souveyet and Spyridon Vantolas and Giorgos Vasiliadis and Dejan Vukobratovic}, title = {Cybersecurity for Industrial Internet of Things: Architecture, Models and Lessons Learned}, journal = {{IEEE} Access}, volume = {10}, pages = {124747--124765}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225074}, doi = {10.1109/ACCESS.2022.3225074}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BravosCCDEEGJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Cortes-MurciaGM22, author = {David Leonardo Cort{\'{e}}s{-}Murcia and William J. Guerrero and Jairo R. Montoya{-}Torres}, title = {Supply Chain Management, Game-Changing Technologies, and Physical Internet: {A} Systematic Meta-Review of Literature}, journal = {{IEEE} Access}, volume = {10}, pages = {61721--61743}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3181154}, doi = {10.1109/ACCESS.2022.3181154}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Cortes-MurciaGM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GuerraA22, author = {David William Marques Guerra and Taufik Abr{\~{a}}o}, title = {Clustered Double-Scattering Channel Modeling for {XL-MIMO} With Uniform Arrays}, journal = {{IEEE} Access}, volume = {10}, pages = {20173--20186}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3152704}, doi = {10.1109/ACCESS.2022.3152704}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GuerraA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SchneiderGKORBM22, author = {Kevin P. Schneider and Jim Glass and Cecilia Klauber and Thomas Ben Ollis and Matthew J. Reno and Michael Burck and Lelic Muhidin and Anamika Dubey and Wei Du and Thanh Long Vu and Jing Xie and David Nordy and William Dawson and Javier Hernandez{-}Alvidrez and Anjan Bose and Dan Ton and Guohui Yuan}, title = {A Framework for Coordinated Self-Assembly of Networked Microgrids Using Consensus Algorithms}, journal = {{IEEE} Access}, volume = {10}, pages = {3864--3878}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3132253}, doi = {10.1109/ACCESS.2021.3132253}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SchneiderGKORBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/SlovisVVSWKR22, author = {Benjamin H. Slovis and William J. K. Vervilles and David K. Vawdrey and Jordan L. Swartz and Catherine Winans and John C. Kairys and Jeffrey Riggio}, title = {Providers Electing to Receive Electronic Result Notifications: Demographics and Motivation}, journal = {Appl. Clin. Inform.}, volume = {13}, number = {2}, pages = {681--691}, year = {2022}, url = {https://doi.org/10.1055/s-0042-1751092}, doi = {10.1055/S-0042-1751092}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aci/SlovisVVSWKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/NaorUW22, author = {Joseph (Seffi) Naor and Seeun William Umboh and David P. Williamson}, title = {Tight Bounds for Online Weighted Tree Augmentation}, journal = {Algorithmica}, volume = {84}, number = {2}, pages = {304--324}, year = {2022}, url = {https://doi.org/10.1007/s00453-021-00888-7}, doi = {10.1007/S00453-021-00888-7}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/NaorUW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/LansPBFSKRDCMVS22, author = {Amanda Lans and Robertus J. B. Pierik and John R. Bales and Mitchell S. Fourman and David Shin and Laura N. Kanbier and Jack Rifkin and William H. DiGiovanni and Rohan R. Chopra and Rana Moeinzad and Jorrit{-}Jan Verlaan and Joseph H. Schwab}, title = {Quality assessment of machine learning models for diagnostic imaging in orthopaedics: {A} systematic review}, journal = {Artif. Intell. Medicine}, volume = {132}, pages = {102396}, year = {2022}, url = {https://doi.org/10.1016/j.artmed.2022.102396}, doi = {10.1016/J.ARTMED.2022.102396}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/LansPBFSKRDCMVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/Crecy-LagardHAB22, author = {Val{\'{e}}rie de Cr{\'{e}}cy{-}Lagard and Rocio Amorin de Hegedus and Cecilia N. Arighi and Jill Babor and Alex Bateman and Ian Blaby and Crysten Blaby{-}Haas and Alan J. Bridge and Stephen K. Burley and Stacey Cleveland and Lucy J. Colwell and Ana Conesa and Christian Dallago and Antoine Danchin and Anita de Waard and Adam Deutschbauer and Raquel Dias and Yousong Ding and Gang Fang and Iddo Friedberg and John Gerlt and Joshua Goldford and Mark Gorelik and Benjamin M. Gyori and Christopher S. Henry and Geoffrey Hutinet and Marshall Jaroch and Peter D. Karp and Liudmyla Kondratova and Zhiyong Lu and Aron Marchler{-}Bauer and Maria Jesus Martin and Claire D. McWhite and Gaurav D. Moghe and Paul Monaghan and Anne Morgat and Christopher J. Mungall and Darren A. Natale and William C. Nelson and Se{\'{a}}n I. O'Donoghue and Christine A. Orengo and Katherine H. O'Toole and Predrag Radivojac and Colbie Reed and Richard J. Roberts and Dmitri Rodionov and Irina A. Rodionova and Jeffrey DePons and Lana Saleh and Gloria M. Sheynkman and Fran{\c{c}}oise Thibaud{-}Nissen and Paul D. Thomas and Peter Uetz and David Vallenet and Erica Watson Carter and Peter Weigele and Valerie Wood and Elisha M. Wood{-}Charlson and Jin Xu}, title = {A roadmap for the functional annotation of protein families: a community perspective}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac062}, doi = {10.1093/DATABASE/BAAC062}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/Crecy-LagardHAB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/MatentzogluBBBB22, author = {Nicolas Matentzoglu and James P. Balhoff and Susan M. Bello and Chris Bizon and Matthew H. Brush and Tiffany J. Callahan and Christopher G. Chute and William D. Duncan and Chris T. A. Evelo and Davera Gabriel and John Graybeal and Alasdair J. G. Gray and Benjamin M. Gyori and Melissa A. Haendel and Henriette Harmse and Nomi L. Harris and Ian Harrow and Harshad Hegde and Amelia L. Hoyt and Charles Tapley Hoyt and Dazhi Jiao and Ernesto Jim{\'{e}}nez{-}Ruiz and Simon Jupp and Hyeongsik Kim and Sebastian K{\"{o}}hler and Thomas Liener and Qinqin Long and James Malone and James A. McLaughlin and Julie A. McMurry and Sierra A. T. Moxon and Monica C. Munoz{-}Torres and David Osumi{-}Sutherland and James A. Overton and Bjoern Peters and Tim E. Putman and N{\'{u}}ria Queralt{-}Rosinach and Kent A. Shefchek and Harold Solbrig and Anne E. Thessen and Tania Tudorache and Nicole A. Vasilevsky and Alex H. Wagner and Christopher J. Mungall}, title = {A Simple Standard for Sharing Ontological Mappings {(SSSOM)}}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac035}, doi = {10.1093/DATABASE/BAAC035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/MatentzogluBBBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/MatentzogluGTBC22, author = {Nicolas Matentzoglu and Damien Goutte{-}Gattat and Shawn Zheng Kai Tan and James P. Balhoff and Seth Carbon and Anita R. Caron and William D. Duncan and Joe E. Flack and Melissa A. Haendel and Nomi L. Harris and William R. Hogan and Charles Tapley Hoyt and Rebecca C. Jackson and Hyeongsik Kim and H{\"{u}}seyin Kir and Martin Larralde and Julie A. McMurry and James A. Overton and Bjoern Peters and Clare Pilgrim and Raymund Stefancsik and Sofia M. C. Robb and Sabrina Toro and Nicole A. Vasilevsky and Ramona L. Walls and Christopher J. Mungall and David Osumi{-}Sutherland}, title = {Ontology Development Kit: a toolkit for building, maintaining and standardizing biomedical ontologies}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac087}, doi = {10.1093/DATABASE/BAAC087}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/MatentzogluGTBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WagholikarAZCMKBMCOGASM22, author = {Kavishwar B. Wagholikar and Layne Ainsworth and David Zelle and Kira Chaney and Michael Mendis and Jeffrey G. Klann and Alexander J. Blood and Angela Miller and Rupendra Chulyadyo and Michael Oates and William J. Gordon and Samuel J. Aronson and Benjamin M. Scirica and Shawn N. Murphy}, title = {I2b2-etl: Python application for importing electronic health data into the informatics for integrating biology and the bedside platform}, journal = {Bioinform.}, volume = {38}, number = {20}, pages = {4833--4836}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac595}, doi = {10.1093/BIOINFORMATICS/BTAC595}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WagholikarAZCMKBMCOGASM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/BirnDWPKAGD22, author = {Rasmus M. Birn and Douglas C. Dean III and William Wooten and Elizabeth M. Planalp and Steven R. Kecskemeti and Andrew L. Alexander and H. Hill Goldsmith and Richard J. Davidson}, title = {Reduction of Motion Artifacts in Functional Connectivity Resulting from Infrequent Large Motion}, journal = {Brain Connect.}, volume = {12}, number = {8}, pages = {740--753}, year = {2022}, url = {https://doi.org/10.1089/brain.2021.0133}, doi = {10.1089/BRAIN.2021.0133}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/brain/BirnDWPKAGD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LiGWLHGWRHBA22, author = {Renjie Li and Rebecca J. St George and Xinyi Wang and Katherine Lawler and Edward Hill and Saurabh Garg and Stefan Williams and Samuel D. Relton and David Hogg and Quan Bai and Jane E. Alty}, title = {Moving towards intelligent telemedicine: Computer vision measurement of human movement}, journal = {Comput. Biol. Medicine}, volume = {147}, pages = {105776}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105776}, doi = {10.1016/J.COMPBIOMED.2022.105776}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LiGWLHGWRHBA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/GasevicGS22, author = {Dragan Gasevic and Samuel Greiff and David Williamson Shaffer}, title = {Towards strengthening links between learning analytics and assessment: Challenges and potentials of a promising new bond}, journal = {Comput. Hum. Behav.}, volume = {134}, pages = {107304}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107304}, doi = {10.1016/J.CHB.2022.107304}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/GasevicGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/MillerCW22, author = {Edward A. Miller and Xi Chen and David M. Williams}, title = {Versatile mixed methods for non-isothermal incompressible flows}, journal = {Comput. Math. Appl.}, volume = {125}, pages = {150--175}, year = {2022}, url = {https://doi.org/10.1016/j.camwa.2022.08.044}, doi = {10.1016/J.CAMWA.2022.08.044}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/MillerCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/DelormeGGKMPT22, author = {Maxence Delorme and Sergio Garc{\'{\i}}a and Jacek Gondzio and J{\"{o}}rg Kalcsics and David F. Manlove and William Pettersson and James Trimble}, title = {Improved instance generation for kidney exchange programmes}, journal = {Comput. Oper. Res.}, volume = {141}, pages = {105707}, year = {2022}, url = {https://doi.org/10.1016/j.cor.2022.105707}, doi = {10.1016/J.COR.2022.105707}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/DelormeGGKMPT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/CarvalhoSRRRPW22, author = {Aparecido Fabiano Pinatti de Carvalho and Saqib Saeed and Christian Reuter and Markus Rohde and David Randall and Volkmar Pipek and Volker Wulf}, title = {Understanding Nomadic Practices of Social Activist Networks Through the Lens of Infrastructuring: the Case of the European Social Forum}, journal = {Comput. Support. Cooperative Work.}, volume = {31}, number = {4}, pages = {731--769}, year = {2022}, url = {https://doi.org/10.1007/s10606-022-09442-7}, doi = {10.1007/S10606-022-09442-7}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cscw/CarvalhoSRRRPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/Randall22, author = {Dave Randall}, title = {Made to Work: Mobilising Contemporary Worklives}, journal = {Comput. Support. Cooperative Work.}, volume = {31}, number = {3}, pages = {555--560}, year = {2022}, url = {https://doi.org/10.1007/s10606-022-09431-w}, doi = {10.1007/S10606-022-09431-W}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cscw/Randall22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/WulfRAR22, author = {Volker Wulf and Dave W. Randall and Konstantin Aal and Markus Rohde}, title = {The Personal is the Political: Internet Filtering and Counter Appropriation in the Islamic Republic of Iran}, journal = {Comput. Support. Cooperative Work.}, volume = {31}, number = {2}, pages = {373--409}, year = {2022}, url = {https://doi.org/10.1007/s10606-022-09426-7}, doi = {10.1007/S10606-022-09426-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cscw/WulfRAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/GodoyABBBKLMMMSRRV22, author = {William F. Godoy and Ritu Arora and Keith Beattie and David E. Bernholdt and Sarah E. Bratt and Daniel S. Katz and Ignacio Laguna and Amiya K. Maji and Addi Malviya{-}Thakur and Rafael M. Mudafort and Nitin Sukhija and Damian W. I. Rouson and Cindy Rubio{-}Gonz{\'{a}}lez and Karan Vahi}, title = {Giving Research Software Engineers a Larger Stage Through the Better Scientific Software Fellowship}, journal = {Comput. Sci. Eng.}, volume = {24}, number = {5}, pages = {6--13}, year = {2022}, url = {https://doi.org/10.1109/MCSE.2023.3253847}, doi = {10.1109/MCSE.2023.3253847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/GodoyABBBKLMMMSRRV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/MalviyaThakurBGWDCRMBM22, author = {Addi Malviya{-}Thakur and David E. Bernholdt and William F. Godoy and Gregory R. Watson and Mathieu Doucet and Mark A. Coletti and David M. Rogers and Marshall T. McDonnell and Jay Jay Billings and Barney Maccabe}, title = {Research Software Engineering at Oak Ridge National Laboratory}, journal = {Comput. Sci. Eng.}, volume = {24}, number = {5}, pages = {14--23}, year = {2022}, url = {https://doi.org/10.1109/MCSE.2023.3260211}, doi = {10.1109/MCSE.2023.3260211}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/MalviyaThakurBGWDCRMBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/StoneGADSG22, author = {John E. Stone and Kevin S. Griffin and Jefferson Amstutz and David E. DeMarle and William R. Sherman and Johannes G{\"{u}}nther}, title = {{ANARI:} {A} 3-D Rendering {API} Standard}, journal = {Comput. Sci. Eng.}, volume = {24}, number = {2}, pages = {7--18}, year = {2022}, url = {https://doi.org/10.1109/MCSE.2022.3163151}, doi = {10.1109/MCSE.2022.3163151}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/StoneGADSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/da/CaballeroNI22, author = {William N. Caballero and Roi Naveiro and David R{\'{\i}}os Insua}, title = {Modeling Ethical and Operational Preferences in Automated Driving Systems}, journal = {Decis. Anal.}, volume = {19}, number = {1}, pages = {21--43}, year = {2022}, url = {https://doi.org/10.1287/deca.2021.0441}, doi = {10.1287/DECA.2021.0441}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/da/CaballeroNI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/XuGSW22, author = {Xiang Xu and Huade Guan and Grzegorz Skrzypek and David G. Williams}, title = {Topographical influences on foliar nitrogen concentration and stable isotope composition in a Mediterranean-climate catchment}, journal = {Ecol. Informatics}, volume = {68}, pages = {101569}, year = {2022}, url = {https://doi.org/10.1016/j.ecoinf.2022.101569}, doi = {10.1016/J.ECOINF.2022.101569}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/XuGSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/BoltonRHWAGH22, author = {William J. Bolton and Timothy M. Rawson and Bernard Hernandez and Richard Wilson and David Antcliffe and Pantelis Georgiou and Alison H. Holmes}, title = {Machine learning and synthetic outcome estimation for individualised antimicrobial cessation}, journal = {Frontiers Digit. Health}, volume = {4}, year = {2022}, url = {https://doi.org/10.3389/fdgth.2022.997219}, doi = {10.3389/FDGTH.2022.997219}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/BoltonRHWAGH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hovhannes Melikyan and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: {TEAM} CoSTAR's Robotic Autonomy Solution that Won Phase {II} of {DARPA} Subterranean Challenge}, journal = {Field Robotics}, volume = {2}, number = {1}, pages = {1432--1506}, year = {2022}, url = {https://doi.org/10.55417/fr.2022047}, doi = {10.55417/FR.2022047}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frai/FoksinskaCCHBRPHTZRAOM22, author = {Aleksandra Foksinska and Camerron M. Crowder and Andrew B. Crouse and Jeff Henrikson and William E. Byrd and Gregory Rosenblatt and Michael J. Patton and Kaiwen He and Thi K. Tran{-}Nguyen and Marissa Zheng and Stephen A. Ramsey and Nada Amin and John D. Osborne and Matthew Might}, title = {The precision medicine process for treating rare disease using the artificial intelligence tool mediKanren}, journal = {Frontiers Artif. Intell.}, volume = {5}, year = {2022}, url = {https://doi.org/10.3389/frai.2022.910216}, doi = {10.3389/FRAI.2022.910216}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/frai/FoksinskaCCHBRPHTZRAOM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hhci/CastelliCVTRTSW22, author = {Nico Castelli and Aparecido Fabiano Pinatti de Carvalho and Nico Vitt and Sebastian Taugerbeck and Dave Randall and Peter Tolmie and Gunnar Stevens and Volker Wulf}, title = {On technology-assisted energy saving: challenges of digital plumbing in industrial settings}, journal = {Hum. Comput. Interact.}, volume = {37}, number = {4}, pages = {341--369}, year = {2022}, url = {https://doi.org/10.1080/07370024.2020.1855589}, doi = {10.1080/07370024.2020.1855589}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hhci/CastelliCVTRTSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/i-jep/Andrade-ArenasN22, author = {Laberiano Andrade{-}Arenas and David Llulluy Nu{\~{n}}ez and Jes{\'{u}}s Vilchez Sandoval and William Reyes Perez and Elvis Gonzales Choquehuanca}, title = {Proposal of a Model for the Development of University Teacher Training Through Virtual Courses}, journal = {Int. J. Eng. Pedagog.}, volume = {12}, number = {3}, year = {2022}, url = {https://online-journals.org/index.php/i-jep/article/view/29497}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/i-jep/Andrade-ArenasN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iahe/WilliamsG22, author = {David E. Williams and Spencer P. Greenhalgh}, title = {Pseudonymous academics: Authentic tales from the Twitter trenches}, journal = {Internet High. Educ.}, volume = {55}, pages = {100870}, year = {2022}, url = {https://doi.org/10.1016/j.iheduc.2022.100870}, doi = {10.1016/J.IHEDUC.2022.100870}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iahe/WilliamsG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgbl/AdamePHWCTRT22, author = {Elissa Arterburn Adame and Karlee A. Posteher and Alaina M. Hansom and Scott N. Wilson and Francisco J. E. Cecena and William M. Thompson and Ryan L. Ralston and David M. Thomas}, title = {Serious Games and Growth Mindsets: An Experimental Investigation of a Serious Gaming Intervention}, journal = {Int. J. Game Based Learn.}, volume = {12}, number = {1}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.4018/ijgbl.308787}, doi = {10.4018/IJGBL.308787}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgbl/AdamePHWCTRT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HolmesKYYBLMSKW22, author = {Andrew S. Holmes and Michail E. Kiziroglou and Samuel K. E. Yang and C. Yuan and David E. Boyle and David M. Lincoln and Jim D. J. McCabe and Paul Szasz and S. C. Keeping and Daryl R. Williams and Eric M. Yeatman}, title = {Minimally Invasive Online Water Monitor}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {16}, pages = {14325--14335}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3074081}, doi = {10.1109/JIOT.2021.3074081}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HolmesKYYBLMSKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ires/BaloghBPK22, author = {Matt Balogh and William Billingsley and David J. Paul and Mary Anne Kennan}, title = {Understanding the management of personal records at home: a virtual guided tour}, journal = {Inf. Res.}, volume = {27}, number = {2}, year = {2022}, url = {https://doi.org/10.47989/irpaper926}, doi = {10.47989/IRPAPER926}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ires/BaloghBPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iwc/AhmadiTMRW22, author = {Michael Ahmadi and Sebastian Taugerbeck and Johanna Meurer and Dave W. Randall and Volker Wulf}, title = {Addressing Values in Co-Design Projects: Lessons Learned From Two Case Studies in Sensitive Contexts}, journal = {Interact. Comput.}, volume = {34}, number = {1}, pages = {1--23}, year = {2022}, url = {https://doi.org/10.1093/iwc/iwac024}, doi = {10.1093/IWC/IWAC024}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iwc/AhmadiTMRW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ArmeroGFCBK22, author = {William Armero and Kathryn J. Gray and Kara G. Fields and Naida M. Cole and David W. Bates and Vesela P. Kovacheva}, title = {A survey of pregnant patients' perspectives on the implementation of artificial intelligence in clinical care}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {1}, pages = {46--53}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac200}, doi = {10.1093/JAMIA/OCAC200}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/ArmeroGFCBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/GrauerKRAAGLLBK22, author = {Anne Grauer and Jerard Kneifati{-}Hayek and Brian Reuland and Jo R. Applebaum and Jason S. Adelman and Robert A. Green and Jeanette Lisak{-}Phillips and David M. Liebovitz and Thomas F. Byrd and Preeti Kansal and Cheryl Wilkes and Suzanne Falck and Connie Larson and John Shilka and Elizabeth Vandril and Gordon D. Schiff and William L. Galanter and Bruce L. Lambert}, title = {Indication alerts to improve problem list documentation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {5}, pages = {909--917}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocab285}, doi = {10.1093/JAMIA/OCAB285}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/GrauerKRAAGLLBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/PfaffGGKMPLABBG22, author = {Emily R. Pfaff and Andrew T. Girvin and Davera Gabriel and Kristin Kostka and Michele Morris and Matvey B. Palchuk and Harold P. Lehmann and Benjamin R. C. Amor and Mark Bissell and Katie R. Bradwell and Sigfried Gold and Stephanie S. Hong and Johanna Loomba and Amin Manna and Julie A. McMurry and Emily Niehaus and Nabeel Qureshi and Anita Walden and Xiaohan Tanner Zhang and Richard L. Zhu and Richard A. Moffitt and Christopher G. Chute and William G. Adams and Shaymaa Al{-}Shukri and Alfred Anzalone and Ahmad Baghal and Tellen D. Bennett and Elmer V. Bernstam and Mark M. Bissell and Brian Bush and Thomas R. Campion Jr. and Victor Castro and Jack Chang and Deepa D. Chaudhari and Wenjin Chen and San Chu and James J. Cimino and Keith A. Crandall and Mark Crooks and Sara J. Deakyne Davies and John Dipalazzo and David A. Dorr and Dan Eckrich and Sarah E. Eltinge and Daniel G. Fort and George Golovko and Snehil Gupta and Melissa A. Haendel and Janos G. Hajagos and David A. Hanauer and Brett M. Harnett and Ronald Horswell and Nancy Huang and Steven G. Johnson and Michael Kahn and Kamil Khanipov and Curtis Kieler and Katherine Ruiz De Luzuriaga and Sarah E. Maidlow and Ashley Martinez and Jomol Mathew and James C. McClay and Gabriel McMahan and Brian Melancon and St{\'{e}}phane M. Meystre and Lucio Miele and Hiroki Morizono and Ray Pablo and Lav P. Patel and Jimmy Phuong and Daniel J. Popham and Claudia P. Pulgarin and Carlos Santos and Indra Neil Sarkar and Nancy Sazo and Soko Setoguchi and Selvin Soby and Sirisha Surampalli and Christine Suver and Uma Maheswara Reddy Vangala and Shyam Visweswaran and James von Oehsen and Kellie M. Walters and Laura K. Wiley and David A. Williams and Adrian H. Zai}, title = {Synergies between centralized and federated approaches to data quality: a report from the national {COVID} cohort collaborative}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {4}, pages = {609--618}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocab217}, doi = {10.1093/JAMIA/OCAB217}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/PfaffGGKMPLABBG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasss/WilliamsBGLMMS22, author = {Timothy G. Williams and Daniel G. Brown and Seth D. Guikema and Tom M. Logan and Nicholas R. Magliocca and Birgit M{\"{u}}ller and Cara E. Steger}, title = {Integrating Equity Considerations into Agent-Based Modeling: {A} Conceptual Framework and Practical Guidance}, journal = {J. Artif. Soc. Soc. Simul.}, volume = {25}, number = {3}, year = {2022}, url = {https://doi.org/10.18564/jasss.4816}, doi = {10.18564/JASSS.4816}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasss/WilliamsBGLMMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/WangZXHWBBLDPHG22, author = {Xuan Wang and Harrison G. Zhang and Xin Xiong and Chuan Hong and Griffin M. Weber and Gabriel A. Brat and Clara{-}Lea Bonzel and Yuan Luo and Rui Duan and Nathan P. Palmer and Meghan R. Hutch and Alba Guti{\'{e}}rrez{-}Sacrist{\'{a}}n and Riccardo Bellazzi and Luca Chiovato and Kelly Cho and Arianna Dagliati and Hossein Estiri and Noelia Garc{\'{\i}}a{-}Barrio and Romain Griffier and David A. Hanauer and Yuk{-}Lam Ho and John H. Holmes and Mark S. Keller and Jeffrey G. Klann and Sehi L'Yi and Sara Lozano{-}Zahonero and Sarah E. Maidlow and Adeline Makoudjou and Alberto Malovini and Bertrand Moal and Jason H. Moore and Michele Morris and Danielle L. Mowery and Shawn N. Murphy and Antoine Neuraz and Kee Yuan Ngiam and Gilbert S. Omenn and Lav P. Patel and Miguel Pedrera{-}Jim{\'{e}}nez and Andrea Prunotto and Malarkodi J. Samayamuthu and Fernando J. Sanz Vidorreta and Emily Schriver and Petra Schubert and Pablo Serrano{-}Balazote and Andrew M. South and Amelia L. M. Tan and Byorn W. L. Tan and Valentina Tibollo and Patric Tippmann and Shyam Visweswaran and Zongqi Xia and William Yuan and Daniela Z{\"{o}}ller and Isaac S. Kohane and Paul Avillach and Zijian Guo and Tianxi Cai}, title = {SurvMaximin: Robust federated approach to transporting survival risk prediction models}, journal = {J. Biomed. Informatics}, volume = {134}, pages = {104176}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104176}, doi = {10.1016/J.JBI.2022.104176}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/WangZXHWBBLDPHG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/YuceturkGSJMUMY22, author = {Hakan Y{\"{u}}cet{\"{u}}rk and Halime G{\"{u}}lle and Ceren Tuncer Sakar and Christopher Joyner and William Marsh and Edibe {\"{U}}nal and Dylan Morrissey and Barbaros Yet}, title = {Reducing the question burden of patient reported outcome measures using Bayesian networks}, journal = {J. Biomed. Informatics}, volume = {135}, pages = {104230}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104230}, doi = {10.1016/J.JBI.2022.104230}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/YuceturkGSJMUMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/DamoreHDHACFGLL22, author = {Lorenzo D'amore and David F. Hahn and David L. Dotson and Joshua T. Horton and Jamshed Anwar and Ian Craig and Thomas Fox and Alberto Gobbi and Sirish Kaushik Lakkaraju and Xavier Lucas and Katharina Meier and David L. Mobley and Arjun Narayanan and Christina E. M. Schindler and William C. Swope and Pieter J. in 't Veld and Jeffrey Wagner and Bai Xue and Gary Tresadern}, title = {Collaborative Assessment of Molecular Geometries and Energies from the Open Force Field}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {23}, pages = {6094--6104}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.2c01185}, doi = {10.1021/ACS.JCIM.2C01185}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/DamoreHDHACFGLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/JohnsonDDCFGLYB22, author = {Matthew S. Johnson and Xiaorui Dong and Alon Grinberg Dana and Yunsie Chung and David Farina and Ryan J. Gillis and Mengjie Liu and Nathan W. Yee and Katrin Blondal and Emily J. Mazeau and Colin A. Grambow and A. Mark Payne and Kevin A. Spiekermann and Hao{-}Wei Pang and C. Franklin Goldsmith and Richard H. West and William H. Green Jr.}, title = {{RMG} Database for Chemical Property Prediction}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {20}, pages = {4906--4915}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.2c00965}, doi = {10.1021/ACS.JCIM.2C00965}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/JohnsonDDCFGLYB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/Williams-Noonan22, author = {Billy J. Williams{-}Noonan and Melissa N. Speer and Tu C. Le and Maiada M. Sadek and Philip E. Thompson and Raymond S. Norton and Elizabeth Yuriev and Nicholas Barlow and David K. Chalmers and Irene Yarovsky}, title = {Membrane Permeating Macrocycles: Design Guidelines from Machine Learning}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {19}, pages = {4605--4619}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.2c00809}, doi = {10.1021/ACS.JCIM.2C00809}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/Williams-Noonan22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/BaoBKRMLDHLZSRL22, author = {Shunxing Bao and Brian D. Boyd and Praitayini Kanakaraj and Karthik Ramadass and Francisco A. C. Meyer and Yuqian Liu and William E. Duett and Yuankai Huo and Ilwoo Lyu and David H. Zald and Seth A. Smith and Baxter P. Rogers and Bennett A. Landman}, title = {Integrating the {BIDS} Neuroimaging Data Format and Workflow Optimization for Large-Scale Medical Image Analysis}, journal = {J. Digit. Imaging}, volume = {35}, number = {6}, pages = {1576--1589}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00679-8}, doi = {10.1007/S10278-022-00679-8}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/BaoBKRMLDHLZSRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/HoSCFNS22, author = {Jonathan Ho and Chitwan Saharia and William Chan and David J. Fleet and Mohammad Norouzi and Tim Salimans}, title = {Cascaded Diffusion Models for High Fidelity Image Generation}, journal = {J. Mach. Learn. Res.}, volume = {23}, pages = {47:1--47:33}, year = {2022}, url = {https://jmlr.org/papers/v23/21-0635.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HoSCFNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/MushtaqMCPBSMTI22, author = {Faisal Mushtaq and Samuel D. McDougle and Matt Craddock and Darius E. Parvin and Jack Brookes and Alexandre Schaefer and Mark Mon{-}Williams and Jordan A. Taylor and Richard B. Ivry}, title = {Distinct Neural Signatures of Outcome Monitoring After Selection and Execution Errors}, journal = {J. Cogn. Neurosci.}, volume = {34}, number = {5}, pages = {748--765}, year = {2022}, url = {https://doi.org/10.1162/jocn\_a\_01824}, doi = {10.1162/JOCN\_A\_01824}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/MushtaqMCPBSMTI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/SkuricBUWG22, author = {Antun Skuric and Hasan Sinan Bank and Richard Unger and Owen Williams and David Gonz{\'{a}}lez{-}Reyes}, title = {SimpleFOC: {A} Field Oriented Control {(FOC)} Library for Controlling Brushless Direct Current {(BLDC)} and Stepper Motors}, journal = {J. Open Source Softw.}, volume = {7}, number = {74}, pages = {4232}, year = {2022}, url = {https://doi.org/10.21105/joss.04232}, doi = {10.21105/JOSS.04232}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/SkuricBUWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/HamkinsW22, author = {Joel David Hamkins and Kameryn J. Williams}, title = {The {\(\sigma\)}1-Definable Universal finite sequence}, journal = {J. Symb. Log.}, volume = {87}, number = {2}, pages = {783--801}, year = {2022}, url = {https://doi.org/10.1017/jsl.2020.59}, doi = {10.1017/JSL.2020.59}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/HamkinsW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lalc/Wright22, author = {David Thomas Henry Wright}, title = {Extending modernist stream-of-consciousness aesthetics: Digital variations on William Faulkner's \emph{The Sound and the Fury}}, journal = {Digit. Scholarsh. Humanit.}, volume = {37}, number = {1}, pages = {280--288}, year = {2022}, url = {https://doi.org/10.1093/llc/fqab026}, doi = {10.1093/LLC/FQAB026}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lalc/Wright22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/OuelletteBDTH22, author = {Jeffrey D. Ouellette and William T. Bounds and David J. Dowgiallo and Jakov V. Toporkov and Paul A. Hwang}, title = {On the Sensitivity of Passive Multistatic Radar Amplitude and Doppler Measurements to Significant Wave Height}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2020.3048270}, doi = {10.1109/LGRS.2020.3048270}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/OuelletteBDTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/SmithLCHDR22, author = {William A. P. Smith and Paulina Lewinska and M. A. Cooper and Edwin R. Hancock and Julian A. Dowdeswell and David M. Rippin}, title = {Structure-From-Motion With Varying Principal Point}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3179645}, doi = {10.1109/LGRS.2022.3179645}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/SmithLCHDR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AgostaAAAABBBBBBCCCCCCCDDEEFFFGGGGG22, author = {Giovanni Agosta and Marco Aldinucci and Carlos {\'{A}}lvarez and Roberto Ammendola and Yasir Arfat and Olivier Beaumont and Massimo Bernaschi and Andrea Biagioni and Tommaso Boccali and B{\'{e}}renger Bramas and Carlo Brandolese and Barbara Cantalupo and Mauro Carrozzo and Daniele Cattaneo and Alessandro Celestini and Massimo Celino and Iacopo Colonnelli and Paolo Cretaro and Pasqua D'Ambra and Marco Danelutto and Roberto Esposito and Lionel Eyraud{-}Dubois and Antonio Filgueras and William Fornaciari and Ottorino Frezza and Andrea Galimberti and Francesco Giacomini and Brice Goglin and Daniele Gregori and Abdou Guermouche and Francesco Iannone and Michal Kulczewski and Francesca Lo Cicero and Alessandro Lonardo and Alberto Riccardo Martinelli and Michele Martinelli and Xavier Martorell and Giuseppe Massari and Simone Montangero and Gianluca Mittone and Raymond Namyst and Ariel Oleksiak and Paolo Palazzari and Pier Stanislao Paolucci and Federico Reghenzani and Cristian Rossi and Sergio Saponara and Francesco Simula and Federico Terraneo and Samuel Thibault and Massimo Torquati and Matteo Turisini and Piero Vicini and Miquel Vidal and Davide Zoni and Giuseppe Zummo}, title = {Towards EXtreme scale technologies and accelerators for euROhpc hw/Sw supercomputing applications for exascale: The {TEXTAROSSA} approach}, journal = {Microprocess. Microsystems}, volume = {95}, pages = {104679}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2022.104679}, doi = {10.1016/J.MICPRO.2022.104679}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/AgostaAAAABBBBBBCCCCCCCDDEEFFFGGGGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/ThieleCCHS22, author = {Leander Thiele and Miles D. Cranmer and William R. Coulton and Shirley Ho and David N. Spergel}, title = {Predicting the thermal Sunyaev-Zel'dovich field using modular and equivariant set-based neural networks}, journal = {Mach. Learn. Sci. Technol.}, volume = {3}, number = {3}, pages = {35002}, year = {2022}, url = {https://doi.org/10.1088/2632-2153/ac78c2}, doi = {10.1088/2632-2153/AC78C2}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/ThieleCCHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/GutekunstW22, author = {Samuel C. Gutekunst and David P. Williamson}, title = {Semidefinite Programming Relaxations of the Traveling Salesman Problem and Their Integrality Gaps}, journal = {Math. Oper. Res.}, volume = {47}, number = {1}, pages = {1--28}, year = {2022}, url = {https://doi.org/10.1287/moor.2020.1100}, doi = {10.1287/MOOR.2020.1100}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/GutekunstW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/na/PetrovTWWW22, author = {Miroslav S. Petrov and Todor D. Todorov and Gage S. Walters and David M. Williams and Freddie D. Witherden}, title = {Enabling four-dimensional conformal hybrid meshing with cubic pyramids}, journal = {Numer. Algorithms}, volume = {91}, number = {2}, pages = {671--709}, year = {2022}, url = {https://doi.org/10.1007/s11075-022-01278-y}, doi = {10.1007/S11075-022-01278-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/na/PetrovTWWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CunninghamAAAAA22, author = {Fiona Cunningham and James E. Allen and Jamie Allen and Jorge {\'{A}}lvarez{-}Jarreta and M. Ridwan Amode and Irina M. Armean and Olanrewaju Austine{-}Orimoloye and Andrey G. Azov and If Barnes and Ruth Bennett and Andrew E. Berry and Jyothish Bhai and Alexandra Bignell and Konstantinos Billis and Sanjay Boddu and Lucy Brooks and Mehrnaz Charkhchi and Carla A. Cummins and Luca Da Rin Fioretto and Claire Davidson and Kamalkumar Jayantilal Dodiya and Sarah M. Donaldson and Bilal El Houdaigui and Tamara El Naboulsi and Reham Fatima and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Thiago A. L. Genez and Jose Gonzalez Martinez and Cristina Guijarro{-}Clarke and Arthur Gymer and Matthew Hardy and Zoe Hollis and Thibaut Hourlier and Toby Hunt and Thomas Juettemann and Vinay Kaikala and Mike P. Kay and Ilias Lavidas and Tuan Le and Diana Lemos and Jos{\'{e}} Carlos Marug{\'{a}}n and Shamika Mohanan and Aleena Mushtaq and Marc Naven and Denye N. Oheh and Anne Parker and Andrew Parton and Malcolm Perry and Ivana Pilizota and Irina Prosovetskaia and Manoj Pandian Sakthivel and Ahamed Imran Abdul Salam and Bianca M. Schmitt and Helen Schuilenburg and Dan Sheppard and Jos{\'{e}} G. P{\'{e}}rez{-}Silva and William Stark and Emily Steed and Ky{\"{o}}sti Sutinen and Ranjit Sukumaran and Dulika Sumathipala and Marie{-}Marthe Suner and Michal Szpak and Anja Thormann and Francesca Floriana Tricomi and David Urbina{-}G{\'{o}}mez and Andres Veidenberg and Thomas A. Walsh and Brandon Walts and Natalie L. Willhoft and Andrea Winterbottom and Elizabeth Wass and Marc Chakiachvili and Bethany Flint and Adam Frankish and Stefano Giorgetti and Leanne Haggerty and Sarah E. Hunt and Garth IIsley and Jane E. Loveland and Fergal J. Martin and Benjamin Moore and Jonathan M. Mudge and Matthieu Muffato and Emily Perry and Magali Ruffier and John G. Tate and David Thybert and Stephen J. Trevanion and Sarah Dyer and Peter W. Harrison and Kevin L. Howe and Andrew D. Yates and Daniel R. Zerbino and Paul Flicek}, title = {Ensembl 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {988--995}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1049}, doi = {10.1093/NAR/GKAB1049}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/CunninghamAAAAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LeeBBCCDFGHLMNN22, author = {Brian T. Lee and Galt P. Barber and Anna Benet{-}Pag{\`{e}}s and Jonathan Casper and Hiram Clawson and Mark Diekhans and Clayton M. Fischer and Jairo Navarro Gonzalez and Angie S. Hinrichs and Christopher M. Lee and Pranav Muthuraman and Luis R. Nassar and Beagan Nguy and Tiana Pereira and Gerardo Perez and Brian J. Raney and Kate R. Rosenbloom and Daniel Schmelter and Matthew L. Speir and Brittney D. Wick and Ann S. Zweig and David Haussler and Robert M. Kuhn and Maximilian Haeussler and W. James Kent}, title = {The {UCSC} Genome Browser database: 2022 update}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {1115--1122}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab959}, doi = {10.1093/NAR/GKAB959}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/LeeBBCCDFGHLMNN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/CoppolaSLANAFWP22, author = {Peter Coppola and Lennart R. B. Spindler and Andrea I. Luppi and Ram Adapa and Lorina Naci and Judith Allanson and Paola Finoia and Guy B. Williams and John D. Pickard and Adrian M. Owen and David K. Menon and Emmanuel A. Stamatakis}, title = {Network dynamics scale with levels of awareness}, journal = {NeuroImage}, volume = {254}, pages = {119128}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119128}, doi = {10.1016/J.NEUROIMAGE.2022.119128}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/CoppolaSLANAFWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhaoBPKFLMWLK22, author = {Yun Zhao and Mario Boley and Andria Pelentritou and Philippa J. Karoly and Dean R. Freestone and Yueyang Liu and Suresh D. Muthukumaraswamy and William Woods and David T. J. Liley and Levin Kuhlmann}, title = {Space-time resolved inference-based neurophysiological process imaging: Application to resting-state alpha rhythm}, journal = {NeuroImage}, volume = {263}, pages = {119592}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119592}, doi = {10.1016/J.NEUROIMAGE.2022.119592}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhaoBPKFLMWLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KheraMSWYRSTJKW22, author = {Rohan Khera and Bobak J. Mortazavi and Veer Sangha and Frederick Warner and H. Patrick Young and Joseph S. Ross and Nilay D. Shah and Elitza S. Theel and William G. Jenkinson and Camille Knepper and Karen H. Wang and David Peaper and Richard A. Martinello and Cynthia A. Brandt and Zhenqiu Lin and Albert I. Ko and Harlan M. Krumholz and Benjamin D. Pollock and Wade L. Schulz}, title = {A multicenter evaluation of computable phenotyping approaches for SARS-CoV-2 infection and {COVID-19} hospitalizations}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00570-4}, doi = {10.1038/S41746-022-00570-4}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KheraMSWYRSTJKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/CernaMRH22, author = {Katerina Cern{\'{a}} and Claudia M{\"{u}}ller and Dave Randall and Martin Hunker}, title = {Situated Scaffolding for Sustainable Participatory Design: Learning Online with Older Adults}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{GROUP}}, pages = {12:1--12:25}, year = {2022}, url = {https://doi.org/10.1145/3492831}, doi = {10.1145/3492831}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/CernaMRH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/GrinkoQRW22, author = {Margarita Grinko and Sarvin Qalandar and Dave Randall and Volker Wulf}, title = {Nationalizing the Internet to Break a Protest Movement: Internet Shutdown and Counter-Appropriation in Iran of Late 2019}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--21}, year = {2022}, url = {https://doi.org/10.1145/3555205}, doi = {10.1145/3555205}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/GrinkoQRW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KornfieldMRLMWR22, author = {Rachel Kornfield and David C. Mohr and Rachel Ranney and Emily G. Lattie and Jonah Meyerhoff and Joseph Jay Williams and Madhu C. Reddy}, title = {Involving Crowdworkers with Lived Experience in Content-Development for Push-Based Digital Mental Health Tools: Lessons Learned from Crowdsourcing Mental Health Messages}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW1}}, pages = {99:1--99:30}, year = {2022}, url = {https://doi.org/10.1145/3512946}, doi = {10.1145/3512946}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KornfieldMRLMWR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/MosconiRKAYTP22, author = {Gaia Mosconi and Dave Randall and Helena Karasti and Saja Aljuneidi and Tong Yu and Peter Tolmie and Volkmar Pipek}, title = {Designing a Data Story: {A} Storytelling Approach to Curation, Sharing and Data Reuse in Support of Ethnographically-driven Research}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--23}, year = {2022}, url = {https://doi.org/10.1145/3555180}, doi = {10.1145/3555180}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/MosconiRKAYTP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/SebergerOLLWP22, author = {John S. Seberger and Ike Obi and Mariem Loukil and William Liao and David J. Wild and Sameer Patil}, title = {Speculative Vulnerability: Uncovering the Temporalities of Vulnerability in People's Experiences of the Pandemic}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--27}, year = {2022}, url = {https://doi.org/10.1145/3555586}, doi = {10.1145/3555586}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/SebergerOLLWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/PengMBZHWRZXBRS22, author = {Zixin Peng and Alexandre Maciel{-}Guerra and Michelle Baker and Xibin Zhang and Yue Hu and Wei Wang and Jia Rong and Jing Zhang and Ning Xue and Paul Barrow and David Renney and Dov J. Stekel and Paul Williams and Longhai Liu and Junshi Chen and Fengqin Li and Tania Dottorini}, title = {Whole-genome sequencing and gene sharing network analysis powered by machine learning identifies antibiotic resistance sharing between animals, humans and environment in livestock farming}, journal = {PLoS Comput. Biol.}, volume = {18}, number = {3}, year = {2022}, url = {https://doi.org/10.1371/journal.pcbi.1010018}, doi = {10.1371/JOURNAL.PCBI.1010018}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/PengMBZHWRZXBRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/popets/PradeepJWRCBF22, author = {Amogh Pradeep and Hira Javaid and Ryan Williams and Antoine Rault and David R. Choffnes and Stevens Le Blond and Bryan Ford}, title = {Moby: {A} Blackout-Resistant Anonymity Network for Mobile Devices}, journal = {Proc. Priv. Enhancing Technol.}, volume = {2022}, number = {3}, pages = {247--267}, year = {2022}, url = {https://doi.org/10.56553/popets-2022-0071}, doi = {10.56553/POPETS-2022-0071}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/popets/PradeepJWRCBF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AeberliRPLJ22, author = {Aaron Aeberli and Andrew James Robson and Stuart R. Phinn and David W. Lamb and Kasper Johansen}, title = {A Comparison of Analytical Approaches for the Spectral Discrimination and Characterisation of Mite Infestations on Banana Plants}, journal = {Remote. Sens.}, volume = {14}, number = {21}, pages = {5467}, year = {2022}, url = {https://doi.org/10.3390/rs14215467}, doi = {10.3390/RS14215467}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/AeberliRPLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ElvidgeZKMHGAMB22, author = {Christopher D. Elvidge and Mikhail N. Zhizhin and David M. Keith and Steven D. Miller and Feng{-}Chi Hsu and Tilottama Ghosh and Sharolyn Anderson and Christian K. Monrad and Morgan D. Bazilian and Jay Taneja and Paul C. Sutton and John C. Barentine and William S. Kowalik and Christopher C. M. Kyba and Dee W. Pack and Dorit Hammerling}, title = {The {VIIRS} Day/Night Band: {A} Flicker Meter in Space?}, journal = {Remote. Sens.}, volume = {14}, number = {6}, pages = {1316}, year = {2022}, url = {https://doi.org/10.3390/rs14061316}, doi = {10.3390/RS14061316}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ElvidgeZKMHGAMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GravesAL22, author = {William Graves and Kiyarash Aminfar and David Lattanzi}, title = {Full-Scale Highway Bridge Deformation Tracking via Photogrammetry and Remote Sensing}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2767}, year = {2022}, url = {https://doi.org/10.3390/rs14122767}, doi = {10.3390/RS14122767}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GravesAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeiferMDTJBKM22, author = {Ira Leifer and Chris Melton and William J. Daniel and David M. Tratt and Patrick D. Johnson and Kerry N. Buckland and Jae Deok Kim and Charlotte Marston}, title = {Measuring Floating Thick Seep Oil from the Coal Oil Point Marine Hydrocarbon Seep Field by Quantitative Thermal Oil Slick Remote Sensing}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2813}, year = {2022}, url = {https://doi.org/10.3390/rs14122813}, doi = {10.3390/RS14122813}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeiferMDTJBKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiGKLSZHGBYS22, author = {Sanmei Li and Mitchell D. Goldberg and Satya Kalluri and Daniel T. Lindsey and Bill Sjoberg and Lihang Zhou and Sean Helfrich and David Green and David Borges and Tianshu Yang and Donglian Sun}, title = {High Resolution 3D Mapping of Hurricane Flooding from Moderate-Resolution Operational Satellites}, journal = {Remote. Sens.}, volume = {14}, number = {21}, pages = {5445}, year = {2022}, url = {https://doi.org/10.3390/rs14215445}, doi = {10.3390/RS14215445}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiGKLSZHGBYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NassarTHKMSNKGC22, author = {Ayman Nassar and Alfonso F. Torres{-}Rua and Lawrence Hipps and William P. Kustas and Mac McKee and David Stevens and Hector Nieto and Daniel Keller and Ian Gowing and Calvin Coopmans}, title = {Using Remote Sensing to Estimate Scales of Spatial Heterogeneity to Analyze Evapotranspiration Modeling in a Natural Ecosystem}, journal = {Remote. Sens.}, volume = {14}, number = {2}, pages = {372}, year = {2022}, url = {https://doi.org/10.3390/rs14020372}, doi = {10.3390/RS14020372}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NassarTHKMSNKGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/HughesZCBWA22, author = {William Hughes and Wei Zhang and Diego Cerrai and Amvrossios C. Bagtzoglou and David W. Wanik and Emmanouil N. Anagnostou}, title = {A Hybrid Physics-Based and Data-Driven Model for Power Distribution System Infrastructure Hardening and Outage Simulation}, journal = {Reliab. Eng. Syst. Saf.}, volume = {225}, pages = {108628}, year = {2022}, url = {https://doi.org/10.1016/j.ress.2022.108628}, doi = {10.1016/J.RESS.2022.108628}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/HughesZCBWA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/PaolilloCNSSZCL22, author = {Antonio Paolillo and Fabrizio Colella and Nicola Nosengo and Fabrizio Schiano and William J. Stewart and Davide Zambrano and Isabelle Chappuis and Rafael Lalive and Dario Floreano}, title = {How to compete with robots by assessing job automation risks and resilient alternatives}, journal = {Sci. Robotics}, volume = {7}, number = {65}, year = {2022}, url = {https://doi.org/10.1126/scirobotics.abg5561}, doi = {10.1126/SCIROBOTICS.ABG5561}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/PaolilloCNSSZCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/se/SzajnfarberGWBC22, author = {Zoe Szajnfarber and Joshua A. Groover and Zhenglin Wei and David A. Broniatowski and William Chernicoff and John Paul Helveston}, title = {Evolvability analysis framework: Adding transition path and stakeholder diversity to infrastructure planning}, journal = {Syst. Eng.}, volume = {25}, number = {1}, pages = {35--50}, year = {2022}, url = {https://doi.org/10.1002/sys.21600}, doi = {10.1002/SYS.21600}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/se/SzajnfarberGWBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MarcondesPB22, author = {David William Cordeiro Marc{\^{o}}ndes and Aleksander S. Paterno and Pedro Bertemes{-}Filho}, title = {Parasitic Effects on Electrical Bioimpedance Systems: Critical Review}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8705}, year = {2022}, url = {https://doi.org/10.3390/s22228705}, doi = {10.3390/S22228705}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MarcondesPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PardisGDSBPL22, author = {William Pardis and Kalina C. Grabb and Michael D. DeGrandpre and Reggie Spaulding and James Beck and Jonathan A. Pfeifer and David M. Long}, title = {Measuring Protons with Photons: {A} Hand-Held, Spectrophotometric pH Analyzer for Ocean Acidification Research, Community Science and Education}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7924}, year = {2022}, url = {https://doi.org/10.3390/s22207924}, doi = {10.3390/S22207924}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PardisGDSBPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WoodJCLDGSFSMCB22, author = {David S. Wood and Kurt Jensen and Allison Crane and Hyunwook Lee and Hayden Dennis and Joshua Gladwell and Anne Shurtz and David T. Fullwood and Matthew K. Seeley and Ulrike H. Mitchell and William F. Christensen and Anton E. Bowden}, title = {Accurate Prediction of Knee Angles during Open-Chain Rehabilitation Exercises Using a Wearable Array of Nanocomposite Stretch Sensors}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2499}, year = {2022}, url = {https://doi.org/10.3390/s22072499}, doi = {10.3390/S22072499}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WoodJCLDGSFSMCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZimermannMVLVML22, author = {Rastislav Zimermann and Ehsan Mohseni and Momchil Vasilev and Charalampos Loukas and Randika K. W. Vithanage and Charles N. MacLeod and David Lines and Yashar Javadi and Misael Pimentel Espirindio E. Silva and Stephen Fitzpatrick and Steven Halavage and Scott Mckegney and Stephen Gareth Pierce and Stewart Williams and Jialuo Ding}, title = {Collaborative Robotic Wire + Arc Additive Manufacture and Sensor-Enabled In-Process Ultrasonic Non-Destructive Evaluation}, journal = {Sensors}, volume = {22}, number = {11}, pages = {4203}, year = {2022}, url = {https://doi.org/10.3390/s22114203}, doi = {10.3390/S22114203}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZimermannMVLVML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigact/Gasarch22, author = {William Gasarch}, title = {Review of Tales of Impossibility: The 2000-Year Quest to Solve the Mathematical Problems of Antiquity Author: David Richeson}, journal = {{SIGACT} News}, volume = {53}, number = {1}, pages = {9--12}, year = {2022}, url = {https://doi.org/10.1145/3532737.3532740}, doi = {10.1145/3532737.3532740}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigact/Gasarch22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/WilliamsonWKSV22, author = {Brady J. Williamson and David Wang and Vivek Khandwala and Jennifer Scheler and Achala Vagal}, title = {Improving Deep Neural Network Interpretation for Neuroimaging Using Multivariate Modeling}, journal = {{SN} Comput. Sci.}, volume = {3}, number = {2}, pages = {141}, year = {2022}, url = {https://doi.org/10.1007/s42979-022-01032-0}, doi = {10.1007/S42979-022-01032-0}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/WilliamsonWKSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GowardBBHHRRSW22, author = {Samuel N. Goward and Marvin E. Bauer and Larry L. Biehl and Forrest G. Hall and Roger M. Hoffer and John A. Richards and Laura Rocchio and Vincent V. Salomonson and Darrel L. Williams}, title = {David A. Landgrebe: Evolution of Digital Remote Sensing and Landsat}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {15}, pages = {4835--4860}, year = {2022}, url = {https://doi.org/10.1109/JSTARS.2022.3176804}, doi = {10.1109/JSTARS.2022.3176804}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/GowardBBHHRRSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LiuBOFLYCBCSPBS22, author = {Pang{-}Wei Liu and Rajat Bindlish and Peggy O'Neill and Bin Fang and Venkat Lakshmi and Zhengwei Yang and Michael H. Cosh and Tara Bongiovanni and Chandra D. Holifield Collins and Patrick J. Starks and John H. Prueger and David D. Bosch and Mark S. Seyfried and Mark R. Williams}, title = {Thermal Hydraulic Disaggregation of {SMAP} Soil Moisture Over the Continental United States}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {15}, pages = {4072--4093}, year = {2022}, url = {https://doi.org/10.1109/JSTARS.2022.3165644}, doi = {10.1109/JSTARS.2022.3165644}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LiuBOFLYCBCSPBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TerraneoLFZA22, author = {Federico Terraneo and Alberto Leva and William Fornaciari and Marina Zapater and David Atienza}, title = {3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {4}, pages = {1062--1075}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3074613}, doi = {10.1109/TCAD.2021.3074613}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TerraneoLFZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZoniCF22, author = {Davide Zoni and Luca Cremona and William Fornaciari}, title = {Design of Side-Channel-Resistant Power Monitors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1249--1263}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3088781}, doi = {10.1109/TCAD.2021.3088781}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZoniCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/PoissonGCS22, author = {Valentin Poisson and William Guicquero and David Coriat and Gilles Sicard}, title = {A 2-Stage {EM} Algorithm for Online Peak Detection, an Application to {TCSPC} Data}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {9}, pages = {3625--3629}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3181687}, doi = {10.1109/TCSII.2022.3181687}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/PoissonGCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/EppsteinFM22, author = {David Eppstein and Daniel Frishberg and William Maxwell}, title = {On the treewidth of Hanoi graphs}, journal = {Theor. Comput. Sci.}, volume = {906}, pages = {1--17}, year = {2022}, url = {https://doi.org/10.1016/j.tcs.2021.12.014}, doi = {10.1016/J.TCS.2021.12.014}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/EppsteinFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/AsfawBBCCCCDDEE22, author = {Abraham Asfaw and Alexandre Blais and Kenneth R. Brown and Jonathan Candelaria and Christopher Cantwell and Lincoln D. Carr and Joshua Combes and Dripto M. Debroy and John M. Donohue and Sophia E. Economou and Emily Edwards and Michael F. J. Fox and Steven M. Girvin and Alan Ho and Hilary M. Hurst and Zubin Jacob and Blake R. Johnson and Ezekiel Johnston{-}Halperin and Robert Joynt and Eliot Kapit and Judith Klein{-}Seetharaman and Martin Laforest and H. J. Lewandowski and Theresa W. Lynn and Corey Rae H. McRae and Celia Merzbacher and Spyridon Michalakis and Prineha Narang and William D. Oliver and Jens Palsberg and David P. Pappas and Michael G. Raymer and David J. Reilly and Mark Saffman and Thomas A. Searles and Jeffrey H. Shapiro and Chandralekha Singh}, title = {Building a Quantum Engineering Undergraduate Program}, journal = {{IEEE} Trans. Educ.}, volume = {65}, number = {2}, pages = {220--242}, year = {2022}, url = {https://doi.org/10.1109/TE.2022.3144943}, doi = {10.1109/TE.2022.3144943}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/AsfawBBCCCCDDEE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LinEKW22, author = {Fenfang Lin and Richard David Evans and Rupak Kharel and Richard Alun Williams}, title = {Competitor Intelligence and Product Innovation: The Role of Open-Mindedness and Interfunctional Coordination}, journal = {{IEEE} Trans. Engineering Management}, volume = {69}, number = {2}, pages = {314--328}, year = {2022}, url = {https://doi.org/10.1109/TEM.2019.2943359}, doi = {10.1109/TEM.2019.2943359}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/LinEKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YangYBLFDAHMP22, author = {John Xun Yang and Yalei You and William J. Blackwell and Quanhua (Mark) Liu and Ralph Ferraro and David W. Draper and Nigel Atkinson and Tim J. Hewison and Sidharth Misra and Jinzheng Peng}, title = {An Adaptive Calibration Window for Noise Reduction of Satellite Microwave Radiometers}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3184670}, doi = {10.1109/TGRS.2022.3184670}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/YangYBLFDAHMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LiCNDL22, author = {Heyi Li and Dongdong Chen and William H. Nailon and Mike E. Davies and David I. Laurenson}, title = {Dual Convolutional Neural Networks for Breast Mass Segmentation and Diagnosis in Mammography}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {1}, pages = {3--13}, year = {2022}, url = {https://doi.org/10.1109/TMI.2021.3102622}, doi = {10.1109/TMI.2021.3102622}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LiCNDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/ZhouCC22, author = {Tianhui Zhou and William E. Carson IV and David E. Carlson}, title = {Estimating Potential Outcome Distributions with Collaborating Causal Networks}, journal = {Trans. Mach. Learn. Res.}, volume = {2022}, year = {2022}, url = {https://openreview.net/forum?id=q1Fey9feu7}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/ZhouCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/InsuaCN22, author = {David R{\'{\i}}os Insua and William N. Caballero and Roi Naveiro}, title = {Managing Driving Modes in Automated Driving Systems}, journal = {Transp. Sci.}, volume = {56}, number = {5}, pages = {1259--1278}, year = {2022}, url = {https://doi.org/10.1287/trsc.2021.1110}, doi = {10.1287/TRSC.2021.1110}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/InsuaCN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/GaverK0C22, author = {William W. Gaver and Peter Gall Krogh and Andy Boucher and David J. Chatting}, editor = {Florian 'Floyd' Mueller and Stefan Greuter and Rohit Ashok Khot and Penny Sweetser and Marianna Obrist}, title = {Emergence as a Feature of Practice-based Design Research}, booktitle = {{DIS} '22: Designing Interactive Systems Conference, Virtual Event, Australia, June 13 - 17, 2022}, pages = {517--526}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3532106.3533524}, doi = {10.1145/3532106.3533524}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/GaverK0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/SeymourKBM22, author = {William Seymour and Max Van Kleek and Reuben Binns and Dave Murray{-}Rust}, editor = {Vincent Conitzer and John Tasioulas and Matthias Scheutz and Ryan Calo and Martina Mara and Annette Zimmermann}, title = {Respect as a Lens for the Design of {AI} Systems}, booktitle = {{AIES} '22: {AAAI/ACM} Conference on AI, Ethics, and Society, Oxford, United Kingdom, May 19 - 21, 2021}, pages = {641--652}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514094.3534186}, doi = {10.1145/3514094.3534186}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aies/SeymourKBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/0001KABBCDGGGKL22, author = {Qingyu Chen and Tiarnan D. Keenan and Alexis Allot and Sanjeeb Bhandari and Geoff Broadhead and Chantal Cousineau{-}Krieger and Ellen Davis and William G. Gensheimer and David Grasic and Seema Gupta and Eleni Konstantinou and Tania Lamba and Michele Maiberger and Arnold Oshinsky and Brittany Powell and Boonkit Purt and Soo Shin and Hillary Steifel and Alisa T. Thavikulwat and Keith Wroblewski and Sirisha Koirala and Tom Murickan and Michael F. Chiang and Michelle R. Hribar and Emily Y. Chew and Zhiyong Lu}, title = {Automated and Accessible Diagnosis of Age-related Macular Degeneration: a Comparative Analysis of the impact of machine learning models in clinical diagnostic Workflows}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f002-1.4642717/f002-1.4642718/7006-1.4642734/7003-1.4642731}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/0001KABBCDGGGKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/KimLHFL22, author = {Ellen Kim and Christoph U. Lehmann and William R. Hersh and Clifton D. Fuller and Bruce P. Levy}, title = {A debate on the extension of the Practice Pathway for {ABMS} clinical informatics board certification for physicians in the United States}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f003-1.4642697/f003-1.4642698/69-1.4642714/985-1.4642711}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/KimLHFL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/StubblefieldSKW22, author = {Onajia J. Stubblefield and David J. Schlueter and Jacob Keaton and Ariel Williams and Slavina Goleva and Tracey Ferrara and Chenjie Zeng and Huan Mo and Joshua Denny}, title = {Impact of {COVID-19} on mental health outcomes in the All of Us Research Program}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f007-1.4641746/f007-1.4641747/115-1.4641820/765-1.4641817}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/StubblefieldSKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/WilliamsSKFSWGD22, author = {Ariel Williams and David J. Schlueter and Jacob Keaton and Tracey Ferrara and Onajia J. Stubblefield and Kyle Webb and Slavina Goleva and Jian Dai and Chenjie Zeng and Huan Mo and Thomas Cassini and Joshua Denny}, title = {Comparing Effect Sizes in Covid Positive Phenomic Profiles}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f007-1.4641746/f007-1.4641747/969-1.4641781/424-1.4641778}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/WilliamsSKFSWGD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/animalci/WuHFWELBR22, author = {Yifan Wu and Timothy Holder and Marc Foster and Evan Williams and Masataka Enomoto and B. Duncan X. Lascelles and Alper Bozkurt and David L. Roberts}, title = {Spatial and Temporal Analytic Pipeline for Evaluation of Potential Guide Dogs Using Location and Behavior Data}, booktitle = {Proceedings of the Ninth International Conference on Animal-Computer Interaction, {ACI} 2022, Newcastle-upon-Tyne, United Kingdom, December 5-8, 2022}, pages = {19:1--19:10}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565995.3566033}, doi = {10.1145/3565995.3566033}, timestamp = {Sun, 31 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/animalci/WuHFWELBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cccg/SchenfischFMMW22, author = {Anna Schenfisch and Brittany Terese Fasy and Samuel Micka and David L. Millman and Lucia Williams}, editor = {Yeganeh Bahoo and Konstantinos Georgiou}, title = {Efficient Graph Reconstruction and Representation Using Augmented Persistence Diagrams}, booktitle = {Proceedings of the 34th Canadian Conference on Computational Geometry, {CCCG} 2022, Toronto Metropolitan University, Toronto, Ontario, Canada, August 25-27, 2022}, pages = {284--292}, year = {2022}, timestamp = {Wed, 23 Nov 2022 15:41:57 +0100}, biburl = {https://dblp.org/rec/conf/cccg/SchenfischFMMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/GalimbertiGMFZ22, author = {Andrea Galimberti and Davide Galli and Gabriele Montanaro and William Fornaciari and Davide Zoni}, editor = {Luca Sterpone and Andrea Bartolini and Anastasiia Butko}, title = {On the use of hardware accelerators in {QC-MDPC} code-based cryptography}, booktitle = {{CF} '22: 19th {ACM} International Conference on Computing Frontiers, Turin, Italy, May 17 - 22, 2022}, pages = {193--194}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3528416.3530243}, doi = {10.1145/3528416.3530243}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/GalimbertiGMFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/CarrosSP0WFW22, author = {Felix Carros and Isabel Schwaninger and Adrian Preussner and Dave W. Randall and Rainer Wieching and Geraldine Fitzpatrick and Volker Wulf}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Care Workers Making Use of Robots: Results of a Three-Month Study on Human-Robot Interaction within a Care Home}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {631:1--631:15}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517435}, doi = {10.1145/3491102.3517435}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/CarrosSP0WFW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Gaver0BCMOSV22, author = {William W. Gaver and Andy Boucher and Dean Brown and David J. Chatting and Naho Matsuda and Liliana Ovalle and Andy Sheen and Michail Vanis}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Yo-Yo Machines: Self-Build Devices that Support Social Connections During the Pandemic}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {458:1--458:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517547}, doi = {10.1145/3491102.3517547}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/Gaver0BCMOSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KornfieldMSBWRM22, author = {Rachel Kornfield and Jonah Meyerhoff and Hannah Studd and Ananya Bhattacharjee and Joseph Jay Williams and Madhu C. Reddy and David C. Mohr}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Meeting Users Where They Are: User-centered Design of an Automated Text Messaging Tool to Support the Mental Health of Young Adults}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {329:1--329:16}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502046}, doi = {10.1145/3491102.3502046}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KornfieldMSBWRM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsonOGWCS22, author = {Julie R. Williamson and Joseph O'Hagan and John Alexis Guerra G{\'{o}}mez and John H. Williamson and Pablo C{\'{e}}sar and David A. Shamma}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Digital Proxemics: Designing Social and Collaborative Interaction in Virtual Environments}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {423:1--423:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517594}, doi = {10.1145/3491102.3517594}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WilliamsonOGWCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/GeMLWPWO22, author = {Tao Ge and Maria Medrano and Rui Liao and Jeffrey F. Williamson and David G. Politte and Bruce R. Whiting and Joseph A. O'Sullivan}, editor = {Charles A. Bouman and Gregery T. Buzzard and Robert L. Stevenson}, title = {A metal artifact reduction scheme for accurate iterative dual-energy {CT} algorithms}, booktitle = {Computational Imaging XX, online, January 15-26, 2022}, pages = {1--5}, publisher = {Society for Imaging Science and Technology}, year = {2022}, url = {https://doi.org/10.2352/EI.2022.34.14.COIMG-129}, doi = {10.2352/EI.2022.34.14.COIMG-129}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimaging/GeMLWPWO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/QureshiBWLNAV22, author = {Ahmed Qureshi and Maximilian Balmus and Steven E. Williams and Gregory Y. H. Lip and David A. Nordsletten and Oleg V. Aslanidi and Adelaide de Vecchi}, title = {Modelling Virchow's Triad to Improve Stroke Risk Assessment in Atrial Fibrillation Patients}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.378}, doi = {10.22489/CINC.2022.378}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cinc/QureshiBWLNAV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/JanMCJYZRNTF22, author = {Muhammad Tanveer Jan and Sonia Moshfeghi and Joshua William Conniff and Jinwoo Jang and KwangSoo Yang and Jiannan Zhai and Monica Rosselli and David Newman and Ruth Tappen and Borko Furht}, title = {Methods and Tools for Monitoring Driver's Behavior}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {1269--1273}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00228}, doi = {10.1109/CSCI58124.2022.00228}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csci/JanMCJYZRNTF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VatsMWCZ22, author = {Kanav Vats and William J. McNally and Pascale Walters and David A. Clausi and John S. Zelek}, title = {Ice hockey player identification via transformers and weakly supervised learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {3450--3459}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00389}, doi = {10.1109/CVPRW56347.2022.00389}, timestamp = {Mon, 29 Aug 2022 11:44:03 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VatsMWCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/doceng/BrailsfordKR22, author = {David F. Brailsford and Brian W. Kernighan and William A. Ritchie}, editor = {Curtis Wigington and Matthew Hardy and Steven R. Bagley and Steven J. Simske}, title = {How did dennis ritchie produce his PhD thesis?: a typographical mystery}, booktitle = {Proceedings of the 22nd {ACM} Symposium on Document Engineering, DocEng 2022, San Jose, California, USA, September 20-23, 2022}, pages = {2:1--2:10}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3558100.3563839}, doi = {10.1145/3558100.3563839}, timestamp = {Mon, 21 Nov 2022 17:16:14 +0100}, biburl = {https://dblp.org/rec/conf/doceng/BrailsfordKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/GalimbertiGMFZ22, author = {Andrea Galimberti and Davide Galli and Gabriele Montanaro and William Fornaciari and Davide Zoni}, title = {{FPGA} implementation of {BIKE} for quantum-resistant {TLS}}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {539--547}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00078}, doi = {10.1109/DSD57027.2022.00078}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/GalimbertiGMFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/SunHRRFF22, author = {Deqing Sun and Charles Herrmann and Fitsum A. Reda and Michael Rubinstein and David J. Fleet and William T. Freeman}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Disentangling Architecture and Training for Optical Flow}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXII}}, series = {Lecture Notes in Computer Science}, volume = {13682}, pages = {165--182}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20047-2\_10}, doi = {10.1007/978-3-031-20047-2\_10}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/SunHRRFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edm/0002MS22, author = {Zhiqiang Cai and Cody Marquart and David W. Shaffer}, editor = {Antonija Mitrovic and Nigel Bosch and Alexandra I. Cristea and Chris Brown}, title = {Neural Recall Network: {A} Neural Network Solution to Low Recall Problem in Regex-based Qualitative Coding}, booktitle = {Proceedings of the 15th International Conference on Educational Data Mining, {EDM} 2022, Durham, UK, July 24-27, 2022}, publisher = {International Educational Data Mining Society}, year = {2022}, url = {https://educationaldatamining.org/2022.EDM-long-papers.20/index.html}, timestamp = {Mon, 26 Feb 2024 16:21:22 +0100}, biburl = {https://dblp.org/rec/conf/edm/0002MS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KothareRBNLESCH22, author = {Hardik Kothare and Oliver Roesler and William Burke and Michael Neumann and Jackson Liscombe and Andrew Exner and Sandy Snyder and Andrew Cornish and Doug Habberstad and David Pautler and David Suendermann{-}Oeft and Jessica Huber and Vikram Ramanarayanan}, title = {Speech, Facial and Fine Motor Features for Conversation-Based Remote Assessment and Monitoring of Parkinson's Disease}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {3464--3467}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871375}, doi = {10.1109/EMBC48229.2022.9871375}, timestamp = {Thu, 22 Sep 2022 19:31:35 +0200}, biburl = {https://dblp.org/rec/conf/embc/KothareRBNLESCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WilliamAFG22, author = {Lucie William and Christine Azevedo{-}Coste and Charles Fattal and David Guiraud}, title = {Influence of the H-reflex on the selectivity of recruitment using multi-contact epineural stimulation of the median nerve in a participant with complete tetraplegia}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {5089--5093}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871880}, doi = {10.1109/EMBC48229.2022.9871880}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/WilliamAFG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/GamarnikK0X22, author = {David Gamarnik and Eren C. Kizildag and Will Perkins and Changji Xu}, title = {Algorithms and Barriers in the Symmetric Binary Perceptron Model}, booktitle = {63rd {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2022, Denver, CO, USA, October 31 - November 3, 2022}, pages = {576--587}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FOCS54457.2022.00061}, doi = {10.1109/FOCS54457.2022.00061}, timestamp = {Sat, 31 Dec 2022 17:14:50 +0100}, biburl = {https://dblp.org/rec/conf/focs/GamarnikK0X22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/NeedellSW22, author = {Deanna Needell and William Swartworth and David P. Woodruff}, title = {Testing Positive Semidefiniteness Using Linear Measurements}, booktitle = {63rd {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2022, Denver, CO, USA, October 31 - November 3, 2022}, pages = {87--97}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FOCS54457.2022.00016}, doi = {10.1109/FOCS54457.2022.00016}, timestamp = {Sat, 31 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/focs/NeedellSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LangdonAC22, author = {William B. Langdon and Afnan A. Al{-}Subaihin and David Clark}, editor = {Jonathan E. Fieldsend and Markus Wagner}, title = {Measuring failed disruption propagation in genetic programming}, booktitle = {{GECCO} '22: Genetic and Evolutionary Computation Conference, Boston, Massachusetts, USA, July 9 - 13, 2022}, pages = {964--972}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3512290.3528738}, doi = {10.1145/3512290.3528738}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/LangdonAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/TaumanHGYJM22, author = {David Tauman and Philip Ho and Naakesh Gomanie and William Yaeger and Muzammil Jawed and Khanjan Mehta}, title = {Micronutrient-Fortified Drinks: Commercial Products and Compelling opportunities}, booktitle = {{IEEE} Global Humanitarian Technology Conference, {GHTC} 2022, Santa Clara, CA, USA, September 8-11, 2022}, pages = {168--175}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GHTC55712.2022.9910608}, doi = {10.1109/GHTC55712.2022.9910608}, timestamp = {Fri, 21 Oct 2022 16:47:29 +0200}, biburl = {https://dblp.org/rec/conf/ghtc/TaumanHGYJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/YaegerJTHAGM22, author = {William Yaeger and Muzammil Jawed and David Tauman and Philip Ho and Asgar Ali and Naakesh Gomanie and Khanjan Mehta}, title = {Modular Methods for Oyster Mushroom Cultivation in Low-Resource Settings}, booktitle = {{IEEE} Global Humanitarian Technology Conference, {GHTC} 2022, Santa Clara, CA, USA, September 8-11, 2022}, pages = {30--37}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GHTC55712.2022.9911008}, doi = {10.1109/GHTC55712.2022.9911008}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ghtc/YaegerJTHAGM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LiASPABBRBHHHJK22, author = {Baolin Li and Rohin Arora and Siddharth Samsi and Tirthak Patel and William Arcand and David Bestor and Chansup Byun and Rohan Basu Roy and Bill Bergeron and John T. Holodnak and Michael Houle and Matthew Hubbell and Michael Jones and Jeremy Kepner and Anna Klein and Peter Michaleas and Joseph McDonald and Lauren Milechin and Julie Mullen and Andrew Prout and Benjamin Price and Albert Reuther and Antonio Rosa and Matthew L. Weiss and Charles Yee and Daniel Edelman and Allan Vanterpool and Anson Cheng and Vijay Gadepally and Devesh Tiwari}, title = {AI-Enabling Workloads on Large-Scale GPU-Accelerated System: Characterization, Opportunities, and Implications}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1224--1237}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00093}, doi = {10.1109/HPCA53966.2022.00093}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/LiASPABBRBHHHJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/ByunABBGHHJJKKM22, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Kurt Keville and Anna Klein and Peter Michaleas and Lauren Milechin and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {pPython for Parallel Python Programming}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2022, Waltham, MA, USA, September 19-23, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPEC55821.2022.9926365}, doi = {10.1109/HPEC55821.2022.9926365}, timestamp = {Fri, 11 Nov 2022 16:53:15 +0100}, biburl = {https://dblp.org/rec/conf/hpec/ByunABBGHHJJKKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/JananthanMJABBB22, author = {Hayden Jananthan and Lauren Milechin and Michael Jones and William Arcand and William Bergeron and David Bestor and Chansup Byun and Michael Houle and Matthew Hubbell and Vijay Gadepally and Anna Klein and Peter Michaleas and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {Python Implementation of the Dynamic Distributed Dimensional Data Model}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2022, Waltham, MA, USA, September 19-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPEC55821.2022.9926316}, doi = {10.1109/HPEC55821.2022.9926316}, timestamp = {Sun, 22 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpec/JananthanMJABBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/JonesKABBcDABBB22, author = {Michael Jones and Jeremy Kepner and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and kc claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Chad R. Meiners and Lauren Milechin and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Jon Sreekanth and Doug Stetson and Charles Yee and Peter Michaleas}, title = {GraphBLAS on the Edge: Anonymized High Performance Streaming of Network Traffic}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2022, Waltham, MA, USA, September 19-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPEC55821.2022.9926332}, doi = {10.1109/HPEC55821.2022.9926332}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/JonesKABBcDABBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/TriggMPJJMDWABB22, author = {Tyler Trigg and Chad R. Meiners and Sandeep Pisharody and Hayden Jananthan and Michael Jones and Adam Michaleas and Timothy Davis and Erik Welch and William Arcand and David Bestor and William Bergeron and Chansup Byun and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Charles Yee and Jeremy Kepner}, title = {Hypersparse Network Flow Analysis of Packets with GraphBLAS}, booktitle = {{IEEE} High Performance Extreme Computing Conference, {HPEC} 2022, Waltham, MA, USA, September 19-23, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPEC55821.2022.9926320}, doi = {10.1109/HPEC55821.2022.9926320}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpec/TriggMPJJMDWABB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/SchoenWHSSM22, author = {Andrew J. Schoen and Nathan Thomas White and Curt Henrichs and Amanda Siebert{-}Evenstone and David W. Shaffer and Bilge Mutlu}, editor = {Daisuke Sakamoto and Astrid Weiss and Laura M. Hiatt and Masahiro Shiomi}, title = {CoFrame: {A} System for Training Novice Cobot Programmers}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2022, Sapporo, Hokkaido, Japan, March 7 - 10, 2022}, pages = {185--194}, publisher = {{IEEE} / {ACM}}, year = {2022}, url = {https://doi.org/10.1109/HRI53351.2022.9889345}, doi = {10.1109/HRI53351.2022.9889345}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/SchoenWHSSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/BernholdtDGMW22, author = {David E. Bernholdt and Mathieu Doucet and William F. Godoy and Addi Malviya{-}Thakur and Gregory R. Watson}, editor = {Derek Groen and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {A Survey on Sustainable Software Ecosystems to Support Experimental and Observational Science at Oak Ridge National Laboratory}, booktitle = {Computational Science - {ICCS} 2022 - 22nd International Conference, London, UK, June 21-23, 2022, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13353}, pages = {560--574}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08760-8\_46}, doi = {10.1007/978-3-031-08760-8\_46}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/BernholdtDGMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SimonPTA22, author = {William Andrew Simon and Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {83:1--83:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549475}, doi = {10.1145/3508352.3549475}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SimonPTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccl2/Ramirez-Villamil22, author = {Angie Ram{\'{\i}}rez{-}Villamil and Jairo R. Montoya{-}Torres and Anicia Jaegler and Juan M. Cuevas{-}Torres and David Leonardo Cort{\'{e}}s{-}Murcia and William J. Guerrero}, editor = {J{\'{e}}sica de Armas and Helena Ramalhinho and Stefan Vo{\ss}}, title = {Integrating Clustering Methodologies and Routing Optimization Algorithms for Last-Mile Parcel Delivery}, booktitle = {Computational Logistics - 13th International Conference, {ICCL} 2022, Barcelona, Spain, September 21-23, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13557}, pages = {275--287}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16579-5\_19}, doi = {10.1007/978-3-031-16579-5\_19}, timestamp = {Wed, 14 Feb 2024 13:05:55 +0100}, biburl = {https://dblp.org/rec/conf/iccl2/Ramirez-Villamil22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SongSF22, author = {Wenyuan Song and William Singhose and David H. Frakes}, title = {Soli Radar Image-Based Target Localization}, booktitle = {2022 {IEEE} International Conference on Image Processing, {ICIP} 2022, Bordeaux, France, 16-19 October 2022}, pages = {746--750}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIP46576.2022.9897226}, doi = {10.1109/ICIP46576.2022.9897226}, timestamp = {Fri, 03 Feb 2023 18:11:40 +0100}, biburl = {https://dblp.org/rec/conf/icip/SongSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmi/RoeslerKBNLCHPS22, author = {Oliver Roesler and Hardik Kothare and William Burke and Michael Neumann and Jackson Liscombe and Andrew Cornish and Doug Habberstad and David Pautler and David Suendermann{-}Oeft and Vikram Ramanarayanan}, editor = {Raj Tumuluri and Nicu Sebe and Gopal Pingali and Dinesh Babu Jayagopi and Abhinav Dhall and Richa Singh and Lisa Anthony and Albert Ali Salah}, title = {Exploring Facial Metric Normalization For Within- and Between-Subject Comparisons in a Multimodal Health Monitoring Agent}, booktitle = {International Conference on Multimodal Interaction, {ICMI} 2022, Companion Volume, Bengaluru, India, November 7-11, 2022}, pages = {160--165}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3536220.3558071}, doi = {10.1145/3536220.3558071}, timestamp = {Mon, 07 Nov 2022 11:09:55 +0100}, biburl = {https://dblp.org/rec/conf/icmi/RoeslerKBNLCHPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/0002EMS22, author = {Zhiqiang Cai and Brendan R. Eagan and Cody Marquart and David Williamson Shaffer}, editor = {Crina Damsa and Amanda Barany}, title = {{LSTM} Neural Network Assisted Regex Development for Qualitative Coding}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {17--29}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_2}, doi = {10.1007/978-3-031-31726-2\_2}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/0002EMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/ChoiR0ES22, author = {Jaeyoon Choi and Andrew R. Ruis and Zhiqiang Cai and Brendan R. Eagan and David Williamson Shaffer}, editor = {Crina Damsa and Amanda Barany}, title = {Does Active Learning Reduce Human Coding?: {A} Systematic Comparison of Neural Network with nCoder}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {30--42}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_3}, doi = {10.1007/978-3-031-31726-2\_3}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/ChoiR0ES22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/KnowlesB0S22, author = {Mariah A. Knowles and Amanda Barany and Zhiqiang Cai and David Williamson Shaffer}, editor = {Crina Damsa and Amanda Barany}, title = {Multiclass Rotations in Epistemic Network Analysis}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {58--70}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_5}, doi = {10.1007/978-3-031-31726-2\_5}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/KnowlesB0S22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/ShafferR22, author = {David Williamson Shaffer and Andrew R. Ruis}, editor = {Crina Damsa and Amanda Barany}, title = {Is {QE} Just ENA?}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {71--86}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_6}, doi = {10.1007/978-3-031-31726-2\_6}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/ShafferR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/TanRM0KS22, author = {Yuanru Tan and Andrew R. Ruis and Cody Marquart and Zhiqiang Cai and Mariah A. Knowles and David Williamson Shaffer}, editor = {Crina Damsa and Amanda Barany}, title = {Ordered Network Analysis}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {101--116}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_8}, doi = {10.1007/978-3-031-31726-2\_8}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/TanRM0KS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/WangRS22, author = {Yeyu Wang and Andrew R. Ruis and David Williamson Shaffer}, editor = {Crina Damsa and Amanda Barany}, title = {Modeling Collaborative Discourse with {ENA} Using a Probabilistic Function}, booktitle = {Advances in Quantitative Ethnography - 4th International Conference, {ICQE} 2022, Copenhagen, Denmark, October 15-19, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1785}, pages = {132--145}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31726-2\_10}, doi = {10.1007/978-3-031-31726-2\_10}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icqe/WangRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/HolmesYKBLMSWY22, author = {Andrew S. Holmes and Samuel K. E. Yang and Michail E. Kiziroglou and David E. Boyle and David M. Lincoln and Jim D. J. McCabe and Paul Szasz and Daryl R. Williams and Eric M. Yeatman}, title = {Miniaturized Wet-Wet Differential Pressure Sensor}, booktitle = {2022 {IEEE} Sensors, Dallas, TX, USA, October 30 - Nov. 2, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SENSORS52175.2022.9967161}, doi = {10.1109/SENSORS52175.2022.9967161}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/HolmesYKBLMSWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/PetersACEHGLLPM22, author = {Nicholas A. Peters and Muneer Alshowkan and Joseph C. Chapman and Philip G. Evans and David A. Hooper and Warren P. Grice and Hsuan{-}Hao Lu and Joseph M. Lukens and Raphael C. Pooser and Claire E. Marvinney and Alexander Miloshevsky and Brian P. Williams and Brandon A. Wilson}, title = {Quantum Networking and Communications at Oak Ridge National Laboratory}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9797980}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9797980}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/PetersACEHGLLPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KothareNLRBESCH22, author = {Hardik Kothare and Michael Neumann and Jackson Liscombe and Oliver Roesler and William Burke and Andrew Exner and Sandy Snyder and Andrew Cornish and Doug Habberstad and David Pautler and David Suendermann{-}Oeft and Jessica Huber and Vikram Ramanarayanan}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Statistical and clinical utility of multimodal dialogue-based speech and facial metrics for Parkinson's disease assessment}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {3658--3662}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-11048}, doi = {10.21437/INTERSPEECH.2022-11048}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KothareNLRBESCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/GutekunstJW22, author = {Samuel C. Gutekunst and Billy Jin and David P. Williamson}, editor = {Karen I. Aardal and Laura Sanit{\`{a}}}, title = {The Two-Stripe Symmetric Circulant {TSP} is in {P}}, booktitle = {Integer Programming and Combinatorial Optimization - 23rd International Conference, {IPCO} 2022, Eindhoven, The Netherlands, June 27-29, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13265}, pages = {319--332}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06901-7\_24}, doi = {10.1007/978-3-031-06901-7\_24}, timestamp = {Tue, 25 Jul 2023 13:14:28 +0200}, biburl = {https://dblp.org/rec/conf/ipco/GutekunstJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/MirkaSW22, author = {Renee Mirka and Devin Smedira and David P. Williamson}, editor = {Karen I. Aardal and Laura Sanit{\`{a}}}, title = {Graph Coloring and Semidefinite Rank}, booktitle = {Integer Programming and Combinatorial Optimization - 23rd International Conference, {IPCO} 2022, Eindhoven, The Netherlands, June 27-29, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13265}, pages = {387--401}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06901-7\_29}, doi = {10.1007/978-3-031-06901-7\_29}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipco/MirkaSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KepnerJABBcDABB22, author = {Jeremy Kepner and Michael Jones and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and kc claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Daniel Grant and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Chad R. Meiners and Lauren Milechin and Andrew Morris and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Charles Yee and Peter Michaleas}, title = {Temporal Correlation of Internet Observatories and Outposts}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} Workshops 2022, Lyon, France, May 30 - June 3, 2022}, pages = {247--254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IPDPSW55747.2022.00054}, doi = {10.1109/IPDPSW55747.2022.00054}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/KepnerJABBcDABB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/TangCWFMBYABBEH22, author = {Benny J. Tang and Qiqi Chen and Matthew L. Weiss and Nathan C. Frey and Joseph McDonald and David Bestor and Charles Yee and William Arcand and William Bergeron and Chansup Byun and Daniel Edelman and Michael Houle and Matthew Hubbell and Michael Jones and Jeremy Kepner and Anna Klein and Adam Michaleas and Peter Michaleas and Lauren Milechin and Julia S. Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Andrew Bowne and Lindsey McEvoy and Baolin Li and Devesh Tiwari and Vijay Gadepally and Siddharth Samsi}, title = {The {MIT} Supercloud Workload Classification Challenge}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} Workshops 2022, Lyon, France, May 30 - June 3, 2022}, pages = {708--714}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IPDPSW55747.2022.00122}, doi = {10.1109/IPDPSW55747.2022.00122}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/TangCWFMBYABBEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GevaBS0CSPLBHZM22, author = {Ofer Geva and Christopher J. Berry and Robert J. Sonnelitter and David Wolpert and Adam Collura and Thomas Strach and Di Phan and C{\'{e}}dric Lichtenau and Alper Buyuktosunoglu and Hubert Harrer and Jeffrey A. Zitz and Chad Marquart and Douglas Malone and Tobias Webel and Adam Jatkowski and John Isakson and Dina Hamid and Mark Cichanowski and Michael Romain and Faisal Hasan and Kevin Williams and Jesse Surprise and Chris Cavitt and Mark Cohen}, title = {{IBM} Telum: a 16-Core 5+ GHz {DCM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {46--48}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731541}, doi = {10.1109/ISSCC42614.2022.9731541}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/GevaBS0CSPLBHZM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NassifMMPLYMHVK22, author = {Nevine Nassif and Ashley O. Munch and Carleton L. Molnar and Gerald Pasdast and Sitaraman V. Lyer and Zibing Yang and Oscar Mendoza and Mark Huddart and Srikrishnan Venkataraman and Sireesha Kandula and Rafi Marom and Alexandra M. Kern and William J. Bowhill and David R. Mulvihill and Srikanth Nimmagadda and Varma Kalidindi and Jonathan Krause and Mohammad M. Haq and Roopali Sharma and Kevin Duda}, title = {Sapphire Rapids: The Next-Generation Intel Xeon Scalable Processor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {44--46}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731107}, doi = {10.1109/ISSCC42614.2022.9731107}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NassifMMPLYMHVK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/BatsurenGKHKBLN22, author = {Khuyagbaatar Batsuren and Omer Goldman and Salam Khalifa and Nizar Habash and Witold Kieras and G{\'{a}}bor Bella and Brian Leonard and Garrett Nicolai and Kyle Gorman and Yustinus Ghanggo Ate and Maria Ryskina and Sabrina J. Mielke and Elena Budianskaya and Charbel El{-}Khaissi and Tiago Pimentel and Michael Gasser and William Abbott Lane and Mohit Raj and Matt Coler and Jaime Rafael Montoya Samame and Delio Siticonatzi Camaiteri and Esa{\'{u}} Zumaeta Rojas and Didier L{\'{o}}pez Francis and Arturo Oncevay and Juan L{\'{o}}pez Bautista and Gema Celeste Silva Villegas and Lucas Torroba Hennigen and Adam Ek and David Guriel and Peter Dirix and Jean{-}Philippe Bernardy and Andrey Scherbakov and Aziyana Bayyr{-}ool and Antonios Anastasopoulos and Roberto Zariquiey and Karina Sheifer and Sofya Ganieva and Hilaria Cruz and Ritv{\'{a}}n Karah{\'{o}}ga and Stella Markantonatou and George Pavlidis and Matvey Plugaryov and Elena Klyachko and Ali Salehi and Candy Angulo and Jatayu Baxi and Andrew Krizhanovsky and Natalia Krizhanovskaya and Elizabeth Salesky and Clara Vania and Sardana Ivanova and Jennifer C. White and Rowan Hall Maudslay and Josef Valvoda and Ran Zmigrod and Paula Czarnowska and Irene Nikkarinen and Aelita Salchak and Brijesh Bhatt and Christopher Straughn and Zoey Liu and Jonathan North Washington and Yuval Pinter and Duygu Ataman and Marcin Wolinski and Totok Suhardijanto and Anna Yablonskaya and Niklas Stoehr and Hossep Dolatian and Zahroh Nuriah and Shyam Ratan and Francis M. Tyers and Edoardo M. Ponti and Grant Aiton and Aryaman Arora and Richard J. Hatcher and Ritesh Kumar and Jeremiah Young and Daria Rodionova and Anastasia Yemelina and Taras Andrushko and Igor Marchenko and Polina Mashkovtseva and Alexandra Serova and Emily Prud'hommeaux and Maria Nepomniashchaya and Fausto Giunchiglia and Eleanor Chodroff and Mans Hulden and Miikka Silfverberg and Arya D. McCarthy and David Yarowsky and Ryan Cotterell and Reut Tsarfaty and Ekaterina Vylomova}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {UniMorph 4.0: Universal Morphology}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {840--855}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.89}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/BatsurenGKHKBLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GilaniSSSRLL22, author = {Syed Zulqarnain Gilani and Naeha Sharif and David Suter and John T. Schousboe and Siobhan Reid and William D. Leslie and Joshua R. Lewis}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Show, Attend and Detect: Towards Fine-Grained Assessment of Abdominal Aortic Calcification on Vertebral Fracture Assessment Scans}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13433}, pages = {439--450}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16437-8\_42}, doi = {10.1007/978-3-031-16437-8\_42}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/GilaniSSSRLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/midl/DahanFWYCGERR22, author = {Simon Dahan and Abdulah Fawaz and Logan Z. J. Williams and Chunhui Yang and Timothy S. Coalson and Matthew F. Glasser and A. David Edwards and Daniel Rueckert and Emma C. Robinson}, editor = {Ender Konukoglu and Bjoern H. Menze and Archana Venkataraman and Christian F. Baumgartner and Qi Dou and Shadi Albarqouni}, title = {Surface Vision Transformers: Attention-Based Modelling applied to Cortical Analysis}, booktitle = {International Conference on Medical Imaging with Deep Learning, {MIDL} 2022, 6-8 July 2022, Zurich, Switzerland}, series = {Proceedings of Machine Learning Research}, volume = {172}, pages = {282--303}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v172/dahan22a.html}, timestamp = {Fri, 17 Feb 2023 16:29:10 +0100}, biburl = {https://dblp.org/rec/conf/midl/DahanFWYCGERR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/WackVPLDNZIBPR22, author = {Maxime Wack and David Veyer and Camille Peneau and Sonia Lameiras and William Digan and Alain Nicolas and Jessica Zucman{-}Rossi and Sandrine Imbeaud and Anita Burgun and H{\'{e}}l{\`{e}}ne P{\'{e}}r{\'{e}} and Bastien Rance}, editor = {Brigitte S{\'{e}}roussi and Patrick Weber and Ferdinand Dhombres and Cyril Grouin and Jan{-}David Liebe and Sylvia Pelayo and Andrea Pinna and Bastien Rance and Lucia Sacchi and Adrien Ugon and Arriel Benis and Parisis Gallos}, title = {viroCapt: {A} Bioinformatics Pipeline for Identifying Viral Insertion in Human Host Genome}, booktitle = {Challenges of Trustable {AI} and Added-Value on Health - Proceedings of {MIE} 2022, Medical Informatics Europe, Nice, France, May 27-30, 2022}, series = {Studies in Health Technology and Informatics}, volume = {294}, pages = {834--838}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/SHTI220602}, doi = {10.3233/SHTI220602}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mie/WackVPLDNZIBPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miua/FawazWER22, author = {Abdulah Fawaz and Logan Z. J. Williams and A. David Edwards and Emma C. Robinson}, editor = {Guang Yang and Angelica I. Avil{\'{e}}s{-}Rivero and Michael Roberts and Carola{-}Bibiane Sch{\"{o}}nlieb}, title = {A Deep Generative Model of Neonatal Cortical Surface Development}, booktitle = {Medical Image Understanding and Analysis - 26th Annual Conference, {MIUA} 2022, Cambridge, UK, July 27-29, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13413}, pages = {469--481}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-12053-4\_35}, doi = {10.1007/978-3-031-12053-4\_35}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miua/FawazWER22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlhc/SendakSOPTNRDSB22, author = {Mark P. Sendak and Gaurav Sirdeshmukh and Timothy Ochoa and Hayley Premo and Linda Tang and Kira Niederhoffer and Sarah Reed and Kaivalya Deshpande and Emily Sterrett and Melissa Bauer and Laurie Snyder and Afreen Shariff and David Whellan and Jeffrey Riggio and David Gaieski and Kristin Corey and Megan Richards and Michael Gao and Marshall Nichols and Bradley Heintze and William Knechtle and William Ratliff and Suresh Balu}, editor = {Zachary C. Lipton and Rajesh Ranganath and Mark P. Sendak and Michael W. Sjoding and Serena Yeung}, title = {Development and Validation of {ML-DQA} - a Machine Learning Data Quality Assurance Framework for Healthcare}, booktitle = {Proceedings of the Machine Learning for Healthcare Conference, {MLHC} 2022, 5-6 August 2022, Durham, NC, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {182}, pages = {741--759}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v182/sendak22a.html}, timestamp = {Fri, 17 Feb 2023 10:24:51 +0100}, biburl = {https://dblp.org/rec/conf/mlhc/SendakSOPTNRDSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsys/ReddiKMDNCSTCCE22, author = {Vijay Janapa Reddi and David Kanter and Peter Mattson and Jared Duke and Thai Nguyen and Ramesh Chukka and Kenneth Shiring and Koan{-}Sin Tan and Mark Charlebois and William Chou and Mostafa El{-}Khamy and Jungwook Hong and Tom St. John and Cindy Trinh and Michael Buch and Mark Mazumder and Relja Markovic and Thomas Atta{-}fosu and Fatih {\c{C}}akir and Masoud Charkhabi and Xiaodong Chen and Cheng{-}Ming Chiang and Dave Dexter and Terry Heo and Guenther Schmuelling and Maryam Shabani and Dylan Zika}, editor = {Diana Marculescu and Yuejie Chi and Carole{-}Jean Wu}, title = {MLPerf Mobile Inference Benchmark: An Industry-Standard Open-Source Machine Learning Benchmark for On-Device {AI}}, booktitle = {Proceedings of the Fifth Conference on Machine Learning and Systems, MLSys 2022, Santa Clara, CA, USA, August 29 - September 1, 2022}, publisher = {mlsys.org}, year = {2022}, url = {https://proceedings.mlsys.org/paper\_files/paper/2022/hash/a2b2702ea7e682c5ea2c20e8f71efb0c-Abstract.html}, timestamp = {Fri, 28 Jun 2024 10:41:23 +0200}, biburl = {https://dblp.org/rec/conf/mlsys/ReddiKMDNCSTCCE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/PoissonGCS22, author = {Valentin Poisson and William Guicquero and David Coriat and Gilles Sicard}, title = {Histogram Compressive Sensing using Shuffled Cellular Automata: the {TCSPC} sensor use case}, booktitle = {20th {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2022, Quebec City, QC, Canada, June 19-22, 2022}, pages = {124--128}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NEWCAS52662.2022.9842077}, doi = {10.1109/NEWCAS52662.2022.9842077}, timestamp = {Thu, 11 Aug 2022 08:52:15 +0200}, biburl = {https://dblp.org/rec/conf/newcas/PoissonGCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HoSGC0F22, author = {Jonathan Ho and Tim Salimans and Alexey A. Gritsenko and William Chan and Mohammad Norouzi and David J. Fleet}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Video Diffusion Models}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/39235c56aef13fb05a6adc95eb9d8d66-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/HoSGC0F22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/RojasDKKRC22, author = {William Gaviria Rojas and Sudnya Frederick Diamos and Keertan Kini and David Kanter and Vijay Janapa Reddi and Cody Coleman}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {The Dollar Street Dataset: Images Representing the Geographic and Socioeconomic Diversity of the World}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/5474d9d43c0519aa176276ff2c1ca528-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/RojasDKKRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SahariaCSLWDGLA22, author = {Chitwan Saharia and William Chan and Saurabh Saxena and Lala Li and Jay Whang and Emily L. Denton and Seyed Kamyar Seyed Ghasemipour and Raphael Gontijo Lopes and Burcu Karagol Ayan and Tim Salimans and Jonathan Ho and David J. Fleet and Mohammad Norouzi}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Photorealistic Text-to-Image Diffusion Models with Deep Language Understanding}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/ec795aeadae0b7d230fa35cbaf04c041-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SahariaCSLWDGLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/HillRFEH22, author = {Lyn Hill and Charalampos Rotsos and William Fantom and Christopher Edwards and David Hutchison}, title = {Improving network resilience with Middlebox Minions}, booktitle = {2022 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2022, Budapest, Hungary, April 25-29, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NOMS54207.2022.9789819}, doi = {10.1109/NOMS54207.2022.9789819}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/HillRFEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/BucalonWCRVSK22, author = {Bernard Bucalon and Chris Williams and Jeanette Conley and David Rankin and Martin Veysey and Tim Shaw and Judy Kay}, editor = {Penny Sweetser and Jennyfer Lawrence Taylor and Charles Martin and Dana McMay and Melissa J. Rogerson and Bronwyn J. Cumbo and Greg Wadley and Luke Hespanhol and Jess Tsimeris and Mingze Xi and Jane Turner and Soojeong Yoo and Ned Cooper and Jessica S. Rahman and Josh Andres and Ajit G. Pillai and Cat Kutay}, title = {"You can't improve until you measure": {A} Need Finding Study on Repurposed Clinical Indicators for Professional Learning}, booktitle = {Proceedings of the 34th Australian Conference on Human-Computer Interaction, OzCHI 2022, Canberra, ACT, Australia, 29 November 2022 - 2 December 2022}, pages = {172--179}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3572921.3572952}, doi = {10.1145/3572921.3572952}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ozchi/BucalonWCRVSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pmbs-ws/GravelleNN22, author = {Brian J. Gravelle and William David Nystrom and Boyana Norris}, title = {Performance Analysis with Unified Hardware Counter Metrics}, booktitle = {{IEEE/ACM} International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems, PMBS@SC 2022, Dallas, TX, USA, November 13-18, 2022}, pages = {60--70}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PMBS56514.2022.00011}, doi = {10.1109/PMBS56514.2022.00011}, timestamp = {Fri, 10 Feb 2023 18:39:55 +0100}, biburl = {https://dblp.org/rec/conf/pmbs-ws/GravelleNN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qce/RauKKWDNTSLD22, author = {Curtis L. Rau and Akira Kyle and Alexander Kwiatkowski and William D. Warfield and Jacob H. Davidson and Maxime Nurwubusa and John D. Teufel and Ezad Shojaee and Konrad W. Lehnert and Tasshi Dennis}, title = {Entangling Superconducting Qubits over Optical Fiber - Towards Optimization and Implementation}, booktitle = {{IEEE} International Conference on Quantum Computing and Engineering, {QCE} 2022, Broomfield, CO, USA, September 18-23, 2022}, pages = {771--772}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/QCE53715.2022.00112}, doi = {10.1109/QCE53715.2022.00112}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qce/RauKKWDNTSLD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robosoft/ZappettiSBF22, author = {Davide Zappetti and William J. Stewart and M. Boutot and Dario Floreano}, title = {Unleashing Soft Modular Robots by means of a Bio-inspired Connection Strategy}, booktitle = {5th {IEEE} International Conference on Soft Robotics, RoboSoft 2022, Edinburgh, United Kingdom, April 4-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RoboSoft54090.2022.9762069}, doi = {10.1109/ROBOSOFT54090.2022.9762069}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robosoft/ZappettiSBF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/OrtizC0SNZM22, author = {Joseph Ortiz and Alexander Clegg and Jing Dong and Edgar Sucar and David Novotn{\'{y}} and Michael Zollh{\"{o}}fer and Mustafa Mukadam}, editor = {Kris Hauser and Dylan A. Shell and Shoudong Huang}, title = {iSDF: Real-Time Neural Signed Distance Fields for Robot Perception}, booktitle = {Robotics: Science and Systems XVIII, New York City, NY, USA, June 27 - July 1, 2022}, year = {2022}, url = {https://doi.org/10.15607/RSS.2022.XVIII.012}, doi = {10.15607/RSS.2022.XVIII.012}, timestamp = {Thu, 20 Jul 2023 14:50:03 +0200}, biburl = {https://dblp.org/rec/conf/rss/OrtizC0SNZM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/GalliGFZ22, author = {Davide Galli and Andrea Galimberti and William Fornaciari and Davide Zoni}, editor = {Alex Orailoglu and Marc Reichenbach and Matthias Jung}, title = {On the Effectiveness of True Random Number Generators Implemented on FPGAs}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation - 22nd International Conference, {SAMOS} 2022, Samos, Greece, July 3-7, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13511}, pages = {315--326}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15074-6\_20}, doi = {10.1007/978-3-031-15074-6\_20}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/GalliGFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sibgrapi/NascimentoLLSM22, author = {Valfride Nascimento and Rayson Laroca and Jorge de A. Lambert and William Robson Schwartz and David Menotti}, editor = {Bruno Motta de Carvalho and Luiz Marcos Garcia Gon{\c{c}}alves}, title = {Combining Attention Module and Pixel Shuffle for License Plate Super-Resolution}, booktitle = {35th {SIBGRAPI} Conference on Graphics, Patterns and Images, {SIBGRAPI} 2022, Natal, Brazil, October 24-27, 2022}, pages = {228--233}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SIBGRAPI55357.2022.9991753}, doi = {10.1109/SIBGRAPI55357.2022.9991753}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sibgrapi/NascimentoLLSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/SahariaCCLHSF022, author = {Chitwan Saharia and William Chan and Huiwen Chang and Chris A. Lee and Jonathan Ho and Tim Salimans and David J. Fleet and Mohammad Norouzi}, editor = {Munkhtsetseg Nandigjav and Niloy J. Mitra and Aaron Hertzmann}, title = {Palette: Image-to-Image Diffusion Models}, booktitle = {{SIGGRAPH} '22: Special Interest Group on Computer Graphics and Interactive Techniques Conference, Vancouver, BC, Canada, August 7 - 11, 2022}, pages = {15:1--15:10}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3528233.3530757}, doi = {10.1145/3528233.3530757}, timestamp = {Fri, 22 Jul 2022 14:56:50 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/SahariaCCLHSF022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siot/GuerraA22, author = {David William Marques Guerra and Taufik Abr{\~{a}}o}, title = {RIS-aided System Channel Estimation using {NN}}, booktitle = {Symposium on Internet of Things, SIoT 2022, S{\~{a}}o Paulo, Brazil, October 24-28, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SIoT56383.2022.10070280}, doi = {10.1109/SIOT56383.2022.10070280}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siot/GuerraA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sohoma/DerigentDAC22, author = {William Derigent and Micha{\"{e}}l David and Pascal Andr{\'{e}} and Olivier Cardin}, editor = {Theodor Borangiu and Damien Trentesaux and Paulo Leit{\~{a}}o}, title = {Generic Aggregation Model for Reconfigurable Holonic Control Architecture - The {GARCIA} Framework}, booktitle = {Service Oriented, Holonic and Multi-Agent Manufacturing Systems for Industry of the Future - Proceedings of {SOHOMA} 2022, Bucharest, Romania, 22-23 September 2022}, series = {Studies in Computational Intelligence}, volume = {1083}, pages = {407--422}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-24291-5\_32}, doi = {10.1007/978-3-031-24291-5\_32}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sohoma/DerigentDAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/WongOCLDKRJD22, author = {Jian Cheng Wong and Chin Chun Ooi and Joyjit Chattoraj and Lucas Lestandi and Guoying Dong and Umesh Kizhakkinan and David William Rosen and Mark Hyunpong Jhon and My Ha Dao}, editor = {Hisao Ishibuchi and Chee{-}Keong Kwoh and Ah{-}Hwee Tan and Dipti Srinivasan and Chunyan Miao and Anupam Trivedi and Keeley A. Crockett}, title = {Graph Neural Network Based Surrogate Model of Physics Simulations for Geometry Design}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2022, Singapore, December 4-7, 2022}, pages = {1469--1475}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SSCI51031.2022.10022022}, doi = {10.1109/SSCI51031.2022.10022022}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssci/WongOCLDKRJD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/GriffinADGPSSUK22, author = {Kevin S. Griffin and Jefferson Amstutz and David E. DeMarle and Johannes G{\"{u}}nther and Jakob Progsch and William R. Sherman and John E. Stone and Will Usher and Kees van Kooten}, editor = {Michael Krone and Simone Lenti and Johanna Schmidt}, title = {{ANARI:} ANAlytic Rendering Interface}, booktitle = {24th Eurographics Conference on Visualization, EuroVis 2022 - Posters, Rome, Italy, June 13-17, 2023}, pages = {83--85}, publisher = {Eurographics Association}, year = {2022}, url = {https://doi.org/10.2312/evp.20221126}, doi = {10.2312/EVP.20221126}, timestamp = {Fri, 17 Feb 2023 18:27:20 +0100}, biburl = {https://dblp.org/rec/conf/vissym/GriffinADGPSSUK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/DufoulonKMPP22, author = {Fabien Dufoulon and Shay Kutten and William K. Moses Jr. and Gopal Pandurangan and David Peleg}, editor = {Christian Scheideler}, title = {An Almost Singularly Optimal Asynchronous Distributed {MST} Algorithm}, booktitle = {36th International Symposium on Distributed Computing, {DISC} 2022, October 25-27, 2022, Augusta, Georgia, {USA}}, series = {LIPIcs}, volume = {246}, pages = {19:1--19:24}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.DISC.2022.19}, doi = {10.4230/LIPICS.DISC.2022.19}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/wdag/DufoulonKMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wea/MirkaW22, author = {Renee Mirka and David P. Williamson}, editor = {Christian Schulz and Bora U{\c{c}}ar}, title = {An Experimental Evaluation of Semidefinite Programming and Spectral Algorithms for Max Cut}, booktitle = {20th International Symposium on Experimental Algorithms, {SEA} 2022, July 25-27, 2022, Heidelberg, Germany}, series = {LIPIcs}, volume = {233}, pages = {19:1--19:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.SEA.2022.19}, doi = {10.4230/LIPICS.SEA.2022.19}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/wea/MirkaW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whispers/OudijkHOMWSBBHB22, author = {Adri{\"{e}}nne E. Oudijk and Oliver K. Hasler and Henning {\O}veraas and Sabine Marty and David R. Williamson and Thea Svendsen and Simen Berg and Roger Birkeland and Daniel Halvorsen and Sivert Bakken and Marie B{\o}e Henriksen and Morten Omholt Alver and Geir Johnsen and Tor Arne Johansen and Annette Stahl and P{\aa}l Kval{\o}y and Alberto Dallolio and Sanna Majaneva and Glaucia Fragoso and Joseph L. Garrett}, title = {Campaign For Hyperspectral Data Validation In North Atlantic Coastal Waters}, booktitle = {12th Workshop on Hyperspectral Imaging and Signal Processing: Evolution in Remote Sensing, {WHISPERS} 2022, Rome, Italy, September 13-16, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WHISPERS56178.2022.9955134}, doi = {10.1109/WHISPERS56178.2022.9955134}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/whispers/OudijkHOMWSBBHB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wivace/MatuttisSLBFDHC22, author = {Hans{-}Georg Matuttis and Johannes Josef Schneider and Jin Li and David Anthony Barrow and Alessia Faggian and Aitor Pati{\~{n}}o Diaz and Silvia Holler and Federica Casiraghi and Lorena Cebolla Sanahuja and Martin Michael Hanczyc and Mathias Sebastian Weyland and Dandolo Flumini and Peter Eggenberger Hotz and Pantelitsa Dimitriou and William David Jamieson and Oliver Castell and Rudolf Marcel F{\"{u}}chslin}, editor = {Claudio De Stefano and Francesco Fontanella and Leonardo Vanneschi}, title = {Computational Investigation of the Clustering of Droplets in Widening Pipe Geometries}, booktitle = {Artificial Life and Evolutionary Computation - 16th Italian Workshop, {WIVACE} 2022, Gaeta, Italy, September 14-16, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1780}, pages = {82--93}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31183-3\_7}, doi = {10.1007/978-3-031-31183-3\_7}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wivace/MatuttisSLBFDHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wivace/SchneiderFDLHCS22, author = {Johannes Josef Schneider and Alessia Faggian and Aitor Pati{\~{n}}o Diaz and Jin Li and Silvia Holler and Federica Casiraghi and Lorena Cebolla Sanahuja and Hans{-}Georg Matuttis and Martin Michael Hanczyc and David Anthony Barrow and Mathias Sebastian Weyland and Dandolo Flumini and Peter Eggenberger Hotz and Pantelitsa Dimitriou and William David Jamieson and Oliver Castell and Patrik Eschle and Rudolf Marcel F{\"{u}}chslin}, editor = {Claudio De Stefano and Francesco Fontanella and Leonardo Vanneschi}, title = {Network Creation During Agglomeration Processes of Polydisperse and Monodisperse Systems of Droplets}, booktitle = {Artificial Life and Evolutionary Computation - 16th Italian Workshop, {WIVACE} 2022, Gaeta, Italy, September 14-16, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1780}, pages = {94--106}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31183-3\_8}, doi = {10.1007/978-3-031-31183-3\_8}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wivace/SchneiderFDLHCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wivace/SchneiderFJWLCM22, author = {Johannes Josef Schneider and Alessia Faggian and William David Jamieson and Mathias Sebastian Weyland and Jin Li and Oliver Castell and Hans{-}Georg Matuttis and David Anthony Barrow and Aitor Pati{\~{n}}o Diaz and Lorena Cebolla Sanahuja and Silvia Holler and Federica Casiraghi and Martin Michael Hanczyc and Dandolo Flumini and Peter Eggenberger Hotz and Rudolf Marcel F{\"{u}}chslin}, editor = {Claudio De Stefano and Francesco Fontanella and Leonardo Vanneschi}, title = {Artificial Chemistry Performed in an Agglomeration of Droplets with Restricted Molecule Transfer}, booktitle = {Artificial Life and Evolutionary Computation - 16th Italian Workshop, {WIVACE} 2022, Gaeta, Italy, September 14-16, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1780}, pages = {107--118}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31183-3\_9}, doi = {10.1007/978-3-031-31183-3\_9}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wivace/SchneiderFJWLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/22/KepnerCcGMMABBBH00PRRSYM22, author = {Jeremy Kepner and Kenjiro Cho and kc claffy and Vijay Gadepally and Sarah McGuire and Lauren Milechin and William Arcand and David Bestor and William Bergeron and Chansup Byun and Matthew Hubbell and Michael Houle and Michael Jones and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, editor = {David A. Bader}, title = {New Phenomena in Large-Scale Internet Traffic}, booktitle = {Massive Graph Analytics}, pages = {241--285}, publisher = {Chapman and Hall/CRC}, year = {2022}, url = {https://doi.org/10.1201/9781003033707-13}, doi = {10.1201/9781003033707-13}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/crc/22/KepnerCcGMMABBBH00PRRSYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/chi/2022, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102}, doi = {10.1145/3491102}, isbn = {978-1-4503-9157-3}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/HerrHQBCCWOMB22b, author = {Bruce William Herr II and Josef Hardi and Ellen M. Quardokus and Andreas Bueckle and Anita R. Caron and Lu Chen and Fusheng Wang and David Osumi{-}Sutherland and Mark A. Musen and Katy B{\"{o}}rner}, title = {Tables for "Specimen, biological structure, and spatial ontologies in support of a Human Reference Atlas" (Version 3)}, publisher = {Zenodo}, year = {2022}, month = sep, howpublished = {\url{https://doi.org/10.5281/zenodo.7734667}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7734667}, doi = {10.5281/ZENODO.7734667}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/HerrHQBCCWOMB22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/HerrHQBCCWOMB22a, author = {Bruce William Herr II and Josef Hardi and Ellen M. Quardokus and Andreas Bueckle and Anita R. Caron and Lu Chen and Fusheng Wang and David Osumi{-}Sutherland and Mark A. Musen and Katy B{\"{o}}rner}, title = {Tables for "Specimen, biological structure, and spatial ontologies in support of a Human Reference Atlas" (Version 2)}, publisher = {Zenodo}, year = {2022}, month = sep, howpublished = {\url{https://doi.org/10.5281/zenodo.7734664}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7734664}, doi = {10.5281/ZENODO.7734664}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/HerrHQBCCWOMB22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/HerrHQBCCWOMB22, author = {Bruce William Herr II and Josef Hardi and Ellen M. Quardokus and Andreas Bueckle and Anita R. Caron and Lu Chen and Fusheng Wang and David Osumi{-}Sutherland and Mark A. Musen and Katy B{\"{o}}rner}, title = {Tables for "Specimen, biological structure, and spatial ontologies in support of a Human Reference Atlas" (Version 1)}, publisher = {Zenodo}, year = {2022}, month = sep, howpublished = {\url{https://doi.org/10.5281/zenodo.7430104}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7430104}, doi = {10.5281/ZENODO.7430104}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/HerrHQBCCWOMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/HerrHQBCCWOMB22c, author = {Bruce William Herr II and Josef Hardi and Ellen M. Quardokus and Andreas Bueckle and Anita R. Caron and Lu Chen and Fusheng Wang and David Osumi{-}Sutherland and Mark A. Musen and Katy B{\"{o}}rner}, title = {Tables for "Specimen, biological structure, and spatial ontologies in support of a Human Reference Atlas" (Multiple Versions)}, publisher = {Zenodo}, year = {2022}, howpublished = {\url{https://doi.org/10.5281/zenodo.7430103}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7430103}, doi = {10.5281/ZENODO.7430103}, timestamp = {Mon, 16 Sep 2024 16:29:13 +0200}, biburl = {https://dblp.org/rec/data/10/HerrHQBCCWOMB22c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-01300, author = {Francisco Villaescusa{-}Navarro and Shy Genel and Daniel Angl{\'{e}}s{-}Alc{\'{a}}zar and Lucia A. Perez and Pablo Villanueva{-}Domingo and Digvijay Wadekar and Helen Shao and Faizan G. Mohammad and Sultan Hassan and Emily Moser and Erwin T. Lau and Luis Fernando Machado Poletti Valle and Andrina Nicola and Leander Thiele and Yongseok Jo and Oliver H. E. Philcox and Benjamin D. Oppenheimer and Megan Tillman and ChangHoon Hahn and Neerav Kaushal and Alice Pisani and Matthew Gebhardt and Ana Maria Delgado and Joyce Caliendo and Christina Kreisch and Kaze W. K. Wong and William R. Coulton and Michael Eickenberg and Gabriele Parimbelli and Yueying Ni and Ulrich P. Steinwandel and Valentina La Torre and Romeel Dave and Nicholas Battaglia and Daisuke Nagai and David N. Spergel and Lars Hernquist and Blakesley Burkhart and Desika Narayanan and Benjamin D. Wandelt and Rachel S. Somerville and Greg L. Bryan and Matteo Viel and Yin Li and Vid Irsic and Katarina Kraljic and Mark Vogelsberger}, title = {The {CAMELS} project: public data release}, journal = {CoRR}, volume = {abs/2201.01300}, year = {2022}, url = {https://arxiv.org/abs/2201.01300}, eprinttype = {arXiv}, eprint = {2201.01300}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-01300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-02547, author = {William E. Carson IV and Austin Talbot and David E. Carlson}, title = {AugmentedPCA: {A} Python Package of Supervised and Adversarial Linear Factor Models}, journal = {CoRR}, volume = {abs/2201.02547}, year = {2022}, url = {https://arxiv.org/abs/2201.02547}, eprinttype = {arXiv}, eprint = {2201.02547}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-02547.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-06096, author = {Jeremy Kepner and Kenjiro Cho and Kimberly C. Claffy and Vijay Gadepally and Sarah McGuire and Lauren Milechin and William Arcand and David Bestor and William Bergeron and Chansup Byun and Matthew Hubbell and Michael Houle and Michael Jones and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, title = {New Phenomena in Large-Scale Internet Traffic}, journal = {CoRR}, volume = {abs/2201.06096}, year = {2022}, url = {https://arxiv.org/abs/2201.06096}, eprinttype = {arXiv}, eprint = {2201.06096}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-06096.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-11846, author = {Shane Walsh and Alex Frost and William Anderson and Toby Digney and Benjamin Dix{-}Matthews and David R. Gozzard and Charles T. Gravestock and Lewis Howard and Skevos F. E. Karpathakis and Ayden McCann and Sascha W. Schediwy}, title = {The Western Australian Optical Ground Station}, journal = {CoRR}, volume = {abs/2201.11846}, year = {2022}, url = {https://arxiv.org/abs/2201.11846}, eprinttype = {arXiv}, eprint = {2201.11846}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-11846.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-12965, author = {Martin F. Schubert and Alfred K. C. Cheung and Ian A. D. Williamson and Aleksandra Spyra and David H. Alexander}, title = {Inverse design of photonic devices with strict foundry fabrication constraints}, journal = {CoRR}, volume = {abs/2201.12965}, year = {2022}, url = {https://arxiv.org/abs/2201.12965}, eprinttype = {arXiv}, eprint = {2201.12965}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-12965.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-10515, author = {Renee Mirka and Devin Smedira and David P. Williamson}, title = {Graph Coloring and Semidefinite Rank}, journal = {CoRR}, volume = {abs/2202.10515}, year = {2022}, url = {https://arxiv.org/abs/2202.10515}, eprinttype = {arXiv}, eprint = {2202.10515}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-10515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-00026, author = {Leander Thiele and Miles D. Cranmer and William R. Coulton and Shirley Ho and David N. Spergel}, title = {Predicting the Thermal Sunyaev-Zel'dovich Field using Modular and Equivariant Set-Based Neural Networks}, journal = {CoRR}, volume = {abs/2203.00026}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.00026}, doi = {10.48550/ARXIV.2203.00026}, eprinttype = {arXiv}, eprint = {2203.00026}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-00026.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-01287, author = {Yiming Liu and Raz Leib and William Dudley and Ali Shafti and A. Aldo Faisal and David W. Franklin}, title = {The role of haptic communication in dyadic collaborative object manipulation tasks}, journal = {CoRR}, volume = {abs/2203.01287}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.01287}, doi = {10.48550/ARXIV.2203.01287}, eprinttype = {arXiv}, eprint = {2203.01287}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-01287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-03485, author = {Dustin Dannenhauer and Matthew Molineaux and Michael W. Floyd and Noah Reifsnyder and David W. Aha}, title = {Self-directed Learning of Action Models using Exploratory Planning}, journal = {CoRR}, volume = {abs/2203.03485}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.03485}, doi = {10.48550/ARXIV.2203.03485}, eprinttype = {arXiv}, eprint = {2203.03485}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-03485.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-10230, author = {Jeremy Kepner and Michael Jones and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and Kimberly C. Claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Daniel Grant and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Chad R. Meiners and Lauren Milechin and Andrew Morris and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Charles Yee and Peter Michaleas}, title = {Temporal Correlation of Internet Observatories and Outposts}, journal = {CoRR}, volume = {abs/2203.10230}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.10230}, doi = {10.48550/ARXIV.2203.10230}, eprinttype = {arXiv}, eprint = {2203.10230}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-10230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-10712, author = {Deqing Sun and Charles Herrmann and Fitsum A. Reda and Michael Rubinstein and David J. Fleet and William T. Freeman}, title = {What Makes {RAFT} Better Than PWC-Net?}, journal = {CoRR}, volume = {abs/2203.10712}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.10712}, doi = {10.48550/ARXIV.2203.10712}, eprinttype = {arXiv}, eprint = {2203.10712}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-10712.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13934, author = {Michael Jones and Jeremy Kepner and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and Kimberly C. Claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Hayden Jananthan and Anna Klein and Chad R. Meiners and Lauren Milechin and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Jon Sreekanth and Doug Stetson and Charles Yee and Peter Michaleas}, title = {GraphBLAS on the Edge: High Performance Streaming of Network Traffic}, journal = {CoRR}, volume = {abs/2203.13934}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13934}, doi = {10.48550/ARXIV.2203.13934}, eprinttype = {arXiv}, eprint = {2203.13934}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-15667, author = {David Gamarnik and Eren C. Kizildag and Will Perkins and Changji Xu}, title = {Algorithms and Barriers in the Symmetric Binary Perceptron Model}, journal = {CoRR}, volume = {abs/2203.15667}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.15667}, doi = {10.48550/ARXIV.2203.15667}, eprinttype = {arXiv}, eprint = {2203.15667}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-15667.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-16414, author = {Simon Dahan and Abdulah Fawaz and Logan Z. J. Williams and Chunhui Yang and Timothy S. Coalson and Matthew F. Glasser and A. David Edwards and Daniel Rueckert and Emma C. Robinson}, title = {Surface Vision Transformers: Attention-Based Modelling applied to Cortical Analysis}, journal = {CoRR}, volume = {abs/2203.16414}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.16414}, doi = {10.48550/ARXIV.2203.16414}, eprinttype = {arXiv}, eprint = {2203.16414}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-16414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-01736, author = {Yutong He and William Zhang and Chenlin Meng and Marshall Burke and David B. Lobell and Stefano Ermon}, title = {Tracking Urbanization in Developing Regions with Remote Sensing Spatial-Temporal Super-Resolution}, journal = {CoRR}, volume = {abs/2204.01736}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.01736}, doi = {10.48550/ARXIV.2204.01736}, eprinttype = {arXiv}, eprint = {2204.01736}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-01736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-02296, author = {Joseph Ortiz and Alexander Clegg and Jing Dong and Edgar Sucar and David Novotn{\'{y}} and Michael Zollh{\"{o}}fer and Mustafa Mukadam}, title = {iSDF: Real-Time Neural Signed Distance Fields for Robot Perception}, journal = {CoRR}, volume = {abs/2204.02296}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.02296}, doi = {10.48550/ARXIV.2204.02296}, eprinttype = {arXiv}, eprint = {2204.02296}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-02296.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-02311, author = {Aakanksha Chowdhery and Sharan Narang and Jacob Devlin and Maarten Bosma and Gaurav Mishra and Adam Roberts and Paul Barham and Hyung Won Chung and Charles Sutton and Sebastian Gehrmann and Parker Schuh and Kensen Shi and Sasha Tsvyashchenko and Joshua Maynez and Abhishek Rao and Parker Barnes and Yi Tay and Noam Shazeer and Vinodkumar Prabhakaran and Emily Reif and Nan Du and Ben Hutchinson and Reiner Pope and James Bradbury and Jacob Austin and Michael Isard and Guy Gur{-}Ari and Pengcheng Yin and Toju Duke and Anselm Levskaya and Sanjay Ghemawat and Sunipa Dev and Henryk Michalewski and Xavier Garcia and Vedant Misra and Kevin Robinson and Liam Fedus and Denny Zhou and Daphne Ippolito and David Luan and Hyeontaek Lim and Barret Zoph and Alexander Spiridonov and Ryan Sepassi and David Dohan and Shivani Agrawal and Mark Omernick and Andrew M. Dai and Thanumalayan Sankaranarayana Pillai and Marie Pellat and Aitor Lewkowycz and Erica Moreira and Rewon Child and Oleksandr Polozov and Katherine Lee and Zongwei Zhou and Xuezhi Wang and Brennan Saeta and Mark Diaz and Orhan Firat and Michele Catasta and Jason Wei and Kathy Meier{-}Hellstern and Douglas Eck and Jeff Dean and Slav Petrov and Noah Fiedel}, title = {PaLM: Scaling Language Modeling with Pathways}, journal = {CoRR}, volume = {abs/2204.02311}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.02311}, doi = {10.48550/ARXIV.2204.02311}, eprinttype = {arXiv}, eprint = {2204.02311}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-02311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03408, author = {Simon Dahan and Hao Xu and Logan Z. J. Williams and Abdulah Fawaz and Chunhui Yang and Timothy S. Coalson and Michelle C. Williams and David E. Newby and A. David Edwards and Matthew F. Glasser and Alistair A. Young and Daniel Rueckert and Emma C. Robinson}, title = {Surface Vision Transformers: Flexible Attention-Based Modelling of Biomedical Surfaces}, journal = {CoRR}, volume = {abs/2204.03408}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03408}, doi = {10.48550/ARXIV.2204.03408}, eprinttype = {arXiv}, eprint = {2204.03408}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03458, author = {Jonathan Ho and Tim Salimans and Alexey A. Gritsenko and William Chan and Mohammad Norouzi and David J. Fleet}, title = {Video Diffusion Models}, journal = {CoRR}, volume = {abs/2204.03458}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03458}, doi = {10.48550/ARXIV.2204.03458}, eprinttype = {arXiv}, eprint = {2204.03458}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03782, author = {Deanna Needell and William Swartworth and David P. Woodruff}, title = {Testing Positive Semidefiniteness Using Linear Measurements}, journal = {CoRR}, volume = {abs/2204.03782}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03782}, doi = {10.48550/ARXIV.2204.03782}, eprinttype = {arXiv}, eprint = {2204.03782}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03782.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05839, author = {Benny J. Tang and Qiqi Chen and Matthew L. Weiss and Nathan C. Frey and Joseph McDonald and David Bestor and Charles Yee and William Arcand and Chansup Byun and Daniel Edelman and Matthew Hubbell and Michael Jones and Jeremy Kepner and Anna Klein and Adam Michaleas and Peter Michaleas and Lauren Milechin and Julia S. Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Andrew Bowne and Lindsey McEvoy and Baolin Li and Devesh Tiwari and Vijay Gadepally and Siddharth Samsi}, title = {The {MIT} Supercloud Workload Classification Challenge}, journal = {CoRR}, volume = {abs/2204.05839}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05839}, doi = {10.48550/ARXIV.2204.05839}, eprinttype = {arXiv}, eprint = {2204.05839}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05839.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05896, author = {David E. Bernholdt and Mathieu Doucet and William F. Godoy and Addi Malviya{-}Thakur and Gregory R. Watson}, title = {A Survey on Sustainable Software Ecosystems to Support Experimental and Observational Science at Oak Ridge National Laboratory}, journal = {CoRR}, volume = {abs/2204.05896}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05896}, doi = {10.48550/ARXIV.2204.05896}, eprinttype = {arXiv}, eprint = {2204.05896}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05896.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-03608, author = {Khuyagbaatar Batsuren and Omer Goldman and Salam Khalifa and Nizar Habash and Witold Kieras and G{\'{a}}bor Bella and Brian Leonard and Garrett Nicolai and Kyle Gorman and Yustinus Ghanggo Ate and Maria Ryskina and Sabrina J. Mielke and Elena Budianskaya and Charbel El{-}Khaissi and Tiago Pimentel and Michael Gasser and William Lane and Mohit Raj and Matt Coler and Jaime Rafael Montoya Samame and Delio Siticonatzi Camaiteri and Esa{\'{u}} Zumaeta Rojas and Didier L{\'{o}}pez Francis and Arturo Oncevay and Juan L{\'{o}}pez Bautista and Gema Celeste Silva Villegas and Lucas Torroba Hennigen and Adam Ek and David Guriel and Peter Dirix and Jean{-}Philippe Bernardy and Andrey Scherbakov and Aziyana Bayyr{-}ool and Antonios Anastasopoulos and Roberto Zariquiey and Karina Sheifer and Sofya Ganieva and Hilaria Cruz and Ritv{\'{a}}n Karah{\'{o}}ga and Stella Markantonatou and George Pavlidis and Matvey Plugaryov and Elena Klyachko and Ali Salehi and Candy Angulo and Jatayu Baxi and Andrew Krizhanovsky and Natalia Krizhanovskaya and Elizabeth Salesky and Clara Vania and Sardana Ivanova and Jennifer C. White and Rowan Hall Maudslay and Josef Valvoda and Ran Zmigrod and Paula Czarnowska and Irene Nikkarinen and Aelita Salchak and Brijesh Bhatt and Christopher Straughn and Zoey Liu and Jonathan North Washington and Yuval Pinter and Duygu Ataman and Marcin Wolinski and Totok Suhardijanto and Anna Yablonskaya and Niklas Stoehr and Hossep Dolatian and Zahroh Nuriah and Shyam Ratan and Francis M. Tyers and Edoardo M. Ponti and Grant Aiton and Aryaman Arora and Richard J. Hatcher and Ritesh Kumar and Jeremiah Young and Daria Rodionova and Anastasia Yemelina and Taras Andrushko and Igor Marchenko and Polina Mashkovtseva and Alexandra Serova and Emily Prud'hommeaux and Maria Nepomniashchaya and Fausto Giunchiglia and Eleanor Chodroff and Mans Hulden and Miikka Silfverberg and Arya D. McCarthy and David Yarowsky and Ryan Cotterell and Reut Tsarfaty and Ekaterina Vylomova}, title = {UniMorph 4.0: Universal Morphology}, journal = {CoRR}, volume = {abs/2205.03608}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.03608}, doi = {10.48550/ARXIV.2205.03608}, eprinttype = {arXiv}, eprint = {2205.03608}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-03608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-05656, author = {Hang Dong and V{\'{\i}}ctor Su{\'{a}}rez{-}Paniagua and Huayu Zhang and Minhong Wang and Arlene Casey and Emma M. Davidson and Jiaoyan Chen and Beatrice Alex and William Whiteley and Honghan Wu}, title = {Ontology-Based and Weakly Supervised Rare Disease Phenotyping from Clinical Notes}, journal = {CoRR}, volume = {abs/2205.05656}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.05656}, doi = {10.48550/ARXIV.2205.05656}, eprinttype = {arXiv}, eprint = {2205.05656}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-05656.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06791, author = {Tianhui Zhou and William E. Carson IV and Michael Hunter Klein and David E. Carlson}, title = {Multiple Domain Causal Networks}, journal = {CoRR}, volume = {abs/2205.06791}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06791}, doi = {10.48550/ARXIV.2205.06791}, eprinttype = {arXiv}, eprint = {2205.06791}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06791.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-08501, author = {Sunil Pai and Zhanghao Sun and Tyler W. Hughes and Taewon Park and Ben Bartlett and Ian A. D. Williamson and Momchil Minkov and Maziyar Milanizadeh and Nathnael Abebe and Francesco Morichetti and Andrea Melloni and Shanhui Fan and Olav Solgaard and David A. B. Miller}, title = {Experimentally realized in situ backpropagation for deep learning in nanophotonic neural networks}, journal = {CoRR}, volume = {abs/2205.08501}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.08501}, doi = {10.48550/ARXIV.2205.08501}, eprinttype = {arXiv}, eprint = {2205.08501}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-08501.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09243, author = {William Evans and David Kirkpatrick}, title = {Frequency-Competitive Query Strategies to Maintain Low Congestion Potential Among Moving Entities}, journal = {CoRR}, volume = {abs/2205.09243}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09243}, doi = {10.48550/ARXIV.2205.09243}, eprinttype = {arXiv}, eprint = {2205.09243}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09243.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11487, author = {Chitwan Saharia and William Chan and Saurabh Saxena and Lala Li and Jay Whang and Emily Denton and Seyed Kamyar Seyed Ghasemipour and Burcu Karagol Ayan and S. Sara Mahdavi and Raphael Gontijo Lopes and Tim Salimans and Jonathan Ho and David J. Fleet and Mohammad Norouzi}, title = {Photorealistic Text-to-Image Diffusion Models with Deep Language Understanding}, journal = {CoRR}, volume = {abs/2205.11487}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11487}, doi = {10.48550/ARXIV.2205.11487}, eprinttype = {arXiv}, eprint = {2205.11487}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03224, author = {Simon Colton and Maria Teresa Llano and Rose Hepworth and John William Charnley and Catherine V. Gale and Archie Baron and Fran{\c{c}}ois Pachet and Pierre Roy and Pablo Gerv{\'{a}}s and Nick Collins and Bob L. Sturm and Tillman Weyde and Daniel Wolff and James Robert Lloyd}, title = {The Beyond the Fence Musical and Computer Says Show Documentary}, journal = {CoRR}, volume = {abs/2206.03224}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03224}, doi = {10.48550/ARXIV.2206.03224}, eprinttype = {arXiv}, eprint = {2206.03224}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03224.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04746, author = {William Andrew Simon and Una Pale and Tom{\'{a}}s Teijeiro and David Atienza}, title = {HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration}, journal = {CoRR}, volume = {abs/2206.04746}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04746}, doi = {10.48550/ARXIV.2206.04746}, eprinttype = {arXiv}, eprint = {2206.04746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04746.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04874, author = {Ashkan Behzadian and Tanner Wambui Muturi and Tianjie Zhang and Hongmin Kim and Amanda Mullins and Yang Lu and Neema Jasika Owor and Yaw Adu{-}Gyamfi and William G. Buttlar and Hamed Majidifard and Armstrong Aboah and David Mensching and Robert Spragg and Matthew Corrigan and Jack Youtchef and Dave Eshan}, title = {The 1st Data Science for Pavements Challenge}, journal = {CoRR}, volume = {abs/2206.04874}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04874}, doi = {10.48550/ARXIV.2206.04874}, eprinttype = {arXiv}, eprint = {2206.04874}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04874.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07542, author = {Abdulah Fawaz and Logan Z. J. Williams and A. David Edwards and Emma C. Robinson}, title = {A Deep Generative Model of Neonatal Cortical Surface Development}, journal = {CoRR}, volume = {abs/2206.07542}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07542}, doi = {10.48550/ARXIV.2206.07542}, eprinttype = {arXiv}, eprint = {2206.07542}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07555, author = {William Seymour and Max Van Kleek and Reuben Binns and Dave Murray{-}Rust}, title = {Respect as a Lens for the Design of {AI} Systems}, journal = {CoRR}, volume = {abs/2206.07555}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07555}, doi = {10.48550/ARXIV.2206.07555}, eprinttype = {arXiv}, eprint = {2206.07555}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-11992, author = {Deborah Bard and Cory Snavely and Lisa Gerhardt and Jason Lee and Becci Totzke and Katie Antypas and William Arndt and Johannes P. Blaschke and Suren Byna and Ravi Cheema and Shreyas Cholia and Mark R. Day and Bjoern Enders and Aditi Gaur and Annette Greiner and Taylor L. Groves and Mariam Kiran and Quincey Koziol and Tom Lehman and Kelly Rowland and Chris Samuel and Ashwin Selvarajan and Alex Sim and David Skinner and Laurie Stephey and Rollin C. Thomas and Gabor Torok}, title = {The {LBNL} Superfacility Project Report}, journal = {CoRR}, volume = {abs/2206.11992}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.11992}, doi = {10.48550/ARXIV.2206.11992}, eprinttype = {arXiv}, eprint = {2206.11992}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-11992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-00695, author = {David M. Williams and Qingguo Hong}, title = {Generalized Korn's Inequalities for Piecewise H\({}^{\mbox{2}}\) Vector Fields}, journal = {CoRR}, volume = {abs/2207.00695}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.00695}, doi = {10.48550/ARXIV.2207.00695}, eprinttype = {arXiv}, eprint = {2207.00695}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-00695.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02056, author = {Nicolas Matentzoglu and Damien Goutte{-}Gattat and Shawn Zheng Kai Tan and James P. Balhoff and Seth Carbon and Anita R. Caron and William D. Duncan and Joe E. Flack and Melissa A. Haendel and Nomi L. Harris and William R. Hogan and Charles Tapley Hoyt and Rebecca C. Jackson and Hyeongsik Kim and H{\"{u}}seyin Kir and Martin Larralde and Julie A. McMurry and James A. Overton and Bjoern Peters and Clare Pilgrim and Raymund Stefancsik and Sofia M. C. Robb and Sabrina Toro and Nicole A. Vasilevsky and Ramona L. Walls and Christopher J. Mungall and David Osumi{-}Sutherland}, title = {Ontology Development Kit: a toolkit for building, maintaining, and standardising biomedical ontologies}, journal = {CoRR}, volume = {abs/2207.02056}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02056}, doi = {10.48550/ARXIV.2207.02056}, eprinttype = {arXiv}, eprint = {2207.02056}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07033, author = {Vijay Gadepally and Gregory Angelides and Andrei Barbu and Andrew Bowne and Laura J. Brattain and Tamara Broderick and Armando Cabrera and Glenn Carl and Ronisha Carter and Miriam Cha and Emilie Cowen and Jesse Cummings and Bill Freeman and James R. Glass and Sam Goldberg and Mark Hamilton and Thomas Heldt and Kuan Wei Huang and Phillip Isola and Boris Katz and Jamie Koerner and Yen{-}Chen Lin and David Mayo and Kyle McAlpin and Taylor Perron and Jean E. Piou and Hrishikesh M. Rao and Hayley Reynolds and Kaira Samuel and Siddharth Samsi and Morgan Schmidt and Leslie Shing and Olga Simek and Brandon Swenson and Vivienne Sze and Jonathan Taylor and Paul Tylkin and Mark Veillette and Matthew L. Weiss and Allan B. Wollaber and Sophia Yuditskaya and Jeremy Kepner}, title = {Developing a Series of {AI} Challenges for the United States Department of the Air Force}, journal = {CoRR}, volume = {abs/2207.07033}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07033}, doi = {10.48550/ARXIV.2207.07033}, eprinttype = {arXiv}, eprint = {2207.07033}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10062, author = {Mark Mazumder and Colby R. Banbury and Xiaozhe Yao and Bojan Karlas and William Gaviria Rojas and Sudnya Frederick Diamos and Greg Diamos and Lynn He and Douwe Kiela and David Jurado and David Kanter and Rafael Mosquera and Juan Ciro and Lora Aroyo and Bilge Acun and Sabri Eyuboglu and Amirata Ghorbani and Emmett D. Goodman and Tariq Kane and Christine R. Kirkpatrick and Tzu{-}Sheng Kuo and Jonas Mueller and Tristan Thrush and Joaquin Vanschoren and Margaret Warren and Adina Williams and Serena Yeung and Newsha Ardalani and Praveen K. Paritosh and Ce Zhang and James Zou and Carole{-}Jean Wu and Cody Coleman and Andrew Y. Ng and Peter Mattson and Vijay Janapa Reddi}, title = {DataPerf: Benchmarks for Data-Centric {AI} Development}, journal = {CoRR}, volume = {abs/2207.10062}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10062}, doi = {10.48550/ARXIV.2207.10062}, eprinttype = {arXiv}, eprint = {2207.10062}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10254, author = {Samuel C. Gutekunst and Billy Jin and David P. Williamson}, title = {The Two-Stripe Symmetric Circulant {TSP} is in {P}}, journal = {CoRR}, volume = {abs/2207.10254}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10254}, doi = {10.48550/ARXIV.2207.10254}, eprinttype = {arXiv}, eprint = {2207.10254}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10254.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10625, author = {William Basener and Alexey Castrodad and David W. Messinger and Jennifer Mahle and Paul Prue}, title = {A Dynamical Systems Algorithm for Clustering in Hyperspectral Imagery}, journal = {CoRR}, volume = {abs/2207.10625}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10625}, doi = {10.48550/ARXIV.2207.10625}, eprinttype = {arXiv}, eprint = {2207.10625}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-02670, author = {Mark P. Sendak and Gaurav Sirdeshmukh and Timothy Ochoa and Hayley Premo and Linda Tang and Kira Niederhoffer and Sarah Reed and Kaivalya Deshpande and Emily Sterrett and Melissa Bauer and Laurie Snyder and Afreen Shariff and David Whellan and Jeffrey Riggio and David Gaieski and Kristin Corey and Megan Richards and Michael Gao and Marshall Nichols and Bradley Heintze and William Knechtle and William Ratliff and Suresh Balu}, title = {Development and Validation of {ML-DQA} - a Machine Learning Data Quality Assurance Framework for Healthcare}, journal = {CoRR}, volume = {abs/2208.02670}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.02670}, doi = {10.48550/ARXIV.2208.02670}, eprinttype = {arXiv}, eprint = {2208.02670}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-02670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-14742, author = {Ga{\"{e}}tan Dissez and Nicole Tay and Tom Dyer and Matthew Tam and Richard Dittrich and David Doyne and James Hoare and Jackson J. Pat and Stephanie Patterson and Amanda Stockham and Qaiser Malik and Tom Naunton Morgan and Paul Williams and Liliana Garcia{-}Mondragon and Jordan Smith and George Pearse and Simon Rasalingham}, title = {Enhancing Early Lung Cancer Detection on Chest Radiographs with AI-assistance: {A} Multi-Reader Study}, journal = {CoRR}, volume = {abs/2208.14742}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.14742}, doi = {10.48550/ARXIV.2208.14742}, eprinttype = {arXiv}, eprint = {2208.14742}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-14742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-14908, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Hayden Jananthan and Michael Jones and Kurt Keville and Anna Klein and Peter Michaleas and Lauren Milechin and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {pPython for Parallel Python Programming}, journal = {CoRR}, volume = {abs/2208.14908}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.14908}, doi = {10.48550/ARXIV.2208.14908}, eprinttype = {arXiv}, eprint = {2208.14908}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-14908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00602, author = {Hayden Jananthan and Lauren Milechin and Michael Jones and William Arcand and William Bergeron and David Bestor and Chansup Byun and Michael Houle and Matthew Hubbell and Vijay Gadepally and Anna Klein and Peter Michaleas and Guillermo Morales and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {Python Implementation of the Dynamic Distributed Dimensional Data Model}, journal = {CoRR}, volume = {abs/2209.00602}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00602}, doi = {10.48550/ARXIV.2209.00602}, eprinttype = {arXiv}, eprint = {2209.00602}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00602.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05725, author = {Tyler Trigg and Chad R. Meiners and Sandeep Pisharody and Hayden Jananthan and Michael Jones and Adam Michaleas and Timothy Davis and Erik Welch and William Arcand and David Bestor and William Bergeron and Chansup Byun and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Charles Yee and Jeremy Kepner}, title = {Hypersparse Network Flow Analysis of Packets with GraphBLAS}, journal = {CoRR}, volume = {abs/2209.05725}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05725}, doi = {10.48550/ARXIV.2209.05725}, eprinttype = {arXiv}, eprint = {2209.05725}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05725.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09731, author = {Wael R. Elwasif and Sergei I. Bastrakov and Spencer H. Bryngelson and Michael Bussmann and Sunita Chandrasekaran and Florina M. Ciorba and Michael A. Clark and Alexander Debus and William F. Godoy and Nick Hagerty and Jeff R. Hammond and David J. Hardy and James Austin Harris and Oscar R. Hernandez and B{\'{a}}lint Jo{\'{o}} and Sebastian Keller and Paul R. C. Kent and Henry Le Berre and Damien Lebrun{-}Grandi{\'{e}} and Elijah A. MacCarthy and Ver{\'{o}}nica G. Melesse Vergara and Bronson Messer and Ross Miller and Sarp Oral and Jean{-}Guillaume Piccinali and Anand Radhakrishnan and Osman Simsek and Filippo Spiga and Klaus Steiniger and Jan Stephan and John E. Stone and Christian Trott and Ren{\'{e}} Widera and Jeffrey Young}, title = {Early Application Experiences on a Modern GPU-Accelerated Arm-based {HPC} Platform}, journal = {CoRR}, volume = {abs/2209.09731}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09731}, doi = {10.48550/ARXIV.2209.09731}, eprinttype = {arXiv}, eprint = {2209.09731}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-01173, author = {Fabien Dufoulon and Shay Kutten and William K. Moses Jr. and Gopal Pandurangan and David Peleg}, title = {An Almost Singularly Optimal Asynchronous Distributed {MST} Algorithm}, journal = {CoRR}, volume = {abs/2210.01173}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.01173}, doi = {10.48550/ARXIV.2210.01173}, eprinttype = {arXiv}, eprint = {2210.01173}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-01173.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02303, author = {Jonathan Ho and William Chan and Chitwan Saharia and Jay Whang and Ruiqi Gao and Alexey A. Gritsenko and Diederik P. Kingma and Ben Poole and Mohammad Norouzi and David J. Fleet and Tim Salimans}, title = {Imagen Video: High Definition Video Generation with Diffusion Models}, journal = {CoRR}, volume = {abs/2210.02303}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02303}, doi = {10.48550/ARXIV.2210.02303}, eprinttype = {arXiv}, eprint = {2210.02303}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02303.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-06600, author = {Yunmo Chen and William Gantt and Weiwei Gu and Tongfei Chen and Aaron Steven White and Benjamin Van Durme}, title = {Iterative Document-level Information Extraction via Imitation Learning}, journal = {CoRR}, volume = {abs/2210.06600}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.06600}, doi = {10.48550/ARXIV.2210.06600}, eprinttype = {arXiv}, eprint = {2210.06600}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-06600.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-15655, author = {Henry W. Robbins and Samuel C. Gutekunst and Frans Schalekamp and David B. Shmoys and David P. Williamson}, title = {{GILP:} An Interactive Tool for Visualizing the Simplex Algorithm}, journal = {CoRR}, volume = {abs/2210.15655}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.15655}, doi = {10.48550/ARXIV.2210.15655}, eprinttype = {arXiv}, eprint = {2210.15655}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-15655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16568, author = {Aditya Ravuri and Tom R. Andersson and Ieva Kazlauskaite and Will Tebbutt and Richard E. Turner and J. Scott Hosking and Neil D. Lawrence and Markus Kaiser}, title = {Ice Core Dating using Probabilistic Programming}, journal = {CoRR}, volume = {abs/2210.16568}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16568}, doi = {10.48550/ARXIV.2210.16568}, eprinttype = {arXiv}, eprint = {2210.16568}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16836, author = {Valfride Nascimento and Rayson Laroca and Jorge de A. Lambert and William Robson Schwartz and David Menotti}, title = {Combining Attention Module and Pixel Shuffle for License Plate Super-Resolution}, journal = {CoRR}, volume = {abs/2210.16836}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16836}, doi = {10.48550/ARXIV.2210.16836}, eprinttype = {arXiv}, eprint = {2210.16836}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16836.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-00464, author = {David J. Galvin and Gwen McKinley and Will Perkins and Michail Sarantis and Prasad Tetali}, title = {On the zeroes of hypergraph independence polynomials}, journal = {CoRR}, volume = {abs/2211.00464}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.00464}, doi = {10.48550/ARXIV.2211.00464}, eprinttype = {arXiv}, eprint = {2211.00464}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-00464.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-04639, author = {Billy Jin and Nathan Klein and David P. Williamson}, title = {A 4/3-Approximation Algorithm for Half-Integral Cycle Cut Instances of the {TSP}}, journal = {CoRR}, volume = {abs/2211.04639}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.04639}, doi = {10.48550/ARXIV.2211.04639}, eprinttype = {arXiv}, eprint = {2211.04639}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-04639.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06318, author = {Peter Stone and Rodney Brooks and Erik Brynjolfsson and Ryan Calo and Oren Etzioni and Greg Hager and Julia Hirschberg and Shivaram Kalyanakrishnan and Ece Kamar and Sarit Kraus and Kevin Leyton{-}Brown and David C. Parkes and William H. Press and AnnaLee Saxenian and Julie Shah and Milind Tambe and Astro Teller}, title = {Artificial Intelligence and Life in 2030: The One Hundred Year Study on Artificial Intelligence}, journal = {CoRR}, volume = {abs/2211.06318}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06318}, doi = {10.48550/ARXIV.2211.06318}, eprinttype = {arXiv}, eprint = {2211.06318}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07436, author = {William F. Godoy and Ritu Arora and Keith Beattie and David E. Bernholdt and Sarah E. Bratt and Daniel S. Katz and Ignacio Laguna and Amiya K. Maji and Addi Malviya{-}Thakur and Rafael M. Mudafort and Nitin Sukhija and Damian W. I. Rouson and Cindy Rubio{-}Gonz{\'{a}}lez and Karan Vahi}, title = {Giving RSEs a Larger Stage through the Better Scientific Software Fellowship}, journal = {CoRR}, volume = {abs/2211.07436}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07436}, doi = {10.48550/ARXIV.2211.07436}, eprinttype = {arXiv}, eprint = {2211.07436}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-05626, author = {Nicole L. Robinson and Jason Williams and David Howard and Brendan Tidd and Fletcher Talbot and Brett Wood and Alex Pitt and Navinda Kottege and Dana Kulic}, title = {Human-Robot Team Performance Compared to Full Robot Autonomy in 16 Real-World Search and Rescue Missions: Adaptation of the {DARPA} Subterranean Challenge}, journal = {CoRR}, volume = {abs/2212.05626}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.05626}, doi = {10.48550/ARXIV.2212.05626}, eprinttype = {arXiv}, eprint = {2212.05626}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-05626.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-06909, author = {Su Wang and Chitwan Saharia and Ceslee Montgomery and Jordi Pont{-}Tuset and Shai Noy and Stefano Pellegrini and Yasumasa Onoe and Sarah Laszlo and David J. Fleet and Radu Soricut and Jason Baldridge and Mohammad Norouzi and Peter Anderson and William Chan}, title = {Imagen Editor and EditBench: Advancing and Evaluating Text-Guided Image Inpainting}, journal = {CoRR}, volume = {abs/2212.06909}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.06909}, doi = {10.48550/ARXIV.2212.06909}, eprinttype = {arXiv}, eprint = {2212.06909}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-06909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-07743, author = {Damien A. Dablain and Colin Bellinger and Bartosz Krawczyk and David W. Aha and Nitesh V. Chawla}, title = {Interpretable {ML} for Imbalanced Data}, journal = {CoRR}, volume = {abs/2212.07743}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.07743}, doi = {10.48550/ARXIV.2212.07743}, eprinttype = {arXiv}, eprint = {2212.07743}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-07743.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10636, author = {Andrea Galimberti and Gabriele Montanaro and William Fornaciari and Davide Zoni}, title = {An Evaluation of the State-of-the-Art Software and Hardware Implementations of {BIKE}}, journal = {CoRR}, volume = {abs/2212.10636}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10636}, doi = {10.48550/ARXIV.2212.10636}, eprinttype = {arXiv}, eprint = {2212.10636}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-13206, author = {Brittany Terese Fasy and Samuel Micka and David L. Millman and Anna Schenfisch and Lucia Williams}, title = {Efficient Graph Reconstruction and Representation Using Augmented Persistence Diagrams}, journal = {CoRR}, volume = {abs/2212.13206}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.13206}, doi = {10.48550/ARXIV.2212.13206}, eprinttype = {arXiv}, eprint = {2212.13206}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-13206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Williams-King21, author = {David Williams{-}King}, title = {Improving Security Through Egalitarian Binary Recompilation}, school = {Columbia University, {USA}}, year = {2021}, url = {https://doi.org/10.7916/d8-ndz3-ne90}, doi = {10.7916/D8-NDZ3-NE90}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Williams-King21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShiungYC21, author = {David Shiung and Ya{-}Yin Yang and Wen{-}Long Chin}, title = {Using Communication Channel Equalization to Remove Atmospheric Turbulence in Star Signal Detection}, journal = {{IEEE} Access}, volume = {9}, pages = {152903--152912}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3126785}, doi = {10.1109/ACCESS.2021.3126785}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShiungYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/JosephMLACDVRAD21, author = {Amy Joseph and Charles J. Mullett and Christa Lilly and Matthew G. Armistead and Harold J. Cox and Michael J. Denney and Misha Varma and David Rich and Donald A. Adjeroh and Gianfranco Doretto and William Neal and Lee Pyles}, title = {Coronary Artery Disease Phenotype Detection in an Academic Hospital System Setting}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {01}, pages = {10--16}, year = {2021}, url = {https://doi.org/10.1055/s-0040-1721012}, doi = {10.1055/S-0040-1721012}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/JosephMLACDVRAD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/NanjiGSLBGB21, author = {Karen C. Nanji and Pamela M. Garabedian and Sofia D. Shaikh and Marin E. Langlieb and Aziz A. Boxwala and William J. Gordon and David W. Bates}, title = {Development of a Perioperative Medication-Related Clinical Decision Support Tool to Prevent Medication Errors: An Analysis of User Feedback}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {5}, pages = {984--995}, year = {2021}, url = {https://doi.org/10.1055/s-0041-1736339}, doi = {10.1055/S-0041-1736339}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/NanjiGSLBGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/KyrimiDFFNMM21, author = {Evangelia Kyrimi and Kudakwashe Dube and Norman E. Fenton and Ali Fahmi and Mariana Raniere Neves and William Marsh and Scott McLachlan}, title = {Bayesian networks in healthcare: What is preventing their adoption?}, journal = {Artif. Intell. Medicine}, volume = {116}, pages = {102079}, year = {2021}, url = {https://doi.org/10.1016/j.artmed.2021.102079}, doi = {10.1016/J.ARTMED.2021.102079}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/KyrimiDFFNMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ascom/PlanteWKDBKWAAA21, author = {Paul La Plante and Peter K. G. Williams and Matthew Kolopanis and Jesse Dillon and Adam P. Beardsley and Nicholas S. Kern and Michael Wilensky and Zaki S. Ali and Zara Abdurashidova and James E. Aguirre and Paul Alexander and Yanga Balfour and Gianni Bernardi and Tashalee S. Billings and Judd D. Bowman and Roxanne F. Bradley and Phil Bull and Jacob Burba and Steve Carey and Chris L. Carilli and Carina Cheng and David R. DeBoer and Matt Dexter and Eloy de Lera Acedo and John Ely and Aaron Ewall{-}Wice and Nicolas Fagnoni and Randall Fritz and Steven R. Furlanetto and Kingsley Gale{-}Sides and Brian Glendenning and Deepthi Gorthi and Bradley Greig and Jasper Grobbelaar and Ziyaad Halday and Bryna J. Hazelton and Jacqueline N. Hewitt and Jack Hickish and Daniel C. Jacobs and Austin Julius and Joshua Kerrigan and Piyanat Kittiwisit and Saul A. Kohn and Adam Lanman and Telalo Lekalake and David Lewis and Adrian Liu and David MacMahon and Lourence Malan and Cresshim Malgas and Matthys Maree and Zachary E. Martinot and Eunice Matsetela and Andrei Mesinger and Mathakane Molewa and Miguel F. Morales and Tshegofalang Mosiane and Steven G. Murray and Abraham R. Neben and Bojan Nikolic and Aaron R. Parsons and Robert Pascua and Nipanjana Patra and Samantha Pieterse and Jonathan C. Pober and Nima Razavi{-}Ghods and Jon Ringuette and James Robnett and Kathryn Rosie and Mario G. Santos and Peter H. Sims and Craig Smith and Angelo Syce and Nithyanandan Thyagarajan and Haoxuan Zheng}, title = {A Real Time Processing system for big data in astronomy: Applications to {HERA}}, journal = {Astron. Comput.}, volume = {36}, pages = {100489}, year = {2021}, url = {https://doi.org/10.1016/j.ascom.2021.100489}, doi = {10.1016/J.ASCOM.2021.100489}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ascom/PlanteWKDBKWAAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/FangZLXWHWLSZPL21, author = {Shisong Fang and Lin Zhang and Yingzhi Liu and Wenye Xu and Weihua Wu and Ziheng Huang and Xin Wang and Hui Liu and Ying Sun and Renli Zhang and Bo Peng and Xiaodong Liu and Xiao Sun and Jun Yu and Francis Ka{-}Leung Chan and Siew Chien Ng and Sunny Hei Wong and Maggie Haitian Wang and Tony Gin and Gavin Matthew Joynt and David Shu Cheong Hui and Tiejian Feng and William Ka Kei Wu and Matthew Tak Vai Chan and Xuan Zou and Junjie Xia}, title = {Lysosome activation in peripheral blood mononuclear cells and prognostic significance of circulating {LC3B} in {COVID-19}}, journal = {Briefings Bioinform.}, volume = {22}, number = {2}, pages = {1466--1475}, year = {2021}, url = {https://doi.org/10.1093/bib/bbab043}, doi = {10.1093/BIB/BBAB043}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/FangZLXWHWLSZPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/HufskyLAAABBBBC21, author = {Franziska Hufsky and Kevin Lamkiewicz and Alexandre Almeida and Abdel Aouacheria and Cecilia N. Arighi and Alex Bateman and Jan Baumbach and Niko Beerenwinkel and Christian Brandt and Marco Cacciabue and Sara Chuguransky and Oliver Drechsel and Robert D. Finn and Adrian Fritz and Stephan Fuchs and Georges Hattab and Anne{-}Christin Hauschild and Dominik Heider and Marie Hoffmann and Martin H{\"{o}}lzer and Stefan Hoops and Lars Kaderali and Ioanna Kalvari and Max von Kleist and Ren{\'{o}} Kmiecinski and Denise K{\"{u}}hnert and Gorka Lasso and Pieter Libin and Markus List and Hannah F. L{\"{o}}chel and Maria Jesus Martin and Roman Martin and Julian O. Matschinske and Alice C. McHardy and Pedro Mendes and Jaina Mistry and Vincent Navratil and Eric P. Nawrocki and {\'{A}}ine Niamh O'toole and Nancy Ontiveros{-}Palacios and Anton I. Petrov and Guillermo Rangel{-}Pineros and Nicole Redaschi and Susanne Reimering and Knut Reinert and Alejandro Reyes and Lorna J. Richardson and David L. Robertson and Sepideh Sadegh and Joshua B. Singer and Kristof Theys and Chris Upton and Marius Welzel and Lowri Williams and Manja Marz}, title = {Computational strategies to combat {COVID-19:} useful tools to accelerate SARS-CoV-2 and coronavirus research}, journal = {Briefings Bioinform.}, volume = {22}, number = {2}, pages = {642--663}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa232}, doi = {10.1093/BIB/BBAA232}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/HufskyLAAABBBBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/MooreTRNW21, author = {Jason H. Moore and Van Q. Truong and Ashley B. Robbins and David Nicholson and Clar Lynda Williams{-}Devane}, title = {Ten important roles for academic leaders to promote equity, diversity, and inclusion in data science}, journal = {BioData Min.}, volume = {14}, number = {1}, pages = {22}, year = {2021}, url = {https://doi.org/10.1186/s13040-021-00256-9}, doi = {10.1186/S13040-021-00256-9}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodatamining/MooreTRNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/JacksonMOVBBCCD21, author = {Rebecca C. Jackson and Nicolas Matentzoglu and James A. Overton and Randi Vita and James P. Balhoff and Pier Luigi Buttigieg and Seth Carbon and M{\'{e}}lanie Courtot and Alexander D. Diehl and Damion M. Dooley and William D. Duncan and Nomi L. Harris and Melissa A. Haendel and Suzanna E. Lewis and Darren A. Natale and David Osumi{-}Sutherland and Alan Ruttenberg and Lynn M. Schriml and Barry Smith and Christian J. Stoeckert Jr. and Nicole A. Vasilevsky and Ramona L. Walls and Jie Zheng and Christopher J. Mungall and Bjoern Peters}, title = {{OBO} Foundry in 2021: operationalizing open data principles to evaluate ontologies}, journal = {Database J. Biol. Databases Curation}, volume = {2021}, year = {2021}, url = {https://doi.org/10.1093/database/baab069}, doi = {10.1093/DATABASE/BAAB069}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/JacksonMOVBBCCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/PatelWOC21, author = {Lekha Patel and David J. Williamson and Dylan M. Owen and Edward A. K. Cohen}, title = {Blinking statistics and molecular counting in direct stochastic reconstruction microscopy (dSTORM)}, journal = {Bioinform.}, volume = {37}, number = {17}, pages = {2730--2737}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab136}, doi = {10.1093/BIOINFORMATICS/BTAB136}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/PatelWOC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/SpurrTTDSMPMLCL21, author = {Liam F. Spurr and Mehdi Touat and Alison M. Taylor and Adrian M. Dubuc and Juliann Shih and David M. Meredith and William V. Pisano and Matthew Meyerson and Keith L. Ligon and Andrew D. Cherniack and Yvonne Y. Li and Rameen Beroukhim}, title = {Quantification of aneuploidy in targeted sequencing data using {ASCETS}}, journal = {Bioinform.}, volume = {37}, number = {16}, pages = {2461--2463}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa980}, doi = {10.1093/BIOINFORMATICS/BTAA980}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/SpurrTTDSMPMLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WangKMGWWBKL21, author = {Chen Wang and Daniel M. Konecki and David C. Marciano and Harikumar Govindarajan and Amanda M. Williams and Brigitta Wastuwidyaningtyas and Thomas Bourquard and Panagiotis Katsonis and Olivier Lichtarge}, title = {Identification of evolutionarily stable functional and immunogenic sites across the SARS-CoV-2 proteome and greater coronavirus family}, journal = {Bioinform.}, volume = {37}, number = {22}, pages = {4033--4040}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab406}, doi = {10.1093/BIOINFORMATICS/BTAB406}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WangKMGWWBKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WilliamsonMGNSB21, author = {Brian D. Williamson and Craig A. Magaret and Peter B. Gilbert and Sohail Nizam and Courtney Simmons and David C. Benkeser}, title = {Super LeArner Prediction of NAb Panels {(SLAPNAP):} a containerized tool for predicting combination monoclonal broadly neutralizing antibody sensitivity}, journal = {Bioinform.}, volume = {37}, number = {22}, pages = {4187--4192}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab398}, doi = {10.1093/BIOINFORMATICS/BTAB398}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WilliamsonMGNSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WeissmanDJBFDBD21, author = {J. L. Weissman and Sonia Dogra and Keyan Javadi and Samantha Bolten and Rachel Flint and Cyrus Davati and Jess Beattie and Keshav Dixit and Tejasvi Peesay and Shehar Awan and Peter Thielen and Florian P. Breitwieser and Philip L. F. Johnson and David K. Karig and William F. Fagan and Sharon Bewick}, title = {Exploring the functional composition of the human microbiome using a hand-curated microbial trait database}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {306}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04216-2}, doi = {10.1186/S12859-021-04216-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/WeissmanDJBFDBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/DavidsonPCGDDSG21, author = {Emma M. Davidson and Michael Tin Chung Poon and Arlene Casey and Andreas Grivas and Daniel Duma and Hang Dong and V{\'{\i}}ctor Su{\'{a}}rez{-}Paniagua and Claire Grover and Richard Tobin and Heather Whalley and Honghan Wu and Beatrice Alex and William Whiteley}, title = {The reporting quality of natural language processing studies: systematic review of studies of radiology reports}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {142}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00671-8}, doi = {10.1186/S12880-021-00671-8}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/DavidsonPCGDDSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/WrightDWGAK21, author = {Frederick Wright and Paul Docherty and Elisabeth Williams and Desney Greybe and Hari Arora and Natalia Kabaliuk}, title = {An \emph{in-silico} study of the effect of non-linear skin dynamics on skin-mounted accelerometer inference of skull motion}, journal = {Biomed. Signal Process. Control.}, volume = {70}, pages = {102986}, year = {2021}, url = {https://doi.org/10.1016/j.bspc.2021.102986}, doi = {10.1016/J.BSPC.2021.102986}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/WrightDWGAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/YoungDRJTMLO21, author = {William J. Young and Stefan van Duijvenboden and Julia Ram{\'{\i}}rez and Aled Jones and Andrew Tinker and Patricia B. Munroe and Pier D. Lambiase and Michele Orini}, title = {A Method to Minimise the Impact of {ECG} Marker Inaccuracies on the Spatial {QRS-T} angle: Evaluation on 1, 512 Manually Annotated ECGs}, journal = {Biomed. Signal Process. Control.}, volume = {64}, pages = {102305}, year = {2021}, url = {https://doi.org/10.1016/j.bspc.2020.102305}, doi = {10.1016/J.BSPC.2020.102305}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/YoungDRJTMLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/BaudinBBCKKMPPS21, author = {Patrick Baudin and Fran{\c{c}}ois Bobot and David B{\"{u}}hler and Lo{\"{\i}}c Correnson and Florent Kirchner and Nikolai Kosmatov and Andr{\'{e}} Maroneze and Valentin Perrelle and Virgile Prevosto and Julien Signoles and Nicky Williams}, title = {The dogged pursuit of bug-free {C} programs: the Frama-C software analysis platform}, journal = {Commun. {ACM}}, volume = {64}, number = {8}, pages = {56--68}, year = {2021}, url = {https://doi.org/10.1145/3470569}, doi = {10.1145/3470569}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/BaudinBBCKKMPPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/Mak-HauHKMNN21, author = {Vicky H. Mak{-}Hau and Brendan Hill and David Kirszenblat and Bill Moran and Vivian Nguyen and Ana Novak}, title = {A simultaneous sequencing and allocation problem for military pilot training: Integer programming approaches}, journal = {Comput. Ind. Eng.}, volume = {154}, pages = {107161}, year = {2021}, url = {https://doi.org/10.1016/j.cie.2021.107161}, doi = {10.1016/J.CIE.2021.107161}, timestamp = {Sun, 16 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/Mak-HauHKMNN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AndersonGJSD21, author = {Paul E. Anderson and Richa Gadgil and William A. Johnson and Ella Schwab and Jean M. Davidson}, title = {Reducing variability of breast cancer subtype predictors by grounding deep learning models in prior knowledge}, journal = {Comput. Biol. Medicine}, volume = {138}, pages = {104850}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104850}, doi = {10.1016/J.COMPBIOMED.2021.104850}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AndersonGJSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cdm/ArandaBHHKKKP21, author = {Andr{\'{e}}s Aranda and David Bradley{-}Williams and Eng Keat Hng and Jan Hubicka and Miltiadis Karamanlis and Michael Kompatscher and Matej Konecn{\'{y}} and Micheal Pawliuk}, title = {Completing graphs to metric spaces}, journal = {Contributions Discret. Math.}, volume = {16}, number = {2}, pages = {71--89}, year = {2021}, url = {https://cdm.ucalgary.ca/article/view/71725}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cdm/ArandaBHHKKKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChenOHDRWBS21, author = {Xiaoming Chen and William Ogdahl and Lauren L. Hulsman Hanna and Carl R. Dahlen and David G. Riley and Sarah A. Wagner and Eric P. Berg and Xin Sun}, title = {Evaluation of beef cattle temperament by eye temperature using infrared thermography technology}, journal = {Comput. Electron. Agric.}, volume = {188}, pages = {106321}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106321}, doi = {10.1016/J.COMPAG.2021.106321}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ChenOHDRWBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsci/KryvenUCT21, author = {Marta Kryven and Tomer D. Ullman and William Cowan and Joshua B. Tenenbaum}, title = {Plans or Outcomes: How Do We Attribute Intelligence to Others?}, journal = {Cogn. Sci.}, volume = {45}, number = {9}, year = {2021}, url = {https://doi.org/10.1111/cogs.13041}, doi = {10.1111/COGS.13041}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsci/KryvenUCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/GreenDKKPS21, author = {Benjamin Green and Richard Derbyshire and Marina Krotofil and William Knowles and Daniel Prince and Neeraj Suri}, title = {PCaaD: Towards automated determination and exploitation of industrial systems}, journal = {Comput. Secur.}, volume = {110}, pages = {102424}, year = {2021}, url = {https://doi.org/10.1016/j.cose.2021.102424}, doi = {10.1016/J.COSE.2021.102424}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/GreenDKKPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/PetterssonDGGKM21, author = {William Pettersson and Maxence Delorme and Sergio Garc{\'{\i}}a and Jacek Gondzio and J{\"{o}}rg Kalcsics and David F. Manlove}, title = {Improving solution times for stable matching problems through preprocessing}, journal = {Comput. Oper. Res.}, volume = {128}, pages = {105128}, year = {2021}, url = {https://doi.org/10.1016/j.cor.2020.105128}, doi = {10.1016/J.COR.2020.105128}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/PetterssonDGGKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cpc/DraganicDFGHKLC21, author = {Nemanja Draganic and Fran{\c{c}}ois Dross and Jacob Fox and Ant{\'{o}}nio Gir{\~{a}}o and Fr{\'{e}}d{\'{e}}ric Havet and D{\'{a}}niel Kor{\'{a}}ndi and William Lochet and David Munh{\'{a}} Correia and Alex D. Scott and Benny Sudakov}, title = {Powers of paths in tournaments}, journal = {Comb. Probab. Comput.}, volume = {30}, number = {6}, pages = {894--898}, year = {2021}, url = {https://doi.org/10.1017/S0963548321000067}, doi = {10.1017/S0963548321000067}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cpc/DraganicDFGHKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/RandallRT21, author = {David Randall and Mark Rouncefield and Peter Tolmie}, title = {Ethnography, {CSCW} and Ethnomethodology}, journal = {Comput. Support. Cooperative Work.}, volume = {30}, number = {2}, pages = {189--214}, year = {2021}, url = {https://doi.org/10.1007/s10606-020-09388-8}, doi = {10.1007/S10606-020-09388-8}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cscw/RandallRT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/BarberaHFUCDSLL21, author = {David S. Barbera and Mark A. Huckvale and Victoria Fleming and Emily Upton and Henry Coley{-}Fisher and Catherine Doogan and Ian Shaw and William H. Latham and Alexander P. Leff and Jenny Crinion}, title = {{NUVA:} {A} Naming Utterance Verifier for Aphasia Treatment}, journal = {Comput. Speech Lang.}, volume = {69}, pages = {101221}, year = {2021}, url = {https://doi.org/10.1016/j.csl.2021.101221}, doi = {10.1016/J.CSL.2021.101221}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csl/BarberaHFUCDSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/CanalHTCMRFZAOP20, author = {Ramon Canal and Carles Hern{\'{a}}ndez and Rafael Tornero and Alessandro Cilardo and Giuseppe Massari and Federico Reghenzani and William Fornaciari and Marina Zapater and David Atienza and Ariel Oleksiak and Wojciech Piatek and Jaume Abella}, title = {Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives}, journal = {{ACM} Comput. Surv.}, volume = {53}, number = {5}, pages = {95:1--95:32}, year = {2021}, url = {https://doi.org/10.1145/3403956}, doi = {10.1145/3403956}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/CanalHTCMRFZAOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/PreumMMYSWAS21, author = {Sarah Masud Preum and Sirajum Munir and Meiyi Ma and Mohammad Samin Yasar and David J. Stone and Ronald D. Williams and Homa Alemzadeh and John A. Stankovic}, title = {A Review of Cognitive Assistants for Healthcare: Trends, Prospects, and Future Directions}, journal = {{ACM} Comput. Surv.}, volume = {53}, number = {6}, pages = {130:1--130:37}, year = {2021}, url = {https://doi.org/10.1145/3419368}, doi = {10.1145/3419368}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/PreumMMYSWAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/LindenCSBGB21, author = {David Lind{\'{e}}n and Marco Cinelli and Matteo Spada and William Becker and Patrick Gasser and Peter Burgherr}, title = {A framework based on statistical analysis and stakeholders' preferences to inform weighting in composite indicators}, journal = {Environ. Model. Softw.}, volume = {145}, pages = {105208}, year = {2021}, url = {https://doi.org/10.1016/j.envsoft.2021.105208}, doi = {10.1016/J.ENVSOFT.2021.105208}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/LindenCSBGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/BiroKMPABCDDHHJ21, author = {P{\'{e}}ter Bir{\'{o}} and Joris van de Klundert and David F. Manlove and William Pettersson and Tommy Andersson and Lisa Burnapp and Pavel Chromy and Pablo Delgado and Piotr Dworczak and Bernadette Haase and Aline Hemke and Rachel Johnson and Xenia Klimentova and Dirk Kuypers and Alessandro Nanni Costa and Bart Smeulders and Frits C. R. Spieksma and Mar{\'{\i}}a O. Valent{\'{\i}}n and Ana Viana}, title = {Modelling and optimisation in European Kidney Exchange Programmes}, journal = {Eur. J. Oper. Res.}, volume = {291}, number = {2}, pages = {447--456}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2019.09.006}, doi = {10.1016/J.EJOR.2019.09.006}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/BiroKMPABCDDHHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/TaniguchiSGA21, author = {L{\'{\i}}gia May Taniguchi and Jo{\~{a}}o Henrique Inacio de Souza and David William Marques Guerra and Taufik Abr{\~{a}}o}, title = {Resource efficiency and pilot decontamination in {XL-MIMO} double-scattering correlated channels}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {32}, number = {12}, year = {2021}, url = {https://doi.org/10.1002/ett.4365}, doi = {10.1002/ETT.4365}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/TaniguchiSGA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fbinf/HuCEABCDDFFFHHJ21, author = {Bin Hu and Shane Canon and Emiley A. Eloe{-}Fadrosh and Fnu Anubhav and Michal Babinski and Yuri Corilo and Karen Davenport and William D. Duncan and Kjiersten Fagnan and Mark Flynn and Brian Foster and David Hays and Marcel Huntemann and Elais Player Jackson and Julia Kelliher and Po{-}E Li and Chien{-}Chi Lo and Douglas Mans and Lee Ann McCue and Nigel Mouncey and Christopher J. Mungall and Paul D. Piehowski and Samuel O. Purvine and Montana Smith and Neha Jacob Varghese and Donald Winston and Yan Xu and Patrick S. G. Chain}, title = {Challenges in Bioinformatics Workflows for Processing Microbiome Omics Data at Scale}, journal = {Frontiers Bioinform.}, volume = {1}, year = {2021}, url = {https://doi.org/10.3389/fbinf.2021.826370}, doi = {10.3389/FBINF.2021.826370}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fbinf/HuCEABCDDFFFHHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/Gomes-OsmanSRCS21, author = {Joyce Gomes{-}Osman and Javier Solana{-}S{\'{a}}nch{\'{e}}z and Emily Rogers and Gabriele Cattaneo and William Souillard{-}Mandar and David Bates and Enrique J. G{\'{o}}mez and Josep Mar{\'{\i}}a Tormos Mu{\~{n}}oz and David Bartr{\'{e}}s{-}Faz and Alvaro Pascual{-}Leone}, title = {Aging in the Digital Age: Using Technology to Increase the Reach of the Clinician Expert and Close the Gap Between Health Span and Life Span}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {755008}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.755008}, doi = {10.3389/FDGTH.2021.755008}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fdgth/Gomes-OsmanSRCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/KayeGBWS21, author = {Leanne Kaye and Rahul Gondalia and Meredith A. Barrett and Melissa Williams and David A. Stempel}, title = {Concurrent Improvement Observed in Patient-Reported Burden and Sensor-Collected Medication Use Among Patients Enrolled in a {COPD} Digital Health Program}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {624261}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.624261}, doi = {10.3389/FDGTH.2021.624261}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/KayeGBWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/BiondiSHCC21, author = {Francesco N. Biondi and David L. Strayer and William J. Horrey and Joel M. Cooper and Joel A. Cort}, title = {Preface to the Special Section on Measuring Cognitive Workload in Human Factors}, journal = {Hum. Factors}, volume = {63}, number = {6}, year = {2021}, url = {https://doi.org/10.1177/00187208211039453}, doi = {10.1177/00187208211039453}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/BiondiSHCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/SmeuldersPVABCG21, author = {Bart Smeulders and William Pettersson and Ana Viana and Tommy Andersson and Catarina Bolotinha and Pavel Chromy and Margherita Gentile and Karine Hadaya and Aline Hemke and Xenia Klimentova and Dirk Kuypers and David F. Manlove and Matthew Robb and Antonij Slavcev and Paolo Tubertini and Mar{\'{\i}}a O. Valent{\'{\i}}n and Joris van de Klundert and Paolo Ferrari}, title = {Data and optimisation requirements for Kidney Exchange Programs}, journal = {Health Informatics J.}, volume = {27}, number = {2}, pages = {146045822110099}, year = {2021}, url = {https://doi.org/10.1177/14604582211009918}, doi = {10.1177/14604582211009918}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hij/SmeuldersPVABCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/Al-SharmanMCLZR21, author = {Mohammad K. Al{-}Sharman and David Murdoch and Dongpu Cao and Chen Lv and Yahya H. Zweiri and Derek Rayside and William Melek}, title = {A sensorless state estimation for a safety-oriented cyber-physical system in urban driving: Deep learning approach}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {8}, number = {1}, pages = {169--178}, year = {2021}, url = {https://doi.org/10.1109/JAS.2020.1003474}, doi = {10.1109/JAS.2020.1003474}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/Al-SharmanMCLZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeesp/StarkDOBEKS21, author = {Emily Stark and Joe DeBlasio and Devon O'Brien and Davide Balzarotti and William Enck and Samuel King and Angelos Stavrou}, title = {Certificate Transparency in Google Chrome: Past, Present, and Future}, journal = {{IEEE} Secur. Priv.}, volume = {19}, number = {6}, pages = {112--118}, year = {2021}, url = {https://doi.org/10.1109/MSEC.2021.3103461}, doi = {10.1109/MSEC.2021.3103461}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeesp/StarkDOBEKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcc/CleghernFMWHBR21, author = {Zachary Cleghern and Marc Foster and Sean Mealin and Evan Williams and Timothy Holder and Alper Bozkurt and David L. Roberts}, title = {A cloud data collection platform for canine behavioural prediction using objective sensor data}, journal = {Int. J. Cloud Comput.}, volume = {10}, number = {3}, pages = {247--264}, year = {2021}, url = {https://doi.org/10.1504/IJCC.2021.118012}, doi = {10.1504/IJCC.2021.118012}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcc/CleghernFMWHBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DwivediHIACCDDE21, author = {Yogesh K. Dwivedi and David Laurie Hughes and Elvira Ismagilova and Gert Aarts and Crispin R. Coombs and Tom Crick and Yanqing Duan and Rohita Dwivedi and John S. Edwards and Aled Eirug and Vassilis Galanos and P. Vigneswara Ilavarasan and Marijn Janssen and Paul Jones and Arpan Kumar Kar and Hatice Kizgin and Bianca Kronemann and Banita Lal and Michael D. Williams}, title = {Artificial Intelligence {(AI):} Multidisciplinary perspectives on emerging challenges, opportunities, and agenda for research, practice and policy}, journal = {Int. J. Inf. Manag.}, volume = {57}, pages = {101994}, year = {2021}, url = {https://doi.org/10.1016/j.ijinfomgt.2019.08.002}, doi = {10.1016/J.IJINFOMGT.2019.08.002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/DwivediHIACCDDE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwbc/BallwegRS21, author = {Christopher Ballweg and William H. Ross and Davide Secchi}, title = {'Do {I} want to work for him?' The effects of variations in content, source, and age of managers' social networking information on prospective job applicants' attitudes}, journal = {Int. J. Web Based Communities}, volume = {17}, number = {3}, pages = {217--246}, year = {2021}, url = {https://doi.org/10.1504/IJWBC.2021.116593}, doi = {10.1504/IJWBC.2021.116593}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwbc/BallwegRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/William-WestC21, author = {Tamunokuro Opubo William{-}West and Davide Ciucci}, title = {Decision-theoretic five-way approximation of fuzzy sets}, journal = {Inf. Sci.}, volume = {572}, pages = {200--222}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2021.04.105}, doi = {10.1016/J.INS.2021.04.105}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/William-WestC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ColeSRVHMGDPWE21, author = {Curtis L. Cole and Soumitra Sengupta and Sarah Collins Rossetti and David K. Vawdrey and Michael Halaas and Thomas M. Maddox and Geoff Gordon and Trushna Dave and Philip R. O. Payne and Andrew E. Williams and Deborah Estrin}, title = {Ten principles for data sharing and commercialization}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {3}, pages = {646--649}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa260}, doi = {10.1093/JAMIA/OCAA260}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/ColeSRVHMGDPWE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DiganNNWBBR21, author = {William Digan and Aur{\'{e}}lie N{\'{e}}v{\'{e}}ol and Antoine Neuraz and Maxime Wack and David Baudoin and Anita Burgun and Bastien Rance}, title = {Can reproducibility be improved in clinical natural language processing? {A} study of 7 clinical {NLP} suites}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {3}, pages = {504--515}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa261}, doi = {10.1093/JAMIA/OCAA261}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DiganNNWBBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/GordonGKMMK21, author = {William J. Gordon and Daniel Gottlieb and David A. Kreda and Joshua C. Mandel and Kenneth D. Mandl and Isaac S. Kohane}, title = {Patient-led data sharing for clinical bioinformatics research: {USCDI} and beyond}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {10}, pages = {2298--2300}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocab133}, doi = {10.1093/JAMIA/OCAB133}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/GordonGKMMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/HaendelCBEGKPPR21, author = {Melissa A. Haendel and Christopher G. Chute and Tellen D. Bennett and David A. Eichmann and Justin Guinney and Warren A. Kibbe and Philip R. O. Payne and Emily R. Pfaff and Peter N. Robinson and Joel H. Saltz and Heidi Spratt and Christine Suver and John Wilbanks and Adam B. Wilcox and Andrew E. Williams and Chunlei Wu and Clair Blacketer and Robert L. Bradford and James J. Cimino and Marshall Clark and Evan W. Colmenares and Patricia A. Francis and Davera Gabriel and Alexis Graves and Raju Hemadri and Stephanie S. Hong and George Hripcsak and Dazhi Jiao and Jeffrey G. Klann and Kristin Kostka and Adam M. Lee and Harold P. Lehmann and Lora Lingrey and Robert T. Miller and Michele Morris and Shawn N. Murphy and Karthik Natarajan and Matvey B. Palchuk and Usman Sheikh and Harold Solbrig and Shyam Visweswaran and Anita Walden and Kellie M. Walters and Griffin M. Weber and Xiaohan Tanner Zhang and Richard L. Zhu and Benjamin R. C. Amor and Andrew T. Girvin and Amin Manna and Nabeel Qureshi and Michael G. Kurilla and Sam G. Michael and Lili M. Portilla and Joni L. Rutter and Christopher P. Austin and Ken R. Gersing}, title = {The National {COVID} Cohort Collaborative {(N3C):} Rationale, design, infrastructure, and deployment}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {3}, pages = {427--443}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa196}, doi = {10.1093/JAMIA/OCAA196}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/HaendelCBEGKPPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ScheinkerHBPBPP21, author = {David Scheinker and Matt Hollingsworth and Anna Brody and Carey Phelps and William Bryant and Francesca Pei and Kristin Petersen and Alekhya Reddy and James Wall}, title = {The design and evaluation of a novel algorithm for automated preference card optimization}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {6}, pages = {1088--1097}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa275}, doi = {10.1093/JAMIA/OCAA275}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/ScheinkerHBPBPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/VerstraelenAPTK21, author = {Toon Verstraelen and William Adams and Leila Pujal and Alireza Tehrani and Braden D. Kelly and Luis Macaya and Fanwang Meng and Michael Richer and Raymundo Hern{\'{a}}ndez{-}Esparza and Xiaotian Derrick Yang and Matthew Chan and Taewon David Kim and Maarten Cools{-}Ceuppens and Valerii Chuiko and Esteban V{\"{o}}hringer{-}Martinez and Paul W. Ayers and Farnaz Heidar{-}Zadeh}, title = {IOData: {A} python library for reading, writing, and converting computational chemistry file formats and generating input files}, journal = {J. Comput. Chem.}, volume = {42}, number = {6}, pages = {458--464}, year = {2021}, url = {https://doi.org/10.1002/jcc.26468}, doi = {10.1002/JCC.26468}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/VerstraelenAPTK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/SanchezKFAGS21, author = {Jason E. Sanchez and Govinda B. Kc and Julian Franco and William J. Allen and Jesus David Garcia and Suman Sirimulla}, title = {BiasNet: {A} Model to Predict Ligand Bias Toward {GPCR} Signaling}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {9}, pages = {4190--4199}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.1c00317}, doi = {10.1021/ACS.JCIM.1C00317}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/SanchezKFAGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/KhatwaniHPHWHM21, author = {Mohit Khatwani and Hasib{-}Al Rashid and Hirenkumar Paneliya and Mark Horton and Nicholas R. Waytowich and W. David Hairston and Tinoosh Mohsenin}, title = {A Flexible Multichannel {EEG} Artifact Identification Processor using Depthwise-Separable Convolutional Neural Networks}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {17}, number = {2}, pages = {23:1--23:21}, year = {2021}, url = {https://doi.org/10.1145/3427471}, doi = {10.1145/3427471}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/KhatwaniHPHWHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/AdithyaWCKFWVC21, author = {Venkatesh Krishna Adithya and Bryan M. Williams and Silvester Czanner and Kavitha Srinivasan and David S. Friedman and Colin E. Willoughby and Rengaraj Venkatesh and Gabriela Czanner}, title = {EffUnet-SpaGen: An Efficient and Spatial Generative Approach to Glaucoma Detection}, journal = {J. Imaging}, volume = {7}, number = {6}, pages = {92}, year = {2021}, url = {https://doi.org/10.3390/jimaging7060092}, doi = {10.3390/JIMAGING7060092}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jimaging/AdithyaWCKFWVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisys/ChouHSWLW21, author = {Chi{-}Chun Chou and Nen{-}Chen Richard Hwang and Gary P. Schneider and Tawei Wang and Chang{-}Wei Li and William Wei}, title = {Using Smart Contracts to Establish Decentralized Accounting Contracts: An Example of Revenue Recognition}, journal = {J. Inf. Syst.}, volume = {35}, number = {3}, pages = {17--52}, year = {2021}, url = {https://doi.org/10.2308/isys-19-009}, doi = {10.2308/ISYS-19-009}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisys/ChouHSWLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocch/LovlieRSRWWBPT21, author = {Anders Sundnes L{\o}vlie and Karin Ryding and Jocelyn Spence and Paulina Rajkowska and Annika Waern and Tim Wray and Steve Benford and William Preston and Emily{-}Clare Thorn}, title = {Playing Games with Tito: Designing Hybrid Museum Experiences for Critical Play}, journal = {{ACM} Journal on Computing and Cultural Heritage}, volume = {14}, number = {2}, pages = {16:1--16:26}, year = {2021}, url = {https://doi.org/10.1145/3446620}, doi = {10.1145/3446620}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocch/LovlieRSRWWBPT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/QuinnLDNW21, author = {Andrew J. Quinn and Vitor Lopes{-}dos{-}Santos and David Dupret and Anna Christina Nobre and Mark W. Woolrich}, title = {{EMD:} Empirical Mode Decomposition and Hilbert-Huang Spectral Analyses in Python}, journal = {J. Open Source Softw.}, volume = {6}, number = {59}, pages = {2977}, year = {2021}, url = {https://doi.org/10.21105/joss.02977}, doi = {10.21105/JOSS.02977}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/QuinnLDNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/FungHNT21, author = {William Fung and David Hsieh and Narayan Naik and Melvyn Teo}, title = {Hedge Fund Franchises}, journal = {Manag. Sci.}, volume = {67}, number = {2}, pages = {1199--1226}, year = {2021}, url = {https://doi.org/10.1287/mnsc.2019.3516}, doi = {10.1287/MNSC.2019.3516}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mansci/FungHNT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DallyKK21, author = {William J. Dally and Stephen W. Keckler and David Blair Kirk}, title = {Evolution of the Graphics Processing Unit {(GPU)}}, journal = {{IEEE} Micro}, volume = {41}, number = {6}, pages = {42--51}, year = {2021}, url = {https://doi.org/10.1109/MM.2021.3113475}, doi = {10.1109/MM.2021.3113475}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/DallyKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/CaseyDPDDGGSTWW21, author = {Arlene Casey and Emma M. Davidson and Michael Tin Chung Poon and Hang Dong and Daniel Duma and Andreas Grivas and Claire Grover and V{\'{\i}}ctor Su{\'{a}}rez{-}Paniagua and Richard Tobin and William Whiteley and Honghan Wu and Beatrice Alex}, title = {A systematic review of natural language processing applied to radiology reports}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {179}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01533-7}, doi = {10.1186/S12911-021-01533-7}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/CaseyDPDDGGSTWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/CoulsonBRSWHCP21, author = {Tim G. Coulson and Michael Bailey and Chris Reid and Gil Shardey and Jenni Williams{-}Spence and Sue Huckson and Shaila Chavan and David Pilcher}, title = {Linkage of Australian national registry data using a statistical linkage key}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {37}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01393-1}, doi = {10.1186/S12911-021-01393-1}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/CoulsonBRSWHCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TamGSVFCCMWMB21, author = {Charmaine S. Tam and Janice Gullick and Aldo Saavedra and Stephen T. Vernon and Gemma A. Figtree and Clara K. Chow and Michelle Cretikos and Richard W. Morris and Maged William and Jonathan Morris and David Brieger}, title = {Combining structured and unstructured data in EMRs to create clinically-defined EMR-derived cohorts}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {91}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01441-w}, doi = {10.1186/S12911-021-01441-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/TamGSVFCCMWMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/CuocoPCABCCCEEG21, author = {Elena Cuoco and Jade Powell and Marco Cavagli{\`{a}} and Kendall Ackley and Michal Bejger and Chayan Chatterjee and Michael Coughlin and Scott Coughlin and Paul Easter and Reed Essick and Hunter Gabbard and Timothy Gebhard and Shaon Ghosh and Le{\"{\i}}la Haegel and Alberto Iess and David Keitel and Zsuzsa M{\'{a}}rka and Szabolcs M{\'{a}}rka and Filip Morawski and Tri Nguyen and Rich Ormiston and Michael P{\"{u}}rrer and Massimiliano Razzano and Kai Staats and Gabriele Vajente and Daniel Williams}, title = {Enhancing gravitational-wave science with machine learning}, journal = {Mach. Learn. Sci. Technol.}, volume = {2}, number = {1}, pages = {11002}, year = {2021}, url = {https://doi.org/10.1088/2632-2153/abb93a}, doi = {10.1088/2632-2153/ABB93A}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/CuocoPCABCCCEEG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/GonzalezZSSRRPN21, author = {Jairo Navarro Gonzalez and Ann S. Zweig and Matthew L. Speir and Daniel Schmelter and Kate R. Rosenbloom and Brian J. Raney and Conner C. Powell and Luis R. Nassar and Nathan D. Maulding and Christopher M. Lee and Brian T. Lee and Angie S. Hinrichs and Alastair C. Fyfe and Jason D. Fernandes and Mark Diekhans and Hiram Clawson and Jonathan Casper and Anna Benet{-}Pag{\`{e}}s and Galt P. Barber and David Haussler and Robert M. Kuhn and Maximilian Haeussler and W. James Kent}, title = {The {UCSC} Genome Browser database: 2021 update}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D1046--D1057}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1070}, doi = {10.1093/NAR/GKAA1070}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/GonzalezZSSRRPN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/ElmarakebyHACGL21, author = {Haitham Elmarakeby and Justin Hwang and Rand Arafeh and Jett Crowdis and Sydney Gang and David Liu and Saud H. AlDubayan and Keyan Salari and Steven Kregel and Camden Richter and Taylor E. Arnoff and Jihye Park and William C. Hahn and Eliezer M. Van Allen}, title = {Biologically informed deep neural network for prostate cancer discovery}, journal = {Nat.}, volume = {598}, number = {7880}, pages = {348--352}, year = {2021}, url = {https://doi.org/10.1038/s41586-021-03922-4}, doi = {10.1038/S41586-021-03922-4}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/ElmarakebyHACGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/MonroeSOT21, author = {William S. Monroe and Frank M. Skidmore and David G. Odaibo and Murat M. Tanik}, title = {HihO: accelerating artificial intelligence interpretability for medical imaging in IoT applications using hierarchical occlusion}, journal = {Neural Comput. Appl.}, volume = {33}, number = {11}, pages = {6027--6038}, year = {2021}, url = {https://doi.org/10.1007/s00521-020-05379-4}, doi = {10.1007/S00521-020-05379-4}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/MonroeSOT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BarriereESAMCMM21, author = {David Andr{\'{e}} Barri{\`{e}}re and Ars{\`{e}}ne Ella and Fr{\'{e}}d{\'{e}}ric Szeremeta and Hans Adriaensen and William M{\^{e}}me and Elodie Chaillou and Martine Migaud and Sandra M{\^{e}}me and Fr{\'{e}}d{\'{e}}ric L{\'{e}}vy and Matthieu Keller}, title = {Brain orchestration of pregnancy and maternal behavior in mice: {A} longitudinal morphometric study}, journal = {NeuroImage}, volume = {230}, pages = {117776}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117776}, doi = {10.1016/J.NEUROIMAGE.2021.117776}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BarriereESAMCMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/DimitrovaPCFWCC21, author = {Ralica Dimitrova and Maximilian Pietsch and Judit Ciarrusta and Sean P. Fitzgibbon and Logan Z. J. Williams and Daan Christiaens and Lucilio Cordero{-}Grande and Dafnis Batalle and Antonios Makropoulos and Andreas Schuh and Anthony N. Price and Jana Hutter and Rui Pedro A. G. Teixeira and Emer J. Hughes and Andrew Chew and Shona Falconer and Olivia Carney and Alexia Egloff and Jacques{-}Donald Tournier and Grainne M. McAlonan and Mary A. Rutherford and Serena J. Counsell and Emma C. Robinson and Joseph V. Hajnal and Daniel Rueckert and A. David Edwards and Jonathan O'Muircheartaigh}, title = {Preterm birth alters the development of cortical microstructure and morphology at term-equivalent age}, journal = {NeuroImage}, volume = {243}, pages = {118488}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118488}, doi = {10.1016/J.NEUROIMAGE.2021.118488}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/DimitrovaPCFWCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuangWKSDDRRKYF21, author = {Susie Yi Huang and Thomas Witzel and Boris Keil and Alina Scholz and Mathias Davids and Peter Dietz and Elmar Rummert and Rebecca Ramb and John E. Kirsch and Anastasia Yendiki and Qiuyun Fan and Qiyuan Tian and Gabriel Ramos{-}Llord{\'{e}}n and Hong{-}Hsi Lee and Aapo Nummenmaa and Berkin Bilgic and Kawin Setsompop and Fuyixue Wang and Alexandru Vlad Avram and Michal E. Komlosh and Dan Benjamini and Kulam Najmudeen Magdoom and Sudhir K. Pathak and Walter Schneider and Dmitry S. Novikov and Els Fieremans and Slimane Tounekti and Choukri Mekkaoui and Jean Augustinack and Daniel R. Berger and Alexander Shapson{-}Coe and Jeff Lichtman and Peter J. Basser and Lawrence L. Wald and Bruce R. Rosen}, title = {Connectome 2.0: Developing the next-generation ultra-high gradient strength human {MRI} scanner for bridging studies of the micro-, meso- and macro-connectome}, journal = {NeuroImage}, volume = {243}, pages = {118530}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118530}, doi = {10.1016/J.NEUROIMAGE.2021.118530}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HuangWKSDDRRKYF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuiMZAABBBBBBBB21, author = {Steve C. N. Hui and Mark Mikkelsen and Helge J. Z{\"{o}}llner and Vishwadeep Ahluwalia and Sarael Alcauter and Laima Baltusis and Deborah A. Barany and Laura R. Barlow and Robert Becker and Jeffrey I. Berman and Adam Berrington and Pallab K. Bhattacharyya and Jakob Udby Blicher and Wolfgang Bogner and Mark S. Brown and Vince D. Calhoun and Ryan Castillo and Kim M. Cecil and Richard A. E. Edden and Yeo Bi Choi and Winnie C. W. Chu and William T. Clarke and Alexander R. Craven and Koen Cuypers and Michael Dacko and Camilo de la Fuente{-}Sandoval and Patricia Desmond and Aleksandra Domagalik and Julien Dumont and Niall W. Duncan and Ulrike Dydak and Katherine Dyke and David A. Edmondson and Gabriele Ende and Lars Ersland and C. John Evans and Alan S. R. Fermin and Antonio Ferretti and Ariane Fillmer and Tao Gong and Ian Greenhouse and James T. Grist and Meng Gu and Ashley D. Harris and Katarzyna Hat and Stefanie Heba and Eva Heckova and John P. Hegarty and Kirstin{-}Friederike Heise and Shiori Honda and Aaron Jacobson and Jacobus F. A. Jansen and Christopher W. Jenkins and Stephen J. Johnston and Christoph Juchem and Alayar Kangarlu and Adam B. Kerr and Karl Landheer and Thomas Lange and Phil Lee and Swati Rane Levendovszky and Catherine Limperopoulos and Feng Liu and William Lloyd and David J. Lythgoe and Maro G. Machizawa and Erin L. MacMillan and Richard J. Maddock and Andrei V. Manzhurtsev and Mar{\'{\i}}a L. Martinez{-}Gudino and Jack J. Miller and Heline Mirzakhanian and Marta Moreno{-}Ortega and Paul G. Mullins and Shinichiro Nakajima and Jamie Near and Ralph Noeske and Wibeke Nordh{\o}y and Georg Oeltzschner and Raul Osorio{-}Duran and Mar{\'{\i}}a Concepci{\'{o}}n Garc{\'{\i}}a Otaduy and Erick H. Pasaye and Ronald Peeters and Scott J. Peltier and Ulrich Pilatus and Nenad Polomac and Eric C. Porges and Subechhya Pradhan and James Joseph Prisciandaro and Nicolaas A. Puts and Caroline D. Rae and Francisco Reyes{-}Madrigal and Timothy P. L. Roberts and Caroline E. Robertson and Jens T. Rosenberg and Diana{-}Georgiana Rotaru and Ruth L. O'Gorman Tuura and Muhammad G. Saleh and Kristian Sandberg and Ryan Sangill and Keith Schembri and Anouk Schrantee and Natalia A. Semenova and Debra Singel and Rouslan Sitnikov and Jolinda Smith and Yulu Song and Craig E. L. Stark and Diederick Stoffers and Stephan P. Swinnen and Rongwen Tain and Costin Tanase and Sofie Tapper and Martin Tegenthoff and Thomas Thiel and Marc Thioux and Peter Truong and Pim van Dijk and Nolan Vella and Rishma Vidyasagar and Andrej Vovk and Guangbin Wang and Lars T. Westlye and Timothy K. Wilbur and William R. Willoughby and Martin Wilson and Hans{-}J{\"{o}}rg Wittsack and Adam J. Woods and Yen{-}Chien Wu and Junqian Xu and Maria Yanez Lopez and David Ka Wai Yeung and Qun Zhao and Xiaopeng Zhou and Gasper Zupan}, title = {Frequency drift in {MR} spectroscopy at 3T}, journal = {NeuroImage}, volume = {241}, pages = {118430}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118430}, doi = {10.1016/J.NEUROIMAGE.2021.118430}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuiMZAABBBBBBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhaoFCSGISKHHOW21, author = {Moss Y. Zhao and Audrey P. Fan and David Yen{-}Ting Chen and Magdalena J. Sokolska and Jia Guo and Yosuke Ishii and David D. Shin and Mohammad Mehdi Khalighi and Dawn Holley and Kim Halbert and Andrea Otte and Brittney Williams and Taghi Rostami and Jun{-}Hyung Park and Bin Shen and Greg Zaharchuk}, title = {Cerebrovascular reactivity measurements using simultaneous \({}^{\mbox{15}}\)O-water {PET} and {ASL} {MRI:} Impacts of arterial transit time, labeling efficiency, and hematocrit}, journal = {NeuroImage}, volume = {233}, pages = {117955}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117955}, doi = {10.1016/J.NEUROIMAGE.2021.117955}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhaoFCSGISKHHOW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/HansenYLRKCFWSR21, author = {Colin B. Hansen and Qi Yang and Ilwoo Lyu and Francois Rheault and Cailey I. Kerley and Bramsh Qamar Chandio and Shreyas Fadnavis and Owen A. Williams and Andrea T. Shafer and Susan M. Resnick and David H. Zald and Laurie E. Cutting and Warren D. Taylor and Brian D. Boyd and Eleftherios Garyfallidis and Adam W. Anderson and Maxime Descoteaux and Bennett A. Landman and Kurt G. Schilling}, title = {Pandora: 4-D White Matter Bundle Population-Based Atlases Derived from Diffusion {MRI} Fiber Tractography}, journal = {Neuroinformatics}, volume = {19}, number = {3}, pages = {447--460}, year = {2021}, url = {https://doi.org/10.1007/s12021-020-09497-1}, doi = {10.1007/S12021-020-09497-1}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ni/HansenYLRKCFWSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/AbuegHWLPWESRDC21, author = {Matthew Abueg and Robert Hinch and Neo Wu and Luyang Liu and William J. M. Probert and Austin Wu and Paul Eastham and Yusef Shafi and Matt Rosencrantz and Michael Dikovsky and Zhao Cheng and Anel Nurtay and Lucie Abeler{-}D{\"{o}}rner and David G. Bonsall and Michael V. McConnell and Shawn O'Banion and Christophe Fraser}, title = {Modeling the effect of exposure notification and non-pharmaceutical interventions on {COVID-19} transmission in Washington state}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00422-7}, doi = {10.1038/S41746-021-00422-7}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/AbuegHWLPWESRDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ShamoutSWK0MJWW21, author = {Farah E. Shamout and Yiqiu Shen and Nan Wu and Aakash Kaku and Jungkyu Park and Taro Makino and Stanislaw Jastrzebski and Jan Witowski and Duo Wang and Ben Zhang and Siddhant Dogra and Meng Cao and Narges Razavian and David Kudlowitz and Lea Azour and William Moore and Yvonne W. Lui and Yindalon Aphinyanaphongs and Carlos Fernandez{-}Granda and Krzysztof J. Geras}, title = {An artificial intelligence system for predicting the deterioration of {COVID-19} patients in the emergency department}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00453-0}, doi = {10.1038/S41746-021-00453-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/ShamoutSWK0MJWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/TeoDBDOBBD21, author = {James T. Teo and Vlad Dinu and William Bernal and Phil Davidson and Vitaliy Oliynyk and Cormac Breen and Richard D. Barker and Richard J. B. Dobson}, title = {Real-time clinician text feeds from electronic health records}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00406-7}, doi = {10.1038/S41746-021-00406-7}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/TeoDBDOBBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KrugerWLRW21, author = {Max Kr{\"{u}}ger and Anne Weibert and D{\'{e}}bora de Castro Leal and Dave Randall and Volker Wulf}, title = {"What is the Topic of the Group, Please?" On Migration, Care and the Challenges of Participation in Design}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW2}}, pages = {309:1--309:29}, year = {2021}, url = {https://doi.org/10.1145/3476050}, doi = {10.1145/3476050}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KrugerWLRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/LealKRCGRW21, author = {D{\'{e}}bora de Castro Leal and Max Kr{\"{u}}ger and Pedro Reynolds{-}Cu{\'{e}}llar and Amparo Caicedo and Carlos G{\'{o}}mez and Dave Randall and Volker Wulf}, title = {Growing Together, Remaining Apart: The Role of Digital Technology in Former Guerrilla Fighters' Social Capital}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW2}}, pages = {331:1--331:24}, year = {2021}, url = {https://doi.org/10.1145/3476072}, doi = {10.1145/3476072}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/LealKRCGRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/BlairBBBBBDDEFF21, author = {Gordon S. Blair and Richard Bassett and Lucy Bastin and Lindsay Beevers and Maribel Isabel Borrajo and Mike Brown and Sarah L. Dance and Ada Dionescu and Liz Edwards and Maria Angela Ferrario and Rob Fraser and Harriet Fraser and Simon Gardner and Peter A. Henrys and Tony Hey and Stuart Homann and Chantal Huijbers and James Hutchison and Phil Jonathan and Rob Lamb and Sophie Laurie and Amber Leeson and David Leslie and Malcolm McMillan and Vatsala Nundloll and Oluwole K. Oyebamiji and Jordan Phillipson and Vicky Pope and Rachel Prudden and Stefan Reis and Maria Salama and Faiza Samreen and Dino Sejdinovic and Will Simm and Roger Street and Lauren Thornton and Ross Towe and Joshua Vande Hey and Massimo Vieno and Joanne A. Waller and John Watkins}, title = {The Role of Digital Technologies in Responding to the Grand Challenges of the Natural Environment: The Windermere Accord}, journal = {Patterns}, volume = {2}, number = {1}, pages = {100156}, year = {2021}, url = {https://doi.org/10.1016/j.patter.2020.100156}, doi = {10.1016/J.PATTER.2020.100156}, timestamp = {Sat, 25 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/BlairBBBBBDDEFF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Williams-YoungB21, author = {David B. Williams{-}Young and Abhishek Bagusetty and Wibe A. de Jong and Douglas Doerfler and Hubertus Johannes Jacobus Van Dam and {\'{A}}lvaro V{\'{a}}zquez{-}Mayagoitia and Theresa L. Windus and Chao Yang}, title = {Achieving performance portability in Gaussian basis set density functional theory on accelerator based architectures in NWChemEx}, journal = {Parallel Comput.}, volume = {108}, pages = {102829}, year = {2021}, url = {https://doi.org/10.1016/j.parco.2021.102829}, doi = {10.1016/J.PARCO.2021.102829}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Williams-YoungB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/AydinHDDCB21, author = {Ali{-}Kemal Aydin and William Davis Haselden and Julie Dang and Patrick J. Drew and Serge Charpak and Davide Boido}, title = {Iliski, a software for robust calculation of transfer functions}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {6}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1008614}, doi = {10.1371/JOURNAL.PCBI.1008614}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/AydinHDDCB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/HinchPNKWHLCZSF21, author = {Robert Hinch and William J. M. Probert and Anel Nurtay and Michelle Kendall and Chris Wymant and Matthew Hall and Katrina A. Lythgoe and Ana Bulas Cruz and Lele Zhao and Andrea Stewart and Luca Ferretti and Daniel Montero and James Warren and Nicole Mather and Matthew Abueg and Neo Wu and Olivier Legat and Katie Bentley and Thomas Mead and Kelvin Van{-}Vuuren and Dylan Feldner{-}Busztin and Tommaso Ristori and Anthony Finkelstein and David G. Bonsall and Lucie Abeler{-}D{\"{o}}rner and Christophe Fraser}, title = {OpenABM-Covid19 - An agent-based model for non-pharmaceutical interventions against {COVID-19} including contact tracing}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {7}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1009146}, doi = {10.1371/JOURNAL.PCBI.1009146}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/HinchPNKWHLCZSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/RamseyMRALRZLFG21, author = {Jolene Ramsey and Brenley K. McIntosh and Daniel P. Renfro and Suzanne A. Aleksander and Sandra A. LaBonte and Curtis Ross and Adrienne E. Zweifel and Nathan Liles and Shabnam Farrar and Jason J. Gill and Ivan Erill and Sarah Ades and Tanya Z. Berardini and Jennifer A. Bennett and Siobhan M. Brady and Robert Britton and Seth Carbon and Steven M. Caruso and Dave Clements and Ritu Dalia and Meredith Defelice and Erin L. Doyle and Iddo Friedberg and Susan M. R. Gurney and Lee Hughes and Allison Johnson and Jason M. Kowalski and Donghui Li and Ruth C. Lovering and Tamara L. Mans and Fiona McCarthy and Sean D. Moore and Rebecca Murphy and Timothy D. Paustian and Sarah Perdue and Celeste N. Peterson and Birgit M. Pr{\"{u}}{\ss} and Margaret S. Saha and Robert R. Sheehy and John T. Tansey and Louise Temple and Alexander William Thorman and Saul Trevino and Amy Cheng Vollmer and Virginia Walbot and Joanne Willey and Deborah A. Siegele and James C. Hu}, title = {Crowdsourcing biocuration: The Community Assessment of Community Annotation with Ontologies {(CACAO)}}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {10}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1009463}, doi = {10.1371/JOURNAL.PCBI.1009463}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/RamseyMRALRZLFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/SchaberPLWKPERC21, author = {Kathryn L. Schaber and T. Alex Perkins and Alun L. Lloyd and Lance A. Waller and Uriel Kitron and Valerie A. Paz{-}Soldan and John P. Elder and Alan L. Rothman and David J. Civitello and William H. Elson and Amy C. Morrison and Thomas W. Scott and Gonzalo M. Vazquez{-}Prokopec}, title = {Disease-driven reduction in human mobility influences human-mosquito contacts and dengue transmission dynamics}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {1}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1008627}, doi = {10.1371/JOURNAL.PCBI.1008627}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/SchaberPLWKPERC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/SturnioloWCMP21, author = {Simone Sturniolo and William Waites and Tim Colbourn and David Manheim and Jasmina Panovska{-}Griffiths}, title = {Testing, tracing and isolation in compartmental models}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {3}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1008633}, doi = {10.1371/JOURNAL.PCBI.1008633}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/SturnioloWCMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/TschoppECHPSCN21, author = {Florian Tschopp and Cornelius von Einem and Andrei Cramariuc and David Hug and Andrew William Palmer and Roland Siegwart and Margarita Chli and Juan I. Nieto}, title = {Hough{\textdollar}2{\textdollar}Map - Iterative Event-Based Hough Transform for High-Speed Railway Mapping}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {2}, pages = {2745--2752}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3061404}, doi = {10.1109/LRA.2021.3061404}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/TschoppECHPSCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AeberliJRLP21, author = {Aaron Aeberli and Kasper Johansen and Andrew James Robson and David W. Lamb and Stuart R. Phinn}, title = {Detection of Banana Plants Using Multi-Temporal Multispectral {UAV} Imagery}, journal = {Remote. Sens.}, volume = {13}, number = {11}, pages = {2123}, year = {2021}, url = {https://doi.org/10.3390/rs13112123}, doi = {10.3390/RS13112123}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AeberliJRLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MilneMRVSBWWB21, author = {Sol Milne and Julien G. A. Martin and Glen Reynolds and Charles S. Vairappan and Eleanor M. Slade and Jedediah F. Brodie and Serge A. Wich and Nicola Williamson and David F. R. P. Burslem}, title = {Drivers of Bornean Orangutan Distribution across a Multiple-Use Tropical Landscape}, journal = {Remote. Sens.}, volume = {13}, number = {3}, pages = {458}, year = {2021}, url = {https://doi.org/10.3390/rs13030458}, doi = {10.3390/RS13030458}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MilneMRVSBWWB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/HughesZBWPYZ21, author = {William Hughes and Wei Zhang and Amvrossios C. Bagtzoglou and David W. Wanik and Osvaldo Pensado and Hao Yuan and Jintao Zhang}, title = {Damage modeling framework for resilience hardening strategy for overhead power distribution systems}, journal = {Reliab. Eng. Syst. Saf.}, volume = {207}, pages = {107367}, year = {2021}, url = {https://doi.org/10.1016/j.ress.2020.107367}, doi = {10.1016/J.RESS.2020.107367}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/HughesZBWPYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhangM21, author = {Haoyuan Zhang and D. William R. Marsh}, title = {Managing infrastructure asset: Bayesian networks for inspection and maintenance decisions reasoning and planning}, journal = {Reliab. Eng. Syst. Saf.}, volume = {207}, pages = {107328}, year = {2021}, url = {https://doi.org/10.1016/j.ress.2020.107328}, doi = {10.1016/J.RESS.2020.107328}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/ZhangM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rjour/MorinaHN21, author = {David Mori{\~{n}}a and Gilma Hern{\'{a}}ndez{-}Herrera and Albert Navarro}, title = {miRecSurv Package: Prentice-Williams-Peterson Models with Multiple Imputation of Unknown Number of Previous Episodes}, journal = {R J.}, volume = {13}, number = {2}, pages = {321}, year = {2021}, url = {https://doi.org/10.32614/rj-2021-082}, doi = {10.32614/RJ-2021-082}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rjour/MorinaHN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/RoderickCL21, author = {William R. T. Roderick and Mark R. Cutkosky and David Lentink}, title = {Bird-inspired dynamic grasping and perching in arboreal environments}, journal = {Sci. Robotics}, volume = {6}, number = {61}, year = {2021}, url = {https://doi.org/10.1126/scirobotics.abj7562}, doi = {10.1126/SCIROBOTICS.ABJ7562}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/RoderickCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BesharaAPW21, author = {Peter Beshara and David B. Anderson and Matthew Pelletier and William Robert Walsh}, title = {The Reliability of the Microsoft Kinect and Ambulatory Sensor-Based Motion Tracking Devices to Measure Shoulder Range-of-Motion: {A} Systematic Review and Meta-Analysis}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8186}, year = {2021}, url = {https://doi.org/10.3390/s21248186}, doi = {10.3390/S21248186}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BesharaAPW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FaheyPGSSGL21, author = {Thomas Fahey and Hai Pham and Alessandro Gardi and Roberto Sabatini and Dario Stefanelli and Ian Goodwin and David William Lamb}, title = {Active and Passive Electro-Optical Sensors for Health Assessment in Food Crops}, journal = {Sensors}, volume = {21}, number = {1}, pages = {171}, year = {2021}, url = {https://doi.org/10.3390/s21010171}, doi = {10.3390/S21010171}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FaheyPGSSGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigact/Nagaraj21, author = {S. V. Nagaraj}, title = {Review of Network Flow Algorithms David P. Williamson}, journal = {{SIGACT} News}, volume = {52}, number = {1}, pages = {12--15}, year = {2021}, url = {https://doi.org/10.1145/3457588.3457592}, doi = {10.1145/3457588.3457592}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigact/Nagaraj21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/SmithRWTKTM21, author = {William L. Smith and Henry E. Revercomb and Elisabeth Weisz and David C. Tobin and Robert O. Knuteson and Joe K. Taylor and W. Paul Menzel}, title = {Hyperspectral Satellite Radiance Atmospheric Profile Information Content and Its Dependence on Spectrometer Technology}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {4720--4736}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3073482}, doi = {10.1109/JSTARS.2021.3073482}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/SmithRWTKTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/CremonaFZ21, author = {Luca Cremona and William Fornaciari and Davide Zoni}, title = {Automatic identification and hardware implementation of a resource-constrained power model for embedded systems}, journal = {Sustain. Comput. Informatics Syst.}, volume = {29}, number = {Part}, pages = {100467}, year = {2021}, url = {https://doi.org/10.1016/j.suscom.2020.100467}, doi = {10.1016/J.SUSCOM.2020.100467}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/CremonaFZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/ZoniGF21, author = {Davide Zoni and Andrea Galimberti and William Fornaciari}, title = {An {FPU} design template to optimize the accuracy-efficiency-area trade-off}, journal = {Sustain. Comput. Informatics Syst.}, volume = {29}, number = {Part}, pages = {100450}, year = {2021}, url = {https://doi.org/10.1016/j.suscom.2020.100450}, doi = {10.1016/J.SUSCOM.2020.100450}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/ZoniGF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/DieselhorstCBR21, author = {Tobias Dieselhorst and William Cook and Sebastiano Bernuzzi and David Radice}, title = {Machine Learning for Conservative-to-Primitive in Relativistic Hydrodynamics}, journal = {Symmetry}, volume = {13}, number = {11}, pages = {2157}, year = {2021}, url = {https://doi.org/10.3390/sym13112157}, doi = {10.3390/SYM13112157}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/DieselhorstCBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/GudkovKMMNPRSSW21, author = {Vladimir Gudkov and Esben Klinby and Bernhard Meirose and David Milstead and Valery V. Nesvizhevsky and Konstantin V. Protasov and Nicola Rizzi and Valentina Santoro and William Michael Snow and Richard Wagner and Sze{-}Chun Yiu}, title = {A Possible Neutron-Antineutron Oscillation Experiment at {PF1B} at the Institut Laue Langevin}, journal = {Symmetry}, volume = {13}, number = {12}, pages = {2314}, year = {2021}, url = {https://doi.org/10.3390/sym13122314}, doi = {10.3390/SYM13122314}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/GudkovKMMNPRSSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WatsonJGMDC21, author = {Cooper K. Watson and William Julius and Matthew Gorban and David D. McNutt and Eric W. Davis and Gerald B. Cleaver}, title = {An Invariant Characterization of the Levi-Civita Spacetimes}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1469}, year = {2021}, url = {https://doi.org/10.3390/sym13081469}, doi = {10.3390/SYM13081469}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/WatsonJGMDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/MengLSMISPPHAYV21, author = {Baoluo Meng and Daniel Larraz and Kit Siu and Abha Moitra and John Interrante and William Smith and Saswata Paul and Daniel Prince and Heber Herencia{-}Zapana and M. Fareed Arif and Moosa Yahyazadeh and Vidhya Tekken Valapil and Michael Durling and Cesare Tinelli and Omar Chowdhury}, title = {{VERDICT:} {A} Language and Framework for Engineering Cyber Resilient and Safe System}, journal = {Syst.}, volume = {9}, number = {1}, pages = {18}, year = {2021}, url = {https://doi.org/10.3390/systems9010018}, doi = {10.3390/SYSTEMS9010018}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/MengLSMISPPHAYV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/QureshiSZOA21, author = {Yasir Mahmood Qureshi and William Andrew Simon and Marina Zapater and Katzalin Olcoz and David Atienza}, title = {Gem5-X: {A} Many-core Heterogeneous Simulation Platform for Architectural Exploration and Optimization}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {18}, number = {4}, pages = {44:1--44:27}, year = {2021}, url = {https://doi.org/10.1145/3461662}, doi = {10.1145/3461662}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/QureshiSZOA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/JohnsonMRVLA21, author = {William R. Johnson and Ajmal Mian and Mark A. Robinson and Jasper Verheul and David G. Lloyd and Jacqueline A. Alderson}, title = {Multidimensional Ground Reaction Forces and Moments From Wearable Sensor Accelerations via Deep Learning}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {1}, pages = {289--297}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3006158}, doi = {10.1109/TBME.2020.3006158}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/JohnsonMRVLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/MinnisS0CYSHABY21, author = {Patrick Minnis and Szedung Sun{-}Mack and Yan Chen and Fu{-}Lung Chang and Christopher R. Yost and William L. Smith and Patrick W. Heck and Robert F. Arduini and Sarah T. Bedka and Yuhong Yi and Gang Hong and Zhonghai Jin and David Painemal and Rabindra Palikonda and Benjamin R. Scarino and Douglas A. Spangenberg and Rita A. Smith and Qing Z. Trepte and Ping Yang and Yu Xie}, title = {{CERES} {MODIS} Cloud Product Retrievals for Edition 4 - Part {I:} Algorithm Changes}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {4}, pages = {2744--2780}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3008866}, doi = {10.1109/TGRS.2020.3008866}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/MinnisS0CYSHABY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thri/Feil-SeiferH0W021, author = {David Feil{-}Seifer and Kerstin Sophie Haring and Silvia Rossi and Alan R. Wagner and Tom Williams}, title = {Where to Next? The Impact of {COVID-19} on Human-Robot Interaction Research}, journal = {{ACM} Trans. Hum. Robot Interact.}, volume = {10}, number = {1}, pages = {1:1--1:7}, year = {2021}, url = {https://doi.org/10.1145/3405450}, doi = {10.1145/3405450}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thri/Feil-SeiferH0W021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/TrentinSTGDCGGX21, author = {Andrew Trentin and Giacomo Sala and Luca Tarisciotti and Alessandro Galassini and Michele Degano and Peter H. Connor and Dmitry Golovanov and David Gerada and Zeyuan Xu and Antonino la Rocca and Carol N. Eastwick and Stephen J. Pickering and Patrick Wheeler and Jon C. Clare and Chris Gerada}, title = {Research and Realization of High-Power Medium-Voltage Active Rectifier Concepts for Future Hybrid-Electric Aircraft Generation}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {12}, pages = {11684--11695}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3040692}, doi = {10.1109/TIE.2020.3040692}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/TrentinSTGDCGGX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tochi/LealKTTCRW21, author = {D{\'{e}}bora de Castro Leal and Max Kr{\"{u}}ger and Vanessa Teles E. Teles and Carlos Ant{\^{o}}nio Teles E. Teles and Denise Machado Cardoso and Dave Randall and Volker Wulf}, title = {Digital Technology at the Edge of Capitalism: Experiences from the Brazilian Amazon Rainforest}, journal = {{ACM} Trans. Comput. Hum. Interact.}, volume = {28}, number = {3}, pages = {18:1--18:39}, year = {2021}, url = {https://doi.org/10.1145/3448072}, doi = {10.1145/3448072}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tochi/LealKTTCRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/HarrisB0BMMV21, author = {David J. Harris and Gavin Buckingham and Mark R. Wilson and Jack Brookes and Faisal Mushtaq and Mark Mon{-}Williams and Samuel J. Vine}, title = {Exploring sensorimotor performance and user experience within a virtual reality golf putting simulator}, journal = {Virtual Real.}, volume = {25}, number = {3}, pages = {647--654}, year = {2021}, url = {https://doi.org/10.1007/s10055-020-00480-4}, doi = {10.1007/S10055-020-00480-4}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vr/HarrisB0BMMV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/RogersMCRNSWSDA21, author = {Jeffrey M. Rogers and Nick Mumford and Karen Caeyenberghs and Hannah Richards and Nienke Nuijen and Bert Steenbergen and Gavin Williams and David H. K. Shum and Jonathan Duckworth and Natalie Amos and Peter H. Wilson}, title = {Co-located (multi-user) virtual rehabilitation of acquired brain injury: feasibility of the Resonance system for upper-limb training}, journal = {Virtual Real.}, volume = {25}, number = {3}, pages = {719--730}, year = {2021}, url = {https://doi.org/10.1007/s10055-020-00486-y}, doi = {10.1007/S10055-020-00486-Y}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/RogersMCRNSWSDA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/ChapkoREFKMOOSS21, author = {Dorota Chapko and Pedro Andr{\'{e}}s Andr{\'{e}}s P{\'{e}}rez Rothstein and Lizzie Emeh and Pino Frumiento and Donald Kennedy and David McNicholas and Ifeoma Orjiekwe and Michaela Overton and Mark Snead and Robyn Steward and Jenny M. Sutton and Melissa Bradshaw and Evie Jeffreys and Will Gallia and Sarah Ewans and Mark Williams and Mick Grierson}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {Supporting Remote Survey Data Analysis by Co-researchers with Learning Disabilities through Inclusive and Creative Practices and Data Science Approaches}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {1668--1681}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3462010}, doi = {10.1145/3461778.3462010}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/ChapkoREFKMOOSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/Sassmannshausen21, author = {Sheree May Sa{\ss}mannshausen and J{\"{o}}rg Radtke and Nino S. Bohn and Hassan Hussein and Dave Randall and Volkmar Pipek}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {Citizen-Centered Design in Urban Planning: How Augmented Reality can be used in Citizen Participation Processes}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {250--265}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3462130}, doi = {10.1145/3461778.3462130}, timestamp = {Mon, 25 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/Sassmannshausen21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/ChaumLYC21, author = {David Chaum and Mario Larangeira and Mario Yaksetig and William Carter}, editor = {Kazue Sako and Nils Ole Tippenhauer}, title = {W-OTS\({}^{\mbox{+}}\) Up My Sleeve! {A} Hidden Secure Fallback for Cryptocurrency Wallets}, booktitle = {Applied Cryptography and Network Security - 19th International Conference, {ACNS} 2021, Kamakura, Japan, June 21-24, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12726}, pages = {195--219}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78372-3\_8}, doi = {10.1007/978-3-030-78372-3\_8}, timestamp = {Wed, 09 Jun 2021 10:50:14 +0200}, biburl = {https://dblp.org/rec/conf/acns/ChaumLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aies/LiuSFEA21, author = {David Liu and Zohair Shafi and William Fleisher and Tina Eliassi{-}Rad and Scott Alfeld}, editor = {Marion Fourcade and Benjamin Kuipers and Seth Lazar and Deirdre K. Mulligan}, title = {{RAWLSNET:} Altering Bayesian Networks to Encode Rawlsian Fair Equality of Opportunity}, booktitle = {{AIES} '21: {AAAI/ACM} Conference on AI, Ethics, and Society, Virtual Event, USA, May 19-21, 2021}, pages = {745--755}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461702.3462618}, doi = {10.1145/3461702.3462618}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aies/LiuSFEA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/DiganNNWBBR21, author = {William Digan and Aur{\'{e}}lie N{\'{e}}v{\'{e}}ol and Antoine Neuraz and Maxime Wack and David Baudoin and Anita Burgun and Bastien Rance}, title = {Can reproducibility be improved in clinical natural language processing? {A} study of 7 clinical {NLP} suites}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t004-1.4626008/t004-1.4626009/3577606-1.4626370/3577034-1.4626367}, timestamp = {Wed, 17 Apr 2024 11:46:53 +0200}, biburl = {https://dblp.org/rec/conf/amia/DiganNNWBBR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/OzeranPSFS21, author = {Larry Ozeran and Jon D. Patrick and Yalini Senathirajah and William J. Foster and Richard Schreiber}, title = {Reverse clinician burnout trends by exploring clues from global policy variances}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t002-1.4626813/t002-1.4626814/3613982-1.4626890/3576676-1.4626887}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/OzeranPSFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/PachecoRWPCSMGG21, author = {Jennifer A. Pacheco and Luke V. Rasmussen and Ken Wiley and Thomas N. Person and David J. Cronkite and Sunghwan Sohn and Shawn N. Murphy and Justin H. Gundelach and Vivian S. Gainer and Victor M. Castro and Cong Liu and Todd Lingren and Frank D. Mentch and Agnes S. Sundaresan and Garrett Eickelberg and Valerie Willis and Al'ona Furmanchuk and Roshan Patel and David S. Carrell and Marc S. Williams and Elizabeth W. Karlson and Jodell E. Linder and Yuan Luo and Chunhua Weng and Wei{-}Qi Wei}, title = {Evaluation of the Portability of Natural Language Processing-based Computable Phenotypes in the eMERGE Network}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t004-1.4626008/t004-1.4626009/3577692-1.4626178/3577271-1.4626175}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/PachecoRWPCSMGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/PetersonBDPSMBG21, author = {Susan K. Peterson and Karen M. Basen{-}Engquist and Wendy Demark{-}Wahnefried and Alexander V. Prokhorov and Eileen H. Shinn and Stephanie L. Martch and Beth M. Beadle and Adam S. Garden and Emilia Farcas and G. Brandon Gunn and Clifton D. Fuller and William H. Morrison and David I. Rosenthal and Jack Phan and Cathy Eng and Paul M. Cinciripini and Maher Karam{-}Hage and Maria A. Camero Garcia and Kevin Patrick}, title = {Feasibility of Mobile and Sensor Technology for Remote Monitoring in Cancer Care and Prevention}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t003-1.4626466/t003-1.4626467/3576643-1.4626564/3577303-1.4626561}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/PetersonBDPSMBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SchlueterSKFWWR21, author = {David J. Schlueter and Lina M. Sulieman and Jacob M. Keaton and Tracey Ferrara and Kyle Webb and Ariel Williams and Francis Ratsimbazafy and Jun Qian and Lisa Bastarache and Andrea H. Ramirez and Joshua C. Denny}, title = {Systematic replication of smoking disease associations in the All of Us Research Program}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t004-1.4626008/t004-1.4626009/3575545-1.4626133/3577637-1.4626130}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/SchlueterSKFWWR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SouthPPRBAMJK21, author = {Brett R. South and Anita M. Preininger and Piyush Parmar and Rubina F. Rizvi and David Brotman and Shira Alevy and Mollie M. McKillop and Gretchen P. Jackson and William Kassler}, title = {Deploying Conversational Agents to Facilitate Housing Assistance Needs Resulting from {COVID-19}}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t005-1.4625076/t005-1.4625077/3576866-1.4625255/3577294-1.4625252}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/SouthPPRBAMJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/VawdreyCFHMH21, author = {David K. Vawdrey and William Cauthorn and Diane Francis and Kathy Hackenberg and Gerald Maloney and Benjamin Hohmuth}, title = {A Practical Approach for Monitoring the Use of Copy-Paste in Clinical Notes}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t003-1.4626466/t003-1.4626467/3577339-1.4626504/3577441-1.4626501}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/VawdreyCFHMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/WebbSKFWD21, author = {Kyle P. Webb and David J. Schlueter and Jacob Keaton and Tracey Ferrara and Ariel Williams and Joshua Denny}, title = {Comparing the Phenomic Profile of All of Us Research Program and National {COVID} Cohort Collaborative}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t004-1.4626008/t004-1.4626009/3616288-1.4626061/3577530-1.4626058}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/WebbSKFWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/YangLGAB21, author = {Yuyang Yang and David M. Liebovitz and William L. Galanter and Jason S. Adelman and Thomas F. Byrd}, title = {Implementation of Medication Alerts to Reduce Wrong-Drug and Wrong-Patient Errors in {CPOE} Systems}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t005-1.4625076/t005-1.4625077/3575576-1.4625114/3576425-1.4625111}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/YangLGAB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/animalci/Foster0WRB21, author = {Marc Foster and Jianxun Wang and Evan Williams and David L. Roberts and Alper Bozkurt}, title = {{ECG} and Respiration Signal Reconstruction from an {IMU} at Various Orientations during Rest or Sleep for Dog Welfare Monitoring}, booktitle = {ACI'21: Eight International Conference on Animal-Computer Interaction, Bloomington, IN, USA, November 8 - 11, 2021}, pages = {7:1--7:9}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3493842.3493905}, doi = {10.1145/3493842.3493905}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/animalci/Foster0WRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/animalci/HolderWMKSCDRRB21, author = {Timothy Ricardo Nathaniel Holder and Evan Williams and Devon Martin and Alice Kligerman and Emily Summers and Zach Cleghern and James Dieffenderfer and Jane Russenberger and David L. Roberts and Alper Bozkurt}, title = {From Ideation to Deployment: {A} Narrative Case Study of Citizen Science Supported Wearables for Raising Guide Dogs}, booktitle = {ACI'21: Eight International Conference on Animal-Computer Interaction, Bloomington, IN, USA, November 8 - 11, 2021}, pages = {6:1--6:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3493842.3493890}, doi = {10.1145/3493842.3493890}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/animalci/HolderWMKSCDRRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiaccs/FasanoBMLBDEFLG21, author = {Andrew Fasano and Tiemoko Ballo and Marius Muench and Tim Leek and Alexander Bulekov and Brendan Dolan{-}Gavitt and Manuel Egele and Aur{\'{e}}lien Francillon and Long Lu and Nick Gregory and Davide Balzarotti and William Robertson}, editor = {Jiannong Cao and Man Ho Au and Zhiqiang Lin and Moti Yung}, title = {SoK: Enabling Security Analyses of Embedded Systems via Rehosting}, booktitle = {{ASIA} {CCS} '21: {ACM} Asia Conference on Computer and Communications Security, Virtual Event, Hong Kong, June 7-11, 2021}, pages = {687--701}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3433210.3453093}, doi = {10.1145/3433210.3453093}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asiaccs/FasanoBMLBDEFLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WilliamsWSKP21, author = {Kyle R. Williams and J. Justin Wilbanks and Rachel Schlossman and David Kozlowski and Julie Parish}, title = {Dakota and Pyomo for Closed and Open Box Controller Gain Tuning}, booktitle = {2021 60th {IEEE} Conference on Decision and Control (CDC), Austin, TX, USA, December 14-17, 2021}, pages = {4854--4860}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CDC45484.2021.9683279}, doi = {10.1109/CDC45484.2021.9683279}, timestamp = {Tue, 17 May 2022 15:53:17 +0200}, biburl = {https://dblp.org/rec/conf/cdc/WilliamsWSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/AldinucciAAABCC21, author = {Marco Aldinucci and Giovanni Agosta and Antonio Andreini and Claudio Agostino Ardagna and Andrea Bartolini and Alessandro Cilardo and Biagio Cosenza and Marco Danelutto and Roberto Esposito and William Fornaciari and Roberto Giorgi and Davide Lengani and Raffaele Montella and Mauro Olivieri and Sergio Saponara and Daniele Simoni and Massimo Torquati}, editor = {Maurizio Palesi and Antonino Tumeo and Georgios I. Goumas and Carmen G. Almud{\'{e}}ver}, title = {The Italian research on {HPC} key technologies across EuroHPC}, booktitle = {{CF} '21: Computing Frontiers Conference, Virtual Event, Italy, May 11-13, 2021}, pages = {178--184}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3457388.3458508}, doi = {10.1145/3457388.3458508}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/AldinucciAAABCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0001WLRW21, author = {Max Kr{\"{u}}ger and Anne Weibert and D{\'{e}}bora de Castro Leal and Dave Randall and Volker Wulf}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {It Takes More Than One Hand to Clap: On the Role of 'Care' in Maintaining Design Results}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {302:1--302:14}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445389}, doi = {10.1145/3411764.3445389}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0001WLRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiVWSC21, author = {Jie Li and Vinoba Vinayagamoorthy and Julie R. Williamson and David A. Shamma and Pablo C{\'{e}}sar}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi}, title = {Social {VR:} {A} New Medium for Remote Communication and Collaboration}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts}, pages = {81:1--81:6}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411763.3441346}, doi = {10.1145/3411763.3441346}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/LiVWSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MouratidisRALPB21, author = {Marios Mouratidis and Sarah R{\"{u}}ller and Konstantin Aal and Shaimaa Lazem and Anicia Peters and Nina Boulus{-}R{\o}dje and Simon Holdermann and Vasilis Vlachokyriakos and Ann Light and Dave Randall and Volker Wulf}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi}, title = {Coping with Messiness in Ethnography: Authority, Bias and Immersion in ethnographic Fieldwork in the non-Western World}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts}, pages = {75:1--75:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411763.3441328}, doi = {10.1145/3411763.3441328}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/MouratidisRALPB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsonLVSC21, author = {Julie R. Williamson and Jie Li and Vinoba Vinayagamoorthy and David A. Shamma and Pablo C{\'{e}}sar}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Proxemics and Social Interactions in an Instrumented Virtual Reality Workshop}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {253:1--253:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445729}, doi = {10.1145/3411764.3445729}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/WilliamsonLVSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/GeLPMWWZO21, author = {Tao Ge and Rui Liao and David G. Politte and Maria Medrano and Jeffrey F. Williamson and Bruce R. Whiting and Tianyu Zhao and Joseph A. O'Sullivan}, editor = {Charles A. Bouman and Gregery T. Buzzard and Robert L. Stevenson}, title = {Reducing motion artifact in sequential-scan dual-energy {CT} imaging by incorporating deformable registration within joint statistical image reconstruction}, booktitle = {Computational Imaging XIX, Virtual Event, 11-28 January 2021}, publisher = {Society for Imaging Science and Technology}, year = {2021}, url = {https://doi.org/10.2352/ISSN.2470-1173.2021.15.COIMG-293}, doi = {10.2352/ISSN.2470-1173.2021.15.COIMG-293}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimaging/GeLPMWWZO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/QureshiBNAWLNAV21, author = {Ahmed Qureshi and Maximilian Balmus and Dmitry Nechipurenko and Fazoil Ataullakhanov and Steven Williams and Gregory Y. H. Lip and David Nordsletten and Oleg V. Aslanidi and Adelaide de Vecchi}, title = {Left Atrial Appendage Morphology Impacts Thrombus Formation Risks in Multi-Physics Atrial Models}, booktitle = {Computing in Cardiology, CinC 2021, Brno, Czech Republic, September 13-15, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/CinC53138.2021.9662901}, doi = {10.23919/CINC53138.2021.9662901}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cinc/QureshiBNAWLNAV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/McCarthyMKF21, author = {William P. McCarthy and Marcelo Gomes Mattar and David Kirsh and Judith E. Fan}, editor = {W. Tecumseh Fitch and Claus Lamm and Helmut Leder and Kristin Te{\ss}mar{-}Raible}, title = {Connecting perceptual and procedural abstractions in physical construction}, booktitle = {Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021}, publisher = {cognitivesciencesociety.org}, year = {2021}, url = {https://escholarship.org/uc/item/9j00x928}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/McCarthyMKF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SimonRLAZA21, author = {William Andrew Simon and Val{\'{e}}rian Ray and Alexandre Levisse and Giovanni Ansaloni and Marina Zapater and David Atienza}, title = {Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {805--810}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586245}, doi = {10.1109/DAC18074.2021.9586245}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SimonRLAZA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AgostaCFGMRTZBC21, author = {Giovanni Agosta and Daniele Cattaneo and William Fornaciari and Andrea Galimberti and Giuseppe Massari and Federico Reghenzani and Federico Terraneo and Davide Zoni and Carlo Brandolese and Massimo Celino and Francesco Iannone and Paolo Palazzari and Giuseppe Zummo and Massimo Bernaschi and Pasqua D'Ambra and Sergio Saponara and Marco Danelutto and Massimo Torquati and Marco Aldinucci and Yasir Arfat and Barbara Cantalupo and Iacopo Colonnelli and Roberto Esposito and Alberto Riccardo Martinelli and Gianluca Mittone and Olivier Beaumont and B{\'{e}}renger Bramas and Lionel Eyraud{-}Dubois and Brice Goglin and Abdou Guermouche and Raymond Namyst and Samuel Thibault and Antonio Filgueras and Miquel Vidal and Carlos {\'{A}}lvarez and Xavier Martorell and Ariel Oleksiak and Michal Kulczewski and Alessandro Lonardo and Piero Vicini and Francesca Lo Cicero and Francesco Simula and Andrea Biagioni and Paolo Cretaro and Ottorino Frezza and Pier Stanislao Paolucci and Matteo Turisini and Francesco Giacomini and Tommaso Boccali and Simone Montangero and Roberto Ammendola}, editor = {Francesco Leporati and Salvatore Vitabile and Amund Skavhaug}, title = {{TEXTAROSSA:} Towards EXtreme scale Technologies and Accelerators for euROhpc hw/Sw Supercomputing Applications for exascale}, booktitle = {24th Euromicro Conference on Digital System Design, {DSD} 2021, Virtual Event / Palermo, Sicily, Italy, September 1-3, 2021}, pages = {286--294}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSD53832.2021.00051}, doi = {10.1109/DSD53832.2021.00051}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/AgostaCFGMRTZBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/MotschDGKDR21, author = {William Motsch and Kirill Dorofeev and Kathrin Gerber and S{\"{o}}nke Knoch and Alexander David and Martin Ruskowski}, title = {Concept for Modeling and Usage of Functionally Described Capabilities and Skills}, booktitle = {26th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2021, Vasteras, Sweden, September 7-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ETFA45728.2021.9613306}, doi = {10.1109/ETFA45728.2021.9613306}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etfa/MotschDGKDR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/evoteid/BarrettoCMSTH21, author = {Sameer Barretto and William Chown and David Meyer and Aditya Soni and Atreya Tata and J. Alex Halderman}, editor = {Robert Krimmer and Melanie Volkamer and David Duenas{-}Cid and Oksana Kulyk and Peter B. R{\o}nne and Mihkel Solvak and Micha Germann}, title = {Improving the Accuracy of Ballot Scanners Using Supervised Learning}, booktitle = {Electronic Voting - 6th International Joint Conference, E-Vote-ID 2021, Virtual Event, October 5-8, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12900}, pages = {17--32}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86942-7\_2}, doi = {10.1007/978-3-030-86942-7\_2}, timestamp = {Tue, 28 Sep 2021 14:02:59 +0200}, biburl = {https://dblp.org/rec/conf/evoteid/BarrettoCMSTH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fimh/XuNWNWY21, author = {Hao Xu and Steven A. Niederer and Steven E. Williams and David E. Newby and Michelle C. Williams and Alistair A. Young}, editor = {Daniel B. Ennis and Luigi E. Perotti and Vicky Y. Wang}, title = {Whole Heart Anatomical Refinement from {CCTA} Using Extrapolation and Parcellation}, booktitle = {Functional Imaging and Modeling of the Heart - 11th International Conference, {FIMH} 2021, Stanford, CA, USA, June 21-25, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12738}, pages = {63--70}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78710-3\_7}, doi = {10.1007/978-3-030-78710-3\_7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fimh/XuNWNWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fun/EppsteinFM21, author = {David Eppstein and Daniel Frishberg and William Maxwell}, editor = {Martin Farach{-}Colton and Giuseppe Prencipe and Ryuhei Uehara}, title = {On the Treewidth of Hanoi Graphs}, booktitle = {10th International Conference on Fun with Algorithms, {FUN} 2021, May 30 to June 1, 2021, Favignana Island, Sicily, Italy}, series = {LIPIcs}, volume = {157}, pages = {13:1--13:21}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.FUN.2021.13}, doi = {10.4230/LIPICS.FUN.2021.13}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/fun/EppsteinFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LangdonPC21, author = {William B. Langdon and Justyna Petke and David Clark}, editor = {Krzysztof Krawiec}, title = {Dissipative polynomials}, booktitle = {{GECCO} '21: Genetic and Evolutionary Computation Conference, Companion Volume, Lille, France, July 10-14, 2021}, pages = {1683--1691}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3449726.3463147}, doi = {10.1145/3449726.3463147}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/LangdonPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haisa/Clasen0W21, author = {Max Clasen and Fudong Li and David Williams}, editor = {Steven Furnell and Nathan L. Clarke}, title = {Friend or Foe: An Investigation into Recipient Identification of SMS-Based Phishing}, booktitle = {Human Aspects of Information Security and Assurance - 15th {IFIP} {WG} 11.12 International Symposium, {HAISA} 2021, Virtual Event, July 7-9, 2021, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {613}, pages = {148--163}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81111-2\_13}, doi = {10.1007/978-3-030-81111-2\_13}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haisa/Clasen0W21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/AbhariOBW21, author = {Kaveh Abhari and Chloe Ostroff and Bailey Barcellos and David Williams}, title = {Co-Governance in Digital Transformation Initiatives: The Roles of Digital Culture and Employee Experience}, booktitle = {54th Hawaii International Conference on System Sciences, {HICSS} 2021, Kauai, Hawaii, USA, January 5, 2021}, pages = {1--10}, publisher = {ScholarSpace}, year = {2021}, url = {https://hdl.handle.net/10125/71324}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/AbhariOBW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AdamsBBBBCEFFFG21, author = {Peter J. Adams and Brannon Batson and Alistair Bell and Jhanvi Bhatt and J. Adam Butts and Timothy Correia and Bruce Edwards and Peter Feldmann and Christopher H. Fenton and Anthony Forte and Joseph Gagliardo and Gennette Gill and Maria Gorlatova and Brian Greskamp and J. P. Grossman and Jeremy Hunt and Bryan L. Jackson and Mollie M. Kirk and Jeffrey Kuskin and Roy J. Mader and Richard McGowen and Adam McLaughlin and Mark A. Moraes and Mohamed Nasr and Lawrence J. Nociolo and Lief O'Donnell and Andrew Parker and Jon L. Peticolas and Terry Quan and T. Carl Schwink and Keun Sup Shim and Naseer Siddique and Jochen Spengler and Michael Theobald and Brian Towles and William Vick and Stanley C. Wang and Michael E. Wazlowski and Madeleine J. Weingarten and John M. Williams and David E. Shaw}, title = {The {\(\Lambda\)}NTON 3 {ASIC:} a Fire-Breathing Monster for Molecular Dynamics Simulations}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567084}, doi = {10.1109/HCS52781.2021.9567084}, timestamp = {Mon, 25 Oct 2021 18:04:14 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AdamsBBBBCEFFFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/BergeronHSWABBG21, author = {Bill Bergeron and Matthew Hubbell and Dylan Sequeira and Winter Williams and William Arcand and David Bestor and Chansup Byun and Vijay Gadepally and Michael Houle and Michael Jones and Anna Klien and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {3D Real-Time Supercomputer Monitoring}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622787}, doi = {10.1109/HPEC49654.2021.9622787}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/BergeronHSWABBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/ByunABBGHHJKMMM21, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Michael Jones and Anna Klein and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {Node-Based Job Scheduling for Large Scale Simulations of Short Running Jobs}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622870}, doi = {10.1109/HPEC49654.2021.9622870}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/ByunABBGHHJKMMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/KepnerDBABBGHHJ21, author = {Jeremy Kepner and Tim Davis and Chansup Byun and William Arcand and David Bestor and William Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Michael Jones and Anna Klein and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, title = {Vertical, Temporal, and Horizontal Scaling of Hierarchical Hypersparse GraphBLAS Matrices}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622802}, doi = {10.1109/HPEC49654.2021.9622802}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/KepnerDBABBGHHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/KepnerJABBCDABB21, author = {Jeremy Kepner and Michael Jones and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and Kimberly C. Claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Chad R. Meiners and Lauren Milechin and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Adam Tse and Charles Yee and Peter Michaleas}, title = {Spatial Temporal Analysis of 40, 000, 000, 000, 000 Internet Darkspace Packets}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622790}, doi = {10.1109/HPEC49654.2021.9622790}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/KepnerJABBCDABB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/SamsiWBLJREABHH21, author = {Siddharth Samsi and Matthew L. Weiss and David Bestor and Baolin Li and Michael Jones and Albert Reuther and Daniel Edelman and William Arcand and Chansup Byun and John Holodnack and Matthew Hubbell and Jeremy Kepner and Anna Klein and Joseph McDonald and Adam Michaleas and Peter Michaleas and Lauren Milechin and Julia S. Mullen and Charles Yee and Benjamin Price and Andrew Prout and Antonio Rosa and Allan Vanterpool and Lindsey McEvoy and Anson Cheng and Devesh Tiwari and Vijay Gadepally}, title = {The {MIT} Supercloud Dataset}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622850}, doi = {10.1109/HPEC49654.2021.9622850}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpec/SamsiWBLJREABHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/SamuelKJMGABBBH21, author = {Kaira Samuel and Jeremy Kepner and Michael Jones and Lauren Milechin and Vijay Gadepally and William Arcand and David Bestor and William Bergeron and Chansup Byun and Matthew Hubbell and Michael Houle and Anna Klein and Victor Lopez and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Sid Samsi and Charles Yee and Peter Michaleas}, title = {Supercomputing Enabled Deployable Analytics for Disaster Response}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622808}, doi = {10.1109/HPEC49654.2021.9622808}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/SamuelKJMGABBBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbo/DuncanAABBBBCCC21, author = {William D. Duncan and Faiza Ahmed and Fnu Anubhav and Jeffrey Baumes and Jonathan Beezley and Mark Borkum and Lisa M. Bramer and Shane Canon and Patrick S. G. Chain and Danielle Christianson and Yuri Corilo and Karen Davenport and Brandon Davis and Meghan Drake and Kjiersten Fagnan and Mark Flynn and David Hays and Bin Hu and Marcel Huntemann and Julia Kelliher and Sofya Lebedeva and Po{-}E Li and Mary Lipton and Chien{-}Chi Lo and Douglas Mans and Stanton Martin and Lee Ann McCue and David Millard and Kayd Miller and Nigel Mouncey and Paul D. Piehowski and Elais Player Jackson and Anastasiya Prymolenna and Samuel O. Purvine and T. B. K. Reddy and Rachel Richardson and Migun Shakya and Montana Smith and Jagadish Chandrabose Sundaramurthi and Mark A. Miller and Deepak R. Unni and Pajau Vangay and Bruce Wilson and Donald Winston and Elisha M. Wood{-}Charlson and Yan Xu and Emiley A. Eloe{-}Fadrosh and Christopher J. Mungall}, editor = {Janna Hastings and Adrien Barton}, title = {Leveraging Ontologies within the National Microbiome Data Collaborative}, booktitle = {Proceedings of the International Conference on Biomedical Ontologies 2021 co-located with the Workshop on Ontologies for the Behavioural and Social Sciences (OntoBess 2021) as part of the Bolzano Summer of Knowledge {(BOSK} 2021), Bozen-Bolzano, Italy, 16-18 September, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {3073}, pages = {141--143}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-3073/paper22.pdf}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbo/DuncanAABBBBCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/JampaniCSK0KKFS21, author = {Varun Jampani and Huiwen Chang and Kyle Sargent and Abhishek Kar and Richard Tucker and Michael Krainin and Dominik Kaeser and William T. Freeman and David Salesin and Brian Curless and Ce Liu}, title = {{SLIDE:} Single Image 3D Photography with Soft Layering and Depth-aware Inpainting}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {12498--12507}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01229}, doi = {10.1109/ICCV48922.2021.01229}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/JampaniCSK0KKFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/NevesDHHMFM21, author = {Mariana R. Neves and Bridget J. Daley and Graham A. Hitman and Mohammed S. B. Huda and Scott McLachlan and Sarah Finer and William Marsh}, title = {Causal Dynamic Bayesian Networks for the Management of Glucose Control in Gestational Diabetes}, booktitle = {9th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2021, Victoria, BC, Canada, August 9-12, 2021}, pages = {31--40}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHI52183.2021.00018}, doi = {10.1109/ICHI52183.2021.00018}, timestamp = {Wed, 20 Oct 2021 16:44:24 +0200}, biburl = {https://dblp.org/rec/conf/ichi/NevesDHHMFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/BengioGMRWDMQSS21, author = {Yoshua Bengio and Prateek Gupta and Tegan Maharaj and Nasim Rahaman and Martin Weiss and Tristan Deleu and Eilif Benjamin M{\"{u}}ller and Meng Qu and Victor Schmidt and Pierre{-}Luc St{-}Charles and Hannah Alsdurf and Olexa Bilaniuk and David L. Buckeridge and Ga{\'{e}}tan Marceau{-}Caron and Pierre Luc Carrier and Joumana Ghosn and Satya Ortiz{-}Gagne and Christopher J. Pal and Irina Rish and Bernhard Sch{\"{o}}lkopf and Abhinav Sharma and Jian Tang and Andrew Williams}, title = {Predicting Infectiousness for Proactive Contact Tracing}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=lVgB2FUbzuQ}, timestamp = {Tue, 26 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/BengioGMRWDMQSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/BrandfonbrenerW21, author = {David Brandfonbrener and William F. Whitney and Rajesh Ranganath and Joan Bruna}, editor = {Marina Meila and Tong Zhang}, title = {Offline Contextual Bandits with Overparameterized Models}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {1049--1058}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/brandfonbrener21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/BrandfonbrenerW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icores/FelpsSWVBHSSS21, author = {Daniel L. Felps and Amelia D. Schwickerath and Joyce D. Williams and Trung N. Vuong and Alan Briggs and Matthew Hunt and Evan Sakmar and David D. Saranchak and Tyler Shumaker}, editor = {Greg H. Parlier and Federico Liberatore and Marc Demange}, title = {Class Clown: Data Redaction in Machine Unlearning at Enterprise Scale}, booktitle = {Proceedings of the 10th International Conference on Operations Research and Enterprise Systems, {ICORES} 2021, Online Streaming, February 4-6, 2021}, pages = {7--14}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010419600070014}, doi = {10.5220/0010419600070014}, timestamp = {Tue, 02 Mar 2021 22:37:23 +0100}, biburl = {https://dblp.org/rec/conf/icores/FelpsSWVBHSSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/EkerTWCP21, author = {Ali Eker and David Timmerman and Barry Williams and Kenneth Chiu and Dmitry Ponomarev}, editor = {Xian{-}He Sun and Sameer Shende and Laxmikant V. Kal{\'{e}} and Yong Chen}, title = {GVT-Guided Demand-Driven Scheduling in Parallel Discrete Event Simulation}, booktitle = {{ICPP} 2021: 50th International Conference on Parallel Processing, Lemont, IL, USA, August 9 - 12, 2021}, pages = {22:1--22:10}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472456.3472470}, doi = {10.1145/3472456.3472470}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/EkerTWCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/LevineES21, author = {Peter Levine and Brendan R. Eagan and David Williamson Shaffer}, editor = {Barbara Wasson and Szilvia Z{\"{o}}rgo}, title = {Deliberation as an Epistemic Network: {A} Method for Analyzing Discussion}, booktitle = {Advances in Quantitative Ethnography - Third International Conference, {ICQE} 2021, Virtual Event, November 6-11, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1522}, pages = {17--32}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93859-8\_2}, doi = {10.1007/978-3-030-93859-8\_2}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icqe/LevineES21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/ShafferEKPC21, author = {David Williamson Shaffer and Brendan R. Eagan and Mariah A. Knowles and Clara Porter and Zhiqiang Cai}, editor = {Barbara Wasson and Szilvia Z{\"{o}}rgo}, title = {Zero Re-centered Projection: An Alternative Proposal for Modeling Empty Networks in {ENA}}, booktitle = {Advances in Quantitative Ethnography - Third International Conference, {ICQE} 2021, Virtual Event, November 6-11, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1522}, pages = {66--79}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93859-8\_5}, doi = {10.1007/978-3-030-93859-8\_5}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icqe/ShafferEKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icqe/TanHMRS21, author = {Yuanru Tan and Cesar Hinojosa and Cody Marquart and Andrew R. Ruis and David Williamson Shaffer}, editor = {Barbara Wasson and Szilvia Z{\"{o}}rgo}, title = {Epistemic Network Analysis Visualization}, booktitle = {Advances in Quantitative Ethnography - Third International Conference, {ICQE} 2021, Virtual Event, November 6-11, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1522}, pages = {129--143}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93859-8\_9}, doi = {10.1007/978-3-030-93859-8\_9}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icqe/TanHMRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WilliamsGMN21, author = {David S. W. Williams and Matthew Gadd and Daniele De Martini and Paul M. Newman}, title = {Fool Me Once: Robust Selective Segmentation via Out-of-Distribution Detection with Contrastive Learning}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {9536--9542}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561165}, doi = {10.1109/ICRA48506.2021.9561165}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WilliamsGMN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse-apr/KlieberMSCMS21, author = {William Klieber and Ruben Martins and Ryan Steele and Matt Churilla and Mike McCall and David Svoboda}, title = {Automated Code Repair to Ensure Spatial Memory Safety}, booktitle = {2nd {IEEE/ACM} International Workshop on Automated Program Repair, APR@ICSE 2021, Madrid, Spain, June 1, 2021}, pages = {23--30}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/APR52552.2021.00013}, doi = {10.1109/APR52552.2021.00013}, timestamp = {Wed, 11 Aug 2021 15:47:26 +0200}, biburl = {https://dblp.org/rec/conf/icse-apr/KlieberMSCMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsports/LangevinBLBMGBG21, author = {Antoine Langevin and William B{\'{e}}gin and Martin Lavalli{\`{e}}re and Louis{-}David Beaulieu and Bob{-}Antoine Jerry M{\'{e}}n{\'{e}}las and S{\'{e}}bastien Gaboury and Kevin Bouchard and Ghyslain Gagnon and Linda Paquette}, editor = {Pedro Pezarat{-}Correia and Jo{\~{a}}o Vilas{-}Boas and Jan Cabri}, title = {Criterion Validation of an Open-source Wearable Physiological Sensors Device}, booktitle = {Proceedings of the 9th International Conference on Sport Sciences Research and Technology Support, icSPORTS 2021, October 28-29, 2021}, pages = {95--105}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010640300003059}, doi = {10.5220/0010640300003059}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/icsports/LangevinBLBMGBG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ToledoCMAGGRW21, author = {Sergio Toledo and David Caballero and Edgar Maqueda and Silvia Arrua and Marcos Gomez{-}Redondo and Ra{\'{u}}l Gregor and Marco Rivera and Pat Wheeler}, title = {Fault Tolerant Predictive Control for Six-Phase Wind Generation Systems using Multi-Modular Matrix Converter}, booktitle = {{IECON} 2021 - 47th Annual Conference of the {IEEE} Industrial Electronics Society, Toronto, ON, Canada, October 13-16, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IECON48115.2021.9589702}, doi = {10.1109/IECON48115.2021.9589702}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/ToledoCMAGGRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/BobakRMBNHAB21, author = {Justin Bobak and Scott Rudolph and Blerta Markowski and David Bonanno and Michael Nurnberger and Brian Hicks and Hatim Alqadah and William Bounds}, title = {Further Development of the Mechanically-Actuated Reconfigurable Reflectarry {(MARR)} for the Microwave Single Pixel Imager {(MSPI)}}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7988--7990}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553524}, doi = {10.1109/IGARSS47720.2021.9553524}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/BobakRMBNHAB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/RinconCBPTSSFCL21, author = {Rafael F. Rincon and Lynn M. Carter and Roger Banting and Martin Perrine and Cornelis F. Du Toit and Peter Steigner and Ken Segal and Babak Farrokh and Michael Choi and Daniel Lu and David Caruth and Iban Ibanez and Tasneem Khan and William Alberdeen}, title = {Recent Developments of the Space Exploration Synthetic Aperture Radar {(SESAR)} for Planetary Science Missions}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7839--7842}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554080}, doi = {10.1109/IGARSS47720.2021.9554080}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/RinconCBPTSSFCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KothareRRNLBCHS21, author = {Hardik Kothare and Vikram Ramanarayanan and Oliver Roesler and Michael Neumann and Jackson Liscombe and William Burke and Andrew Cornish and Doug Habberstad and Alaa Sakallah and Sara Markuson and Seemran Kansara and Afik Faerman and Yasmine Bensidi{-}Slimane and Laura Fry and Saige Portera and David Suendermann{-}Oeft and David Pautler and Carly Demopoulos}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Investigating the Interplay Between Affective, Phonatory and Motoric Subsystems in Autism Spectrum Disorder Using a Multimodal Dialogue Agent}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {1967--1971}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1796}, doi = {10.21437/INTERSPEECH.2021-1796}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KothareRRNLBCHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AhmedWI021, author = {Hadia Ahmed and David B. Williams{-}Young and Khaled Z. Ibrahim and Chao Yang}, title = {Performance Modeling and Tuning for {DFT} Calculations on Heterogeneous Architectures}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2021, Portland, OR, USA, June 17-21, 2021}, pages = {714--722}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPSW52791.2021.00108}, doi = {10.1109/IPDPSW52791.2021.00108}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/AhmedWI021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/FarmerWHVBGEDSR21, author = {James Farmer and William Whitehead and Andrew Hall and Dmitry Veksler and Gennadi Bersuker and David Z. Gao and Al{-}Moatasem El{-}Sayed and Thomas Durrant and Alexander L. Shluger and Thomas Rueckes and Lee Cleveland and Harry Luan and Rahul Sen}, title = {Mitigating switching variability in carbon nanotube memristors}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405123}, doi = {10.1109/IRPS46558.2021.9405123}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/FarmerWHVBGEDSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ThomptoNMBJERGB21, author = {Brian W. Thompto and Dung Q. Nguyen and Jos{\'{e}} E. Moreira and Ramon Bertran and Hans M. Jacobson and Richard J. Eickemeyer and Rahul M. Rao and Michael Goulet and Marcy Byers and Christopher J. Gonzalez and Karthik Swaminathan and Nagu R. Dhanwada and Silvia M. M{\"{u}}ller and Andreas Wagner and Satish Kumar Sadasivam and Robert K. Montoye and William J. Starke and Christian G. Zoellin and Michael S. Floyd and Jeffrey Stuecheli and Nandhini Chandramoorthy and John{-}David Wellman and Alper Buyuktosunoglu and Matthias Pflanz and Balaram Sinharoy and Pradip Bose}, title = {Energy Efficiency Boost in the AI-Infused {POWER10} Processor}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {29--42}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00012}, doi = {10.1109/ISCA52012.2021.00012}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/ThomptoNMBJERGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/WilliamsonVADL21, author = {Emmett Williamson and Luigi Vanfretti and Prottay M. Adhikari and Jerry W. Dziuba and David M. Laverty}, title = {FluxPMU - {A} Maker's Guide of a {DIY} Synchronized Phasor Measurement Unit}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2021, Washington, DC, USA, February 16-18, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISGT49243.2021.9372272}, doi = {10.1109/ISGT49243.2021.9372272}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isgt/WilliamsonVADL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iticse/SiegelZABCGHLRS21, author = {Angela A. Siegel and Mark Zarb and Bedour Alshaigy and Jeremiah J. Blanchard and Tom Crick and Richard Glassey and John R. Hott and Celine Latulipe and Charles Riedesel and Mali Senapathi and Simon and David Williams}, editor = {Carsten Schulte and Brett A. Becker and Monica Divitini and Erik Barendsen}, title = {Educational Landscapes During and After {COVID-19}}, booktitle = {ITiCSE '21: Proceedings of the 26th {ACM} Conference on Innovation and Technology in Computer Science Education V.2, Virtual Event, Germany, June 26 - July 1, 2021}, pages = {597--598}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3456565.3461439}, doi = {10.1145/3456565.3461439}, timestamp = {Tue, 28 May 2024 17:11:48 +0200}, biburl = {https://dblp.org/rec/conf/iticse/SiegelZABCGHLRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iticse/SiegelZABCGHLRS21a, author = {Angela A. Siegel and Mark Zarb and Bedour Alshaigy and Jeremiah J. Blanchard and Tom Crick and Richard Glassey and John R. Hott and Celine Latulipe and Charles Riedesel and Mali Senapathi and Simon and David Williams}, title = {Teaching through a Global Pandemic: Educational Landscapes Before, During and After {COVID-19}}, booktitle = {Proceedings of the 2021 Working Group Reports on Innovation and Technology in Computer Science Education, ITiCSE-WGR 2021, Virtual Event Germany, 26 June 2021- 1 July 2021}, pages = {1--25}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3502870.3506565}, doi = {10.1145/3502870.3506565}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iticse/SiegelZABCGHLRS21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/OswaldVWBBKNYF21, author = {David Oswald and Alexander Vu and Nigel Williams and Kanok Boriboonsomsin and Matthew J. Barth and Yoshinori Kunimura and Tomohiko Nagaya and Hiroki Yoshimatsu and Naoki Fukuoka}, title = {Real-world Efficacy of a Haptic Accelerator Pedal-based Eco-driving System}, booktitle = {24th {IEEE} International Intelligent Transportation Systems Conference, {ITSC} 2021, Indianapolis, IN, USA, September 19-22, 2021}, pages = {1541--1546}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITSC48978.2021.9564690}, doi = {10.1109/ITSC48978.2021.9564690}, timestamp = {Wed, 03 Nov 2021 08:38:45 +0100}, biburl = {https://dblp.org/rec/conf/itsc/OswaldVWBBKNYF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/McInerneyBDHJMP21, author = {Ciar{\'{a}}n McInerney and Jonathan Benn and Dawn Dowding and Ibrahim Habli and David A. Jenkins and Carolyn McCrorie and Niels Peek and Rebecca Randell and Richard Williams and Owen A. Johnson}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {Patient Safety Informatics: Meeting the Challenges of Emerging Digital Health}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {364--368}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220097}, doi = {10.3233/SHTI220097}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/McInerneyBDHJMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/SyrowatkaLCPLSS21, author = {Ania Syrowatka and Troy Li and Mica Curtin{-}Bowen and Avery Pullman and Stuart R. Lipsitz and Michael Sainlaire and Wenyu Song and Tien Thai and Alexandra C. Businger and Kevin J. Bozic and William A. Jiranek and Jay R. Lieberman and David W. Bates and Patricia C. Dykes}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {Testing a Novel Inpatient Respiratory Depression Electronic Clinical Quality Measure (eCQM) for Orthopedic Practice in Two Large {U.S.} Health Systems}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {395--399}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220104}, doi = {10.3233/SHTI220104}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/SyrowatkaLCPLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/WilliamsFGER21, author = {Logan Z. J. Williams and Abdulah Fawaz and Matthew F. Glasser and A. David Edwards and Emma C. Robinson}, editor = {Ahmed Abdulkadir and Seyed Mostafa Kia and Mohamad Habes and Vinod Kumar and Jane Maryam Rondina and Chantal M. W. Tax and Thomas Wolfers}, title = {Geometric Deep Learning of the Human Connectome Project Multimodal Cortical Parcellation}, booktitle = {Machine Learning in Clinical Neuroimaging - 4th International Workshop, {MLCN} 2021, Held in Conjunction with {MICCAI} 2021, Strasbourg, France, September 27, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13001}, pages = {103--112}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87586-2\_11}, doi = {10.1007/978-3-030-87586-2\_11}, timestamp = {Tue, 10 Oct 2023 14:59:33 +0200}, biburl = {https://dblp.org/rec/conf/miccai/WilliamsFGER21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SelvamLNM21, author = {Durai Arun Pannir Selvam and David I. Laurenson and William H. Nailon and Duncan B. McLaren}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Localised 3D disparity regularisation for improving contour propagation in Adaptive Radiotherapy}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580574}, doi = {10.1117/12.2580574}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/SelvamLNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miua/RahmatHFFMN021, author = {Roushanak Rahmat and David Harris{-}Birtill and David Finn and Yang Feng and Dean Montgomery and William H. Nailon and Stephen McLaughlin}, editor = {Bartlomiej W. Papiez and Mohammad Yaqub and Jianbo Jiao and Ana I. L. Namburete and J. Alison Noble}, title = {Radiomics-Led Monitoring of Non-small Cell Lung Cancer Patients During Radiotherapy}, booktitle = {Medical Image Understanding and Analysis - 25th Annual Conference, {MIUA} 2021, Oxford, United Kingdom, July 12-14, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12722}, pages = {532--546}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80432-9\_39}, doi = {10.1007/978-3-030-80432-9\_39}, timestamp = {Thu, 23 Jun 2022 19:58:26 +0200}, biburl = {https://dblp.org/rec/conf/miua/RahmatHFFMN021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mod/ValenciaWMQ21, author = {David Valencia and Henry Williams and Bruce A. MacDonald and Ting Qiao}, editor = {Giuseppe Nicosia and Varun Ojha and Emanuele La Malfa and Gabriele La Malfa and Giorgio Jansen and Panos M. Pardalos and Giovanni Giuffrida and Renato Umeton}, title = {Action-Conditioned Frame Prediction Without Discriminator}, booktitle = {Machine Learning, Optimization, and Data Science - 7th International Conference, {LOD} 2021, Grasmere, UK, October 4-8, 2021, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13163}, pages = {324--337}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-95467-3\_24}, doi = {10.1007/978-3-030-95467-3\_24}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mod/ValenciaWMQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/PeiGWYJ21, author = {Kexin Pei and Jonas Guan and David Williams{-}King and Junfeng Yang and Suman Jana}, title = {{XDA:} Accurate, Robust Disassembly with Transfer Learning}, booktitle = {28th Annual Network and Distributed System Security Symposium, {NDSS} 2021, virtually, February 21-25, 2021}, publisher = {The Internet Society}, year = {2021}, url = {https://www.ndss-symposium.org/ndss-paper/xda-accurate-robust-disassembly-with-transfer-learning/}, timestamp = {Thu, 17 Jun 2021 15:42:26 +0200}, biburl = {https://dblp.org/rec/conf/ndss/PeiGWYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ner/WartrnanBMDDN21, author = {William A. Wartrnan and Edward H. Burnham and Sergey N. Makarov and Mathias Davids and Mohammad Daneshzand and Aapo Nummenmaa}, title = {High Resolution Computational Modeling of Transcranial Stimulation using the {MIDA} Head Model}, booktitle = {10th International {IEEE/EMBS} Conference on Neural Engineering, {NER} 2021, Virtual Event, Italy, May 4-6, 2021}, pages = {1044--1047}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NER49283.2021.9441170}, doi = {10.1109/NER49283.2021.9441170}, timestamp = {Tue, 08 Jun 2021 14:46:53 +0200}, biburl = {https://dblp.org/rec/conf/ner/WartrnanBMDDN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/BrandfonbrenerW21, author = {David Brandfonbrener and Will Whitney and Rajesh Ranganath and Joan Bruna}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Offline {RL} Without Off-Policy Evaluation}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {4933--4946}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/274a10ffa06e434f2a94df765cac6bf4-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/BrandfonbrenerW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HeWLZMBLE21, author = {Yutong He and Dingjie Wang and Nicholas Lai and William Zhang and Chenlin Meng and Marshall Burke and David B. Lobell and Stefano Ermon}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Spatial-Temporal Super-Resolution of Satellite Imagery via Conditional Pixel Synthesis}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {27903--27915}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/ead81fe8cfe9fda9e4c2093e17e4d024-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/HeWLZMBLE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SakrBJFSDTGS0HR21, author = {Hesham Sakr and Thomas D. Bradley and Gregory T. Jasion and Eric R. Numkam Fokoua and Seyed Reza Sandoghchi and Ian A. Davidson and Austin Taranta and Gianluca Guerra and William Shere and Yong Chen and John R. Hayes and David J. Richardson and Francesco Poletti}, title = {Hollow Core NANFs with Five Nested Tubes and Record Low Loss at 850, 1060, 1300 and 1625nm}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489469}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SakrBJFSDTGS0HR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/openshmem/WilliamsLWDC21, author = {Brody Williams and John D. Leidel and Xi Wang and David Donofrio and Yong Chen}, editor = {Stephen W. Poole and Oscar R. Hernandez and Matthew B. Baker and Tony Curtis}, title = {CircusTent: {A} Tool for Measuring the Performance of Atomic Memory Operations on Emerging Architectures}, booktitle = {OpenSHMEM and Related Technologies. OpenSHMEM in the Era of Exascale and Smart Networks - 8th Workshop on OpenSHMEM and Related Technologies, OpenSHMEM 2021, Virtual Event, September 14-16, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13159}, pages = {92--110}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-04888-3\_6}, doi = {10.1007/978-3-031-04888-3\_6}, timestamp = {Fri, 10 Mar 2023 09:48:58 +0100}, biburl = {https://dblp.org/rec/conf/openshmem/WilliamsLWDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdsw/BezTXWLROB21, author = {Jean Luca Bez and Houjun Tang and Bing Xie and David B. Williams{-}Young and Robert Latham and Robert B. Ross and Sarp Oral and Suren Byna}, title = {{I/O} Bottleneck Detection and Tuning: Connecting the Dots using Interactive Log Analysis}, booktitle = {6th {IEEE/ACM} International Parallel Data Systems Workshop, PDSW@SC 2021, St. Louis, MO, USA, November 15, 2021}, pages = {15--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PDSW54622.2021.00008}, doi = {10.1109/PDSW54622.2021.00008}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdsw/BezTXWLROB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pmbs-ws/GravelleNYN21, author = {Brian J. Gravelle and William David Nystrom and Dewi Yokelson and Boyana Norris}, title = {Enabling Cache Aware Roofline analysis with Portable Hardware Counter Metrics}, booktitle = {2021 International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems {(PMBS} 2021), St. Louis, MO, USA, November 15, 2021}, pages = {75--81}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PMBS54543.2021.00014}, doi = {10.1109/PMBS54543.2021.00014}, timestamp = {Wed, 05 Jan 2022 09:48:27 +0100}, biburl = {https://dblp.org/rec/conf/pmbs-ws/GravelleNYN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/HarrisSV21, author = {David G. Harris and Hsin{-}Hao Su and Hoa T. Vu}, editor = {Avery Miller and Keren Censor{-}Hillel and Janne H. Korhonen}, title = {On the Locality of Nash-Williams Forest Decomposition and Star-Forest Decomposition}, booktitle = {{PODC} '21: {ACM} Symposium on Principles of Distributed Computing, Virtual Event, Italy, July 26-30, 2021}, pages = {295--305}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3465084.3467908}, doi = {10.1145/3465084.3467908}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/HarrisSV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qrs/KirkNBSEP21, author = {Rhys Kirk and Hoang Nga Nguyen and Jeremy W. Bryans and Siraj A. Shaikh and David Evans and David Price}, title = {Formalising {UPTANE} in {CSP} for Security Testing}, booktitle = {21st {IEEE} International Conference on Software Quality, Reliability and Security, {QRS} 2021 - Companion, Hainan, China, December 6-10, 2021}, pages = {816--824}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/QRS-C55045.2021.00124}, doi = {10.1109/QRS-C55045.2021.00124}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qrs/KirkNBSEP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ShawAABBBBBBCDD21, author = {David E. Shaw and Peter J. Adams and Asaph Azaria and Joseph A. Bank and Brannon Batson and Alistair Bell and Michael Bergdorf and Jhanvi Bhatt and J. Adam Butts and Timothy Correia and Robert M. Dirks and Ron O. Dror and Michael P. Eastwood and Bruce Edwards and Amos Even and Peter Feldmann and Michael Fenn and Christopher H. Fenton and Anthony Forte and Joseph Gagliardo and Gennette Gill and Maria Gorlatova and Brian Greskamp and J. P. Grossman and Justin Gullingsrud and Anissa Harper and William Hasenplaugh and Mark Heily and Benjamin Colin Heshmat and Jeremy Hunt and Douglas J. Ierardi and Lev Iserovich and Bryan L. Jackson and Nick P. Johnson and Mollie M. Kirk and John L. Klepeis and Jeffrey S. Kuskin and Kenneth M. Mackenzie and Roy J. Mader and Richard McGowen and Adam McLaughlin and Mark A. Moraes and Mohamed H. Nasr and Lawrence J. Nociolo and Lief O'Donnell and Andrew Parker and Jon L. Peticolas and Goran Pocina and Cristian Predescu and Terry Quan and John K. Salmon and Carl Schwink and Keun Sup Shim and Naseer Siddique and Jochen Spengler and Tamas Szalay and Raymond Tabladillo and Reinhard Tartler and Andrew G. Taube and Michael Theobald and Brian Towles and William Vick and Stanley C. Wang and Michael Wazlowski and Madeleine J. Weingarten and John M. Williams and Kevin A. Yuh}, editor = {Bronis R. de Supinski and Mary W. Hall and Todd Gamblin}, title = {Anton 3: twenty microseconds of molecular dynamics simulation before lunch}, booktitle = {International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2021, St. Louis, Missouri, USA, November 14-19, 2021}, pages = {1}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458817.3487397}, doi = {10.1145/3458817.3487397}, timestamp = {Tue, 08 Nov 2022 16:03:02 +0100}, biburl = {https://dblp.org/rec/conf/sc/ShawAABBBBBBCDD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdoc/Hart-DavidsonOM21, author = {William Hart{-}Davidson and Ryan Omizo and Melissa Meeks}, title = {Detecting High-Quality Comments in Written Feedback with a Zero Shot Classifier}, booktitle = {{SIGDOC} '21: The 39th {ACM} International Conference on Design of Communication, Virtual Event, USA, October 12-14, 2021}, pages = {319--325}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472714.3473659}, doi = {10.1145/3472714.3473659}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdoc/Hart-DavidsonOM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/PeiGBCYWUYRJ21, author = {Kexin Pei and Jonas Guan and Matthew Broughton and Zhongtian Chen and Songchen Yao and David Williams{-}King and Vikas Ummadisetty and Junfeng Yang and Baishakhi Ray and Suman Jana}, editor = {Diomidis Spinellis and Georgios Gousios and Marsha Chechik and Massimiliano Di Penta}, title = {StateFormer: fine-grained type recovery from binaries using generative state modeling}, booktitle = {{ESEC/FSE} '21: 29th {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, Athens, Greece, August 23-28, 2021}, pages = {690--702}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3468264.3468607}, doi = {10.1145/3468264.3468607}, timestamp = {Sat, 21 Aug 2021 19:12:34 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/PeiGBCYWUYRJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/PetkeCL21, author = {Justyna Petke and David Clark and William B. Langdon}, editor = {Diomidis Spinellis and Georgios Gousios and Marsha Chechik and Massimiliano Di Penta}, title = {Software robustness: a survey, a theory, and prospects}, booktitle = {{ESEC/FSE} '21: 29th {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, Athens, Greece, August 23-28, 2021}, pages = {1475--1478}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3468264.3473133}, doi = {10.1145/3468264.3473133}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigsoft/PetkeCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosa/KargerW21, author = {David R. Karger and David P. Williamson}, editor = {Hung Viet Le and Valerie King}, title = {Recursive Random Contraction Revisited}, booktitle = {4th Symposium on Simplicity in Algorithms, {SOSA} 2021, Virtual Conference, January 11-12, 2021}, pages = {68--73}, publisher = {{SIAM}}, year = {2021}, url = {https://doi.org/10.1137/1.9781611976496.7}, doi = {10.1137/1.9781611976496.7}, timestamp = {Wed, 17 Mar 2021 13:30:03 +0100}, biburl = {https://dblp.org/rec/conf/sosa/KargerW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/MarquardtR0RPBL21, author = {Nicolai Marquardt and Nathalie Henry Riche and Christian Holz and Hugo Romat and Michel Pahud and Frederik Brudy and David Ledo and Chunjong Park and Molly Jane Nicholas and Teddy Seyed and Eyal Ofek and Bongshin Lee and William A. S. Buxton and Ken Hinckley}, editor = {Jeffrey Nichols and Ranjitha Kumar and Michael Nebeling}, title = {AirConstellations: In-Air Device Formations for Cross-Device Interaction via Multiple Spatially-Aware Armatures}, booktitle = {{UIST} '21: The 34th Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 10-14, 2021}, pages = {1252--1268}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472749.3474820}, doi = {10.1145/3472749.3474820}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/MarquardtR0RPBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LaradjiRMLLKP0N21, author = {Issam H. Laradji and Pau Rodr{\'{\i}}guez and Oscar Ma{\~{n}}as and Keegan Lensink and Marco Law and Lironne Kurzman and William Parker and David V{\'{a}}zquez and Derek Nowrouzezahrai}, title = {A Weakly Supervised Consistency-based Learning Method for {COVID-19} Segmentation in {CT} Images}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {2452--2461}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00250}, doi = {10.1109/WACV48630.2021.00250}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/LaradjiRMLLKP0N21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/KuttenMPP21, author = {Shay Kutten and William K. Moses Jr. and Gopal Pandurangan and David Peleg}, editor = {Seth Gilbert}, title = {Singularly Near Optimal Leader Election in Asynchronous Networks}, booktitle = {35th International Symposium on Distributed Computing, {DISC} 2021, October 4-8, 2021, Freiburg, Germany (Virtual Conference)}, series = {LIPIcs}, volume = {209}, pages = {27:1--27:18}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.DISC.2021.27}, doi = {10.4230/LIPICS.DISC.2021.27}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/wdag/KuttenMPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wine/JinW21, author = {Billy Jin and David P. Williamson}, editor = {Michal Feldman and Hu Fu and Inbal Talgam{-}Cohen}, title = {Improved Analysis of {RANKING} for Online Vertex-Weighted Bipartite Matching in the Random Order Model}, booktitle = {Web and Internet Economics - 17th International Conference, {WINE} 2021, Potsdam, Germany, December 14-17, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13112}, pages = {207--225}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-94676-0\_12}, doi = {10.1007/978-3-030-94676-0\_12}, timestamp = {Mon, 30 Oct 2023 12:09:00 +0100}, biburl = {https://dblp.org/rec/conf/wine/JinW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipco/2021, editor = {Mohit Singh and David P. Williamson}, title = {Integer Programming and Combinatorial Optimization - 22nd International Conference, {IPCO} 2021, Atlanta, GA, USA, May 19-21, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12707}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-73879-2}, doi = {10.1007/978-3-030-73879-2}, isbn = {978-3-030-73878-5}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipco/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05300, author = {Julie R. Williamson and Jie Li and Vinoba Vinayagamoorthy and David A. Shamma and Pablo C{\'{e}}sar}, title = {Proxemics and Social Interactions in an Instrumented Virtual Reality Workshop}, journal = {CoRR}, volume = {abs/2101.05300}, year = {2021}, url = {https://arxiv.org/abs/2101.05300}, eprinttype = {arXiv}, eprint = {2101.05300}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05884, author = {Miroslav S. Petrov and Todor D. Todorov and Gage S. Walters and David M. Williams and Freddie D. Witherden}, title = {Enabling four-dimensional conformal hybrid meshing with cubic pyramids}, journal = {CoRR}, volume = {abs/2101.05884}, year = {2021}, url = {https://arxiv.org/abs/2101.05884}, eprinttype = {arXiv}, eprint = {2101.05884}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05884.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-12533, author = {Anders Sundnes L{\o}vlie and Karin Ryding and Jocelyn Spence and Paulina Rajkowska and Annika Waern and Tim Wray and Steve Benford and William Preston and Emily{-}Clare Thorn}, title = {Playing games with Tito: Designing hybrid museum experiences for critical play}, journal = {CoRR}, volume = {abs/2101.12533}, year = {2021}, url = {https://arxiv.org/abs/2101.12533}, eprinttype = {arXiv}, eprint = {2101.12533}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-12533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-05408, author = {David Sabate Barbera and Mark A. Huckvale and Victoria Fleming and Emily Upton and Henry Coley{-}Fisher and Catherine Doogan and Ian Shaw and William H. Latham and Alexander P. Leff and Jenny Crinion}, title = {{NUVA:} {A} Naming Utterance Verifier for Aphasia Treatment}, journal = {CoRR}, volume = {abs/2102.05408}, year = {2021}, url = {https://arxiv.org/abs/2102.05408}, eprinttype = {arXiv}, eprint = {2102.05408}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-05408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-06972, author = {William Findlay and David Barrera and Anil Somayaji}, title = {BPFContain: Fixing the Soft Underbelly of Container Security}, journal = {CoRR}, volume = {abs/2102.06972}, year = {2021}, url = {https://arxiv.org/abs/2102.06972}, eprinttype = {arXiv}, eprint = {2102.06972}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-06972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-08145, author = {Florian Tschopp and Cornelius von Einem and Andrei Cramariuc and David Hug and Andrew William Palmer and Roland Siegwart and Margarita Chli and Juan I. Nieto}, title = {Hough2Map - Iterative Event-based Hough Transform for High-Speed Railway Mapping}, journal = {CoRR}, volume = {abs/2102.08145}, year = {2021}, url = {https://arxiv.org/abs/2102.08145}, eprinttype = {arXiv}, eprint = {2102.08145}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-08145.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09553, author = {Arlene Casey and Emma M. Davidson and Michael Tin Chung Poon and Hang Dong and Daniel Duma and Andreas Grivas and Claire Grover and V{\'{\i}}ctor Su{\'{a}}rez{-}Paniagua and Richard Tobin and William Whiteley and Honghan Wu and Beatrice Alex}, title = {A Systematic Review of Natural Language Processing Applied to Radiology Reports}, journal = {CoRR}, volume = {abs/2102.09553}, year = {2021}, url = {https://arxiv.org/abs/2102.09553}, eprinttype = {arXiv}, eprint = {2102.09553}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09553.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-10049, author = {Benjamin Green and William Knowles and Marina Krotofil and Richard Derbyshire and Daniel Prince and Neeraj Suri}, title = {PCaaD: Towards Automated Determination and Exploitation of Industrial Processes}, journal = {CoRR}, volume = {abs/2102.10049}, year = {2021}, url = {https://arxiv.org/abs/2102.10049}, eprinttype = {arXiv}, eprint = {2102.10049}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-10049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00869, author = {David S. W. Williams and Matthew Gadd and Daniele De Martini and Paul Newman}, title = {Fool Me Once: Robust Selective Segmentation via Out-of-Distribution Detection with Contrastive Learning}, journal = {CoRR}, volume = {abs/2103.00869}, year = {2021}, url = {https://arxiv.org/abs/2103.00869}, eprinttype = {arXiv}, eprint = {2103.00869}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-03044, author = {Giovanni Agosta and William Fornaciari and David Atienza and Ramon Canal and Alessandro Cilardo and Jos{\'{e}} Flich Cardo and Carles Hern{\'{a}}ndez Luz and Michal Kulczewski and Giuseppe Massari and Rafael Tornero Gavil{\'{a}} and Marina Zapater}, title = {The {RECIPE} Approach to Challenges in Deeply Heterogeneous High Performance Systems}, journal = {CoRR}, volume = {abs/2103.03044}, year = {2021}, url = {https://arxiv.org/abs/2103.03044}, eprinttype = {arXiv}, eprint = {2103.03044}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-03044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-06234, author = {Yossi Arjevani and Joan Bruna and Michael Field and Joe Kileel and Matthew Trager and Francis Williams}, title = {Symmetry Breaking in Symmetric Tensor Decomposition}, journal = {CoRR}, volume = {abs/2103.06234}, year = {2021}, url = {https://arxiv.org/abs/2103.06234}, eprinttype = {arXiv}, eprint = {2103.06234}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-06234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11470, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Stegun Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Yuki Kubo and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: Quest for Robotic Autonomy in Challenging Environments; {TEAM} CoSTAR at the {DARPA} Subterranean Challenge}, journal = {CoRR}, volume = {abs/2103.11470}, year = {2021}, url = {https://arxiv.org/abs/2103.11470}, eprinttype = {arXiv}, eprint = {2103.11470}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-03909, author = {David Liu and Zohair Shafi and William Fleisher and Tina Eliassi{-}Rad and Scott Alfeld}, title = {{RAWLSNET:} Altering Bayesian Networks to Encode Rawlsian Fair Equality of Opportunity}, journal = {CoRR}, volume = {abs/2104.03909}, year = {2021}, url = {https://arxiv.org/abs/2104.03909}, eprinttype = {arXiv}, eprint = {2104.03909}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-03909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07636, author = {Chitwan Saharia and Jonathan Ho and William Chan and Tim Salimans and David J. Fleet and Mohammad Norouzi}, title = {Image Super-Resolution via Iterative Refinement}, journal = {CoRR}, volume = {abs/2104.07636}, year = {2021}, url = {https://arxiv.org/abs/2104.07636}, eprinttype = {arXiv}, eprint = {2104.07636}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-06967, author = {Gabriel Salomon and Alceu S. Britto Jr. and Rafael Henrique Vareto and William Robson Schwartz and David Menotti}, title = {Open-set Face Recognition for Small Galleries Using Siamese Networks}, journal = {CoRR}, volume = {abs/2105.06967}, year = {2021}, url = {https://arxiv.org/abs/2105.06967}, eprinttype = {arXiv}, eprint = {2105.06967}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-06967.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07796, author = {David R. Glowacki and Rhoslyn Roebuck Williams and Olivia M. Maynard and James E. Pike and Rachel Freire and Mark D. Wonnacott and Mike Chatziapostolou}, title = {Dissolving yourself in connection to others: shared experiences of ego attenuation and connectedness during group {VR} experiences can be comparable to psychedelics}, journal = {CoRR}, volume = {abs/2105.07796}, year = {2021}, url = {https://arxiv.org/abs/2105.07796}, eprinttype = {arXiv}, eprint = {2105.07796}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07796.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-12880, author = {Eli Dart and William E. Allcock and Wahid Bhimji and Tim Boerner and Ravinderjeet Cheema and Andrew Cherry and Brent Draney and Salman Habib and Damian Hazen and Jason Hill and Matt Kollross and Suzanne Parete{-}Koon and Daniel Pelfrey and Adrian Pope and Jeff Porter and David Wheeler}, title = {The Petascale {DTN} Project: High Performance Data Transfer for {HPC} Facilities}, journal = {CoRR}, volume = {abs/2105.12880}, year = {2021}, url = {https://arxiv.org/abs/2105.12880}, eprinttype = {arXiv}, eprint = {2105.12880}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-12880.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07893, author = {Shruthi Gorantala and Rob Springer and Sean Purser{-}Haskell and William Lam and Royce J. Wilson and Asra Ali and Eric P. Astor and Itai Zukerman and Sam Ruth and Christoph Dibak and Phillipp Schoppmann and Sasha Kulankhina and Alain Forget and David Marn and Cameron Tew and Rafael Misoczki and Bernat Guillen and Xinyu Ye and Dennis Kraft and Damien Desfontaines and Aishe Krishnamurthy and Miguel Guevara and Irippuge Milinda Perera and Yurii Sushko and Bryant Gipson}, title = {A General Purpose Transpiler for Fully Homomorphic Encryption}, journal = {CoRR}, volume = {abs/2106.07893}, year = {2021}, url = {https://arxiv.org/abs/2106.07893}, eprinttype = {arXiv}, eprint = {2106.07893}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07893.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-08909, author = {David Brandfonbrener and William F. Whitney and Rajesh Ranganath and Joan Bruna}, title = {Offline {RL} Without Off-Policy Evaluation}, journal = {CoRR}, volume = {abs/2106.08909}, year = {2021}, url = {https://arxiv.org/abs/2106.08909}, eprinttype = {arXiv}, eprint = {2106.08909}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-08909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11485, author = {Yutong He and Dingjie Wang and Nicholas Lai and William Zhang and Chenlin Meng and Marshall Burke and David B. Lobell and Stefano Ermon}, title = {Spatial-Temporal Super-Resolution of Satellite Imagery via Conditional Pixel Synthesis}, journal = {CoRR}, volume = {abs/2106.11485}, year = {2021}, url = {https://arxiv.org/abs/2106.11485}, eprinttype = {arXiv}, eprint = {2106.11485}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11485.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-14876, author = {Ingmar Kanitscheider and Joost Huizinga and David Farhi and William Hebgen Guss and Brandon Houghton and Raul Sampedro and Peter Zhokhov and Bowen Baker and Adrien Ecoffet and Jie Tang and Oleg Klimov and Jeff Clune}, title = {Multi-task curriculum learning in a complex, visual, hard-exploration domain: Minecraft}, journal = {CoRR}, volume = {abs/2106.14876}, year = {2021}, url = {https://arxiv.org/abs/2106.14876}, eprinttype = {arXiv}, eprint = {2106.14876}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-14876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-15282, author = {Jonathan Ho and Chitwan Saharia and William Chan and David J. Fleet and Mohammad Norouzi and Tim Salimans}, title = {Cascaded Diffusion Models for High Fidelity Image Generation}, journal = {CoRR}, volume = {abs/2106.15282}, year = {2021}, url = {https://arxiv.org/abs/2106.15282}, eprinttype = {arXiv}, eprint = {2106.15282}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-15282.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-03291, author = {William Jones and Jesse David Dinneen and Robert Capra and Anne R. Diekema and Manuel A. P{\'{e}}rez{-}Qui{\~{n}}ones}, title = {Personal Information Management}, journal = {CoRR}, volume = {abs/2107.03291}, year = {2021}, url = {https://arxiv.org/abs/2107.03291}, eprinttype = {arXiv}, eprint = {2107.03291}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-03291.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-00109, author = {Tao Ge and Maria Medrano and Rui Liao and David G. Politte and Jeffrey F. Williamson and Joseph A. O'Sullivan}, title = {A Machine-learning Based Initialization for Joint Statistical Iterative Dual-energy {CT} with Application to Proton Therapy}, journal = {CoRR}, volume = {abs/2108.00109}, year = {2021}, url = {https://arxiv.org/abs/2108.00109}, eprinttype = {arXiv}, eprint = {2108.00109}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-00109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-02037, author = {Siddharth Samsi and Matthew L. Weiss and David Bestor and Baolin Li and Michael Jones and Albert Reuther and Daniel Edelman and William Arcand and Chansup Byun and John Holodnack and Matthew Hubbell and Jeremy Kepner and Anna Klein and Joseph McDonald and Adam Michaleas and Peter Michaleas and Lauren Milechin and Julia S. Mullen and Charles Yee and Benjamin Price and Andrew Prout and Antonio Rosa and Allan Vanterpool and Lindsey McEvoy and Anson Cheng and Devesh Tiwari and Vijay Gadepally}, title = {The {MIT} Supercloud Dataset}, journal = {CoRR}, volume = {abs/2108.02037}, year = {2021}, url = {https://arxiv.org/abs/2108.02037}, eprinttype = {arXiv}, eprint = {2108.02037}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-02037.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-02197, author = {Shay Kutten and William K. Moses Jr. and Gopal Pandurangan and David Peleg}, title = {Singularly Near Optimal Leader Election in Asynchronous Networks}, journal = {CoRR}, volume = {abs/2108.02197}, year = {2021}, url = {https://arxiv.org/abs/2108.02197}, eprinttype = {arXiv}, eprint = {2108.02197}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-02197.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06650, author = {Jeremy Kepner and Tim Davis and Chansup Byun and William Arcand and David Bestor and William Bergeron and Vijay Gadepally and Matthew Hubbell and Michael Houle and Michael Jones and Anna Klein and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Peter Michaleas}, title = {Vertical, Temporal, and Horizontal Scaling of Hierarchical Hypersparse GraphBLAS Matrices}, journal = {CoRR}, volume = {abs/2108.06650}, year = {2021}, url = {https://arxiv.org/abs/2108.06650}, eprinttype = {arXiv}, eprint = {2108.06650}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06653, author = {Jeremy Kepner and Michael Jones and Daniel Andersen and Aydin Bulu{\c{c}} and Chansup Byun and Kimberly C. Claffy and Timothy Davis and William Arcand and Jonathan Bernays and David Bestor and William Bergeron and Vijay Gadepally and Micheal Houle and Matthew Hubbell and Anna Klein and Chad R. Meiners and Lauren Milechin and Julie Mullen and Sandeep Pisharody and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Doug Stetson and Adam Tse and Charles Yee and Peter Michaleas}, title = {Spatial Temporal Analysis of 40, 000, 000, 000, 000 Internet Darkspace Packets}, journal = {CoRR}, volume = {abs/2108.06653}, year = {2021}, url = {https://arxiv.org/abs/2108.06653}, eprinttype = {arXiv}, eprint = {2108.06653}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-08700, author = {Gerrit Holtrup and William Lacube and Dimitri Percia David and Alain Mermoud and G{\'{e}}r{\^{o}}me Bovet and Vincent Lenders}, title = {5G System Security Analysis}, journal = {CoRR}, volume = {abs/2108.08700}, year = {2021}, url = {https://arxiv.org/abs/2108.08700}, eprinttype = {arXiv}, eprint = {2108.08700}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-08700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-11359, author = {Chansup Byun and William Arcand and David Bestor and Bill Bergeron and Vijay Gadepally and Michael Houle and Matthew Hubbell and Michael Jones and Anna Klein and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {Node-Based Job Scheduling for Large Scale Simulations of Short Running Jobs}, journal = {CoRR}, volume = {abs/2108.11359}, year = {2021}, url = {https://arxiv.org/abs/2108.11359}, eprinttype = {arXiv}, eprint = {2108.11359}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-11359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-11525, author = {Kaira Samuel and Jeremy Kepner and Michael Jones and Lauren Milechin and Vijay Gadepally and William Arcand and David Bestor and William Bergeron and Chansup Byun and Matthew Hubbell and Michael Houle and Anna Klein and Victor Lopez and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Sid Samsi and Charles Yee and Peter Michaleas}, title = {Supercomputing Enabled Deployable Analytics for Disaster Response}, journal = {CoRR}, volume = {abs/2108.11525}, year = {2021}, url = {https://arxiv.org/abs/2108.11525}, eprinttype = {arXiv}, eprint = {2108.11525}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-11525.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-00653, author = {Monika Henzinger and Billy Jin and Richard Peng and David P. Williamson}, title = {Cut-Toggling and Cycle-Toggling for Electrical Flow and Other p-Norm Flows}, journal = {CoRR}, volume = {abs/2109.00653}, year = {2021}, url = {https://arxiv.org/abs/2109.00653}, eprinttype = {arXiv}, eprint = {2109.00653}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-00653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01068, author = {Varun Jampani and Huiwen Chang and Kyle Sargent and Abhishek Kar and Richard Tucker and Michael Krainin and Dominik Kaeser and William T. Freeman and David Salesin and Brian Curless and Ce Liu}, title = {{SLIDE:} Single Image 3D Photography with Soft Layering and Depth-aware Inpainting}, journal = {CoRR}, volume = {abs/2109.01068}, year = {2021}, url = {https://arxiv.org/abs/2109.01068}, eprinttype = {arXiv}, eprint = {2109.01068}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01068.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04532, author = {Bill Bergeron and Matthew Hubbell and Dylan Sequeira and Winter Williams and William Arcand and David Bestor and Chansup Byun and Vijay Gadepally and Michael Houle and Michael Jones and Anna Klien and Peter Michaleas and Lauren Milechin and Julie Mullen and Andrew Prout and Albert Reuther and Antonio Rosa and Siddharth Samsi and Charles Yee and Jeremy Kepner}, title = {3D Real-Time Supercomputer Monitoring}, journal = {CoRR}, volume = {abs/2109.04532}, year = {2021}, url = {https://arxiv.org/abs/2109.04532}, eprinttype = {arXiv}, eprint = {2109.04532}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-10780, author = {Sijia Liu and Andrew Wen and Liwei Wang and Huan He and Sunyang Fu and Robert T. Miller and Andrew E. Williams and Daniel R. Harris and Ramakanth Kavuluru and Mei Liu and Noor Abu{-}El{-}Rub and Rui Zhang and John D. Osborne and Masoud Rouhizadeh and Yongqun He and Emily R. Pfaff and Christopher G. Chute and Tim Duong and Melissa A. Haendel and Rafael Fuentes and Peter Szolovits and Hua Xu and Hongfang Liu}, title = {An Open Natural Language Processing Development Framework for EHR-based Clinical Research: {A} case demonstration using the National {COVID} Cohort Collaborative {(N3C)}}, journal = {CoRR}, volume = {abs/2110.10780}, year = {2021}, url = {https://arxiv.org/abs/2110.10780}, eprinttype = {arXiv}, eprint = {2110.10780}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-10780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-13041, author = {Allison McCarn Deiana and Nhan Tran and Joshua Agar and Michaela Blott and Giuseppe Di Guglielmo and Javier M. Duarte and Philip C. Harris and Scott Hauck and Mia Liu and Mark S. Neubauer and Jennifer Ngadiuba and Seda Ogrenci Memik and Maurizio Pierini and Thea Aarrestad and Steffen B{\"{a}}hr and J{\"{u}}rgen Becker and Anne{-}Sophie Berthold and Richard J. Bonventre and Tom{\'{a}}s E. M{\"{u}}ller{-}Bravo and Markus Diefenthaler and Zhen Dong and Nick Fritzsche and Amir Gholami and Ekaterina Govorkova and Kyle J. Hazelwood and Christian Herwig and Babar Khan and Sehoon Kim and Thomas Klijnsma and Yaling Liu and Kin Ho Lo and Tri Nguyen and Gianantonio Pezzullo and Seyedramin Rasoulinezhad and Ryan A. Rivera and Kate Scholberg and Justin Selig and Sougata Sen and Dmitri Strukov and William Tang and Savannah Thais and Kai Lukas Unger and Ricardo Vilalta and Belinavon Krosigk and Thomas K. Warburton and Maria Acosta Flechas and Anthony Aportela and Thomas Calvet and Leonardo Cristella and Daniel Diaz and Caterina Doglioni and Maria Domenica Galati and Elham E Khoda and Farah Fahim and Davide Giri and Benjamin Hawks and Duc Hoang and Burt Holzman and Shih{-}Chieh Hsu and Sergo Jindariani and Iris Johnson and Raghav Kansal and Ryan Kastner and Erik Katsavounidis and Jeffrey D. Krupa and Pan Li and Sandeep Madireddy and Ethan Marx and Patrick McCormack and Andres Meza and Jovan Mitrevski and Mohammed Attia Mohammed and Farouk Mokhtar and Eric A. Moreno and Srishti Nagu and Rohin Narayan and Noah Palladino and Zhiqiang Que and Sang Eon Park and Subramanian Ramamoorthy and Dylan S. Rankin and Simon Rothman and Ashish Sharma and Sioni Summers and Pietro Vischia and Jean{-}Roch Vlimant and Olivia Weng}, title = {Applications and Techniques for Fast Machine Learning in Science}, journal = {CoRR}, volume = {abs/2110.13041}, year = {2021}, url = {https://arxiv.org/abs/2110.13041}, eprinttype = {arXiv}, eprint = {2110.13041}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-13041.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-05826, author = {Chitwan Saharia and William Chan and Huiwen Chang and Chris A. Lee and Jonathan Ho and Tim Salimans and David J. Fleet and Mohammad Norouzi}, title = {Palette: Image-to-Image Diffusion Models}, journal = {CoRR}, volume = {abs/2111.05826}, year = {2021}, url = {https://arxiv.org/abs/2111.05826}, eprinttype = {arXiv}, eprint = {2111.05826}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-05826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-11535, author = {Kanav Vats and William J. McNally and Pascale Walters and David A. Clausi and John S. Zelek}, title = {Ice hockey player identification via transformers}, journal = {CoRR}, volume = {abs/2111.11535}, year = {2021}, url = {https://arxiv.org/abs/2111.11535}, eprinttype = {arXiv}, eprint = {2111.11535}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-11535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-15347, author = {William E. Carson IV and Dmitry Yu. Isaev and Samantha Major and Guillermo Sapiro and Geraldine Dawson and David E. Carlson}, title = {Adversarial Factor Models for the Generation of Improved Autism Diagnostic Biomarkers}, journal = {CoRR}, volume = {abs/2111.15347}, year = {2021}, url = {https://arxiv.org/abs/2111.15347}, eprinttype = {arXiv}, eprint = {2111.15347}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-15347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-00950, author = {David Brandfonbrener and William F. Whitney and Rajesh Ranganath and Joan Bruna}, title = {Quantile Filtered Imitation Learning}, journal = {CoRR}, volume = {abs/2112.00950}, year = {2021}, url = {https://arxiv.org/abs/2112.00950}, eprinttype = {arXiv}, eprint = {2112.00950}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-00950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-01496, author = {Zhibin Zhao and Darcy Murphy and Hugh Gifford and Stefan Williams and Annie Darlington and Samuel D. Relton and Hui Fang and David C. Wong}, title = {Analysis of an adaptive lead weighted ResNet for multiclass classification of 12-lead ECGs}, journal = {CoRR}, volume = {abs/2112.01496}, year = {2021}, url = {https://arxiv.org/abs/2112.01496}, eprinttype = {arXiv}, eprint = {2112.01496}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-01496.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-07051, author = {Nicolas Matentzoglu and James P. Balhoff and Susan M. Bello and Chris Bizon and Matthew H. Brush and Tiffany J. Callahan and Christopher G. Chute and William D. Duncan and Chris T. A. Evelo and Davera Gabriel and John Graybeal and Alasdair J. G. Gray and Benjamin M. Gyori and Melissa A. Haendel and Henriette Harmse and Nomi L. Harris and Ian Harrow and Harshad Hegde and Amelia L. Hoyt and Charles Tapley Hoyt and Dazhi Jiao and Ernesto Jim{\'{e}}nez{-}Ruiz and Simon Jupp and Hyeongsik Kim and Sebastian K{\"{o}}hler and Thomas Liener and Qinqin Long and James Malone and James A. McLaughlin and Julie A. McMurry and Sierra A. T. Moxon and Monica C. Munoz{-}Torres and David Osumi{-}Sutherland and James A. Overton and Bjoern Peters and Tim E. Putman and N{\'{u}}ria Queralt{-}Rosinach and Kent A. Shefchek and Harold Solbrig and Anne E. Thessen and Tania Tudorache and Nicole A. Vasilevsky and Alex H. Wagner and Christopher J. Mungall}, title = {A Simple Standard for Sharing Ontological Mappings {(SSSOM)}}, journal = {CoRR}, volume = {abs/2112.07051}, year = {2021}, url = {https://arxiv.org/abs/2112.07051}, eprinttype = {arXiv}, eprint = {2112.07051}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-07051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-11446, author = {Jack W. Rae and Sebastian Borgeaud and Trevor Cai and Katie Millican and Jordan Hoffmann and H. Francis Song and John Aslanides and Sarah Henderson and Roman Ring and Susannah Young and Eliza Rutherford and Tom Hennigan and Jacob Menick and Albin Cassirer and Richard Powell and George van den Driessche and Lisa Anne Hendricks and Maribeth Rauh and Po{-}Sen Huang and Amelia Glaese and Johannes Welbl and Sumanth Dathathri and Saffron Huang and Jonathan Uesato and John Mellor and Irina Higgins and Antonia Creswell and Nat McAleese and Amy Wu and Erich Elsen and Siddhant M. Jayakumar and Elena Buchatskaya and David Budden and Esme Sutherland and Karen Simonyan and Michela Paganini and Laurent Sifre and Lena Martens and Xiang Lorraine Li and Adhiguna Kuncoro and Aida Nematzadeh and Elena Gribovskaya and Domenic Donato and Angeliki Lazaridou and Arthur Mensch and Jean{-}Baptiste Lespiau and Maria Tsimpoukelli and Nikolai Grigorev and Doug Fritz and Thibault Sottiaux and Mantas Pajarskas and Toby Pohlen and Zhitao Gong and Daniel Toyama and Cyprien de Masson d'Autume and Yujia Li and Tayfun Terzi and Vladimir Mikulik and Igor Babuschkin and Aidan Clark and Diego de Las Casas and Aurelia Guy and Chris Jones and James Bradbury and Matthew J. Johnson and Blake A. Hechtman and Laura Weidinger and Iason Gabriel and William Isaac and Edward Lockhart and Simon Osindero and Laura Rimell and Chris Dyer and Oriol Vinyals and Kareem Ayoub and Jeff Stanway and Lorrayne Bennett and Demis Hassabis and Koray Kavukcuoglu and Geoffrey Irving}, title = {Scaling Language Models: Methods, Analysis {\&} Insights from Training Gopher}, journal = {CoRR}, volume = {abs/2112.11446}, year = {2021}, url = {https://arxiv.org/abs/2112.11446}, eprinttype = {arXiv}, eprint = {2112.11446}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-11446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/WilliamsonBCDGKMMOPRSTL21, author = {Hugh F. Williamson and Julia Brettschneider and Mario C{\'{a}}ccamo and Robert P. Davey and Carole A. Goble and Paul J. Kersey and Sean T. May and Richard J. Morris and Richard Ostler and Tony P. Pridmore and Christopher J. Rawlings and David J. Studholme and Sotirios A. Tsaftaris and Sabina Leonelli}, title = {Data management challenges for artificial intelligence in plant and agricultural research}, journal = {F1000Research}, volume = {10}, pages = {324}, year = {2021}, url = {https://doi.org/10.12688/f1000research.52204.1}, doi = {10.12688/F1000RESEARCH.52204.1}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/WilliamsonBCDGKMMOPRSTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChaumLYC21, author = {David Chaum and Mario Larangeira and Mario Yaksetig and William Carter}, title = {{W-OTS(+)} up my Sleeve! {A} Hidden Secure Fallback for Cryptocurrency Wallets}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {872}, year = {2021}, url = {https://eprint.iacr.org/2021/872}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChaumLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GorantalaSPLWAA21, author = {Shruthi Gorantala and Rob Springer and Sean Purser{-}Haskell and William Lam and Royce J. Wilson and Asra Ali and Eric P. Astor and Itai Zukerman and Sam Ruth and Christoph Dibak and Phillipp Schoppmann and Sasha Kulankhina and Alain Forget and David Marn and Cameron Tew and Rafael Misoczki and Bernat Guillen and Xinyu Ye and Dennis Kraft and Damien Desfontaines and Aishe Krishnamurthy and Miguel Guevara and Irippuge Milinda Perera and Yurii Sushko and Bryant Gipson}, title = {A General Purpose Transpiler for Fully Homomorphic Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {811}, year = {2021}, url = {https://eprint.iacr.org/2021/811}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/GorantalaSPLWAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SilvaHDA21, author = {David William Honorio Araujo da Silva and Luke Harmon and Gaetan Delavignette and Carlos Paz de Araujo}, title = {Leveled Fully Homomorphic Encryption Schemes with Hensel Codes}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1281}, year = {2021}, url = {https://eprint.iacr.org/2021/1281}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SilvaHDA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChinSQLKD20, author = {Wen{-}Long Chin and David Shiung and Yi Qian and Woongsup Lee and Andres Kwasinski and Yansha Deng}, title = {{IEEE} Access Special Section Editorial: Green Signal Processing for Wireless Communicationsand Networking}, journal = {{IEEE} Access}, volume = {8}, pages = {105169--105172}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3000281}, doi = {10.1109/ACCESS.2020.3000281}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChinSQLKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZoniGF20, author = {Davide Zoni and Andrea Galimberti and William Fornaciari}, title = {Flexible and Scalable FPGA-Oriented Design of Multipliers for Large Binary Polynomials}, journal = {{IEEE} Access}, volume = {8}, pages = {75809--75821}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2989423}, doi = {10.1109/ACCESS.2020.2989423}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZoniGF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZoniGF20a, author = {Davide Zoni and Andrea Galimberti and William Fornaciari}, title = {Efficient and Scalable FPGA-Oriented Design of {QC-LDPC} Bit-Flipping Decoders for Post-Quantum Cryptography}, journal = {{IEEE} Access}, volume = {8}, pages = {163419--163433}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020262}, doi = {10.1109/ACCESS.2020.3020262}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZoniGF20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/GordonHDFJLMSSB20, author = {William J. Gordon and Daniel Henderson and Avital Desharone and Herrick N. Fisher and Jessica Judge and David M. Levine and Laura Maclean and Diane Sousa and Mack Y. Su and Robert Boxer}, title = {Remote Patient Monitoring Program for Hospital Discharged {COVID-19} Patients}, journal = {Appl. Clin. Inform.}, volume = {11}, number = {05}, pages = {792--801}, year = {2020}, url = {https://doi.org/10.1055/s-0040-1721039}, doi = {10.1055/S-0040-1721039}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/GordonHDFJLMSSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/SnowdonRSWSSBKS20, author = {Jane L. Snowdon and Barbie Robinson and Carolyn Staats and Kenneth Wolsey and Megan Sands{-}Lincoln and Thomas Strasheim and David Brotman and Katie Keating and Elizabeth Schnitter and Gretchen P. Jackson and William Kassler}, title = {Empowering Caseworkers to Better Serve the Most Vulnerable with a Cloud-Based Care Management Solution}, journal = {Appl. Clin. Inform.}, volume = {11}, number = {04}, pages = {617--621}, year = {2020}, url = {https://doi.org/10.1055/s-0040-1715894}, doi = {10.1055/S-0040-1715894}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/SnowdonRSWSSBKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acta/MestelR20, author = {David Mestel and A. W. Roscoe}, title = {Translating between models of concurrency}, journal = {Acta Informatica}, volume = {57}, number = {3-5}, pages = {403--438}, year = {2020}, url = {https://doi.org/10.1007/s00236-020-00372-9}, doi = {10.1007/S00236-020-00372-9}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/acta/MestelR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/CrabbeLE20, author = {Richard A. Crabbe and David W. Lamb and Clare Edwards}, title = {Discrimination of species composition types of a grazed pasture landscape using Sentinel-1 and Sentinel-2 data}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {84}, year = {2020}, url = {https://doi.org/10.1016/j.jag.2019.101978}, doi = {10.1016/J.JAG.2019.101978}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/CrabbeLE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alife/LehmanCMAABBBBB20, author = {Joel Lehman and Jeff Clune and Dusan Misevic and Christoph Adami and Lee Altenberg and Julie Beaulieu and Peter J. Bentley and Samuel Bernard and Guillaume Beslon and David M. Bryson and Nick Cheney and Patryk Chrabaszcz and Antoine Cully and St{\'{e}}phane Doncieux and Fred C. Dyer and Kai Olav Ellefsen and Robert Feldt and Stephan Fischer and Stephanie Forrest and Antoine Fr{\'{e}}noy and Christian Gagn{\'{e}} and L{\'{e}}ni K. Le Goff and Laura M. Grabowski and Babak Hodjat and Frank Hutter and Laurent Keller and Carole Knibbe and Peter Krcah and Richard E. Lenski and Hod Lipson and Robert MacCurdy and Carlos Maestre and Risto Miikkulainen and Sara Mitri and David E. Moriarty and Jean{-}Baptiste Mouret and Anh Nguyen and Charles Ofria and Marc Parizeau and David P. Parsons and Robert T. Pennock and William F. Punch and Thomas S. Ray and Marc Schoenauer and Eric Schulte and Karl Sims and Kenneth O. Stanley and Fran{\c{c}}ois Taddei and Danesh Tarapore and Simon Thibault and Richard A. Watson and Westley Weimer and Jason Yosinski}, title = {The Surprising Creativity of Digital Evolution: {A} Collection of Anecdotes from the Evolutionary Computation and Artificial Life Research Communities}, journal = {Artif. Life}, volume = {26}, number = {2}, pages = {274--306}, year = {2020}, url = {https://doi.org/10.1162/artl\_a\_00319}, doi = {10.1162/ARTL\_A\_00319}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/alife/LehmanCMAABBBBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/KyrimiMTM20, author = {Evangelia Kyrimi and Somayyeh Mossadegh and Nigel Tai and William Marsh}, title = {An incremental explanation of inference in Bayesian networks for increasing model trustworthiness and supporting clinical decision making}, journal = {Artif. Intell. Medicine}, volume = {103}, pages = {101812}, year = {2020}, url = {https://doi.org/10.1016/j.artmed.2020.101812}, doi = {10.1016/J.ARTMED.2020.101812}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/KyrimiMTM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/WilliamsR0AQG020, author = {Stefan Williams and Samuel D. Relton and Hui Fang and Jane E. Alty and Rami Qahwaji and Christopher D. Graham and David C. Wong}, title = {Supervised classification of bradykinesia in Parkinson's disease from smartphone videos}, journal = {Artif. Intell. Medicine}, volume = {110}, pages = {101966}, year = {2020}, url = {https://doi.org/10.1016/j.artmed.2020.101966}, doi = {10.1016/J.ARTMED.2020.101966}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/WilliamsR0AQG020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ascom/HernerABSKSBDPA20, author = {Ken Herner and James Annis and Dillon Brout and Marcelle Soares{-}Santos and Richard Kessler and Masao Sako and Ray F. Butler and Zoheyr Doctor and Antonella Palmese and Sahar Allam and Douglas L. Tucker and Flavia Sobreira and Brian Yanny and H. Thomas Diehl and Joshua A. Frieman and Noemi Glaeser and Alyssa Garcia and N. F. Sherman and Keith C. Bechtol and Edo Berger and Hsinyu Chen and Christopher J. Conselice and Erika R. Cook and Philip S. Cowperthwaite and Tamara M. Davis and Alex Drlica{-}Wagner and David A. Finley and Ryan J. Foley and Juan Garc{\'{\i}}a{-}Bellido and Mandeep S. Gill and Robert A. Gruendl and Daniel E. Holz and Nikolay Kuropatkin and Huan Lin and John P. Marriner and Jennifer L. Marshall and Thomas Matheson and Eric H. Neilsen and Francisco Paz{-}Chinch{\'{o}}n and Marcus Sauseda and Daniel M. Scolnic and Peter K. G. Williams and Santiago {\'{A}}vila and Emmanuel Bertin and Elizabeth Buckley{-}Geer and David L. Burke and Aurelio Carnero Rosell and Matias Carrasco Kind and Jorge Carretero and Luiz Nicolaci da Costa and Juan de Vicente and Shantanu Desai and Peter Doel and Tim F. Eifler and Spencer W. Everett and Pablo Fosalba and Enrique Gazta{\~{n}}aga and David W. Gerdes and Julia Gschwend and Gaston R. Guti{\'{e}}rrez and William G. Hartley and Devon L. Hollowood and Klaus Honscheid and David J. James and Elisabeth Krause and Kyler W. Kuehn and Ofer Lahav and Ting S. Li and Marcos Lima and Marcio A. G. Maia and Marisa C. March and Felipe Menanteau and Ramon Miquel and Andreas Alejandro Plazas and Eusebio S{\'{a}}nchez and Victor E. Scarpine and Michael S. Schubnell and Santiago Serrano and Ignacio Sevilla{-}Noarbe and Mathew C. Smith and Eric Suchyta and Gregory G. Tarl{\'{e}} and William C. Wester and Yuanyuan Zhang}, title = {Optical follow-up of gravitational wave triggers with DECam during the first two {LIGO/VIRGO} observing runs}, journal = {Astron. Comput.}, volume = {33}, pages = {100425}, year = {2020}, url = {https://doi.org/10.1016/j.ascom.2020.100425}, doi = {10.1016/J.ASCOM.2020.100425}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ascom/HernerABSKSBDPA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/LiuPKGLTSS20, author = {Xinyang Liu and William Plishker and Timothy D. Kane and David A. Geller and Lung W. Lau and Jun Tashiro and Karun Sharma and Raj Shekhar}, title = {Preclinical evaluation of ultrasound-augmented needle navigation for laparoscopic liver ablation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {15}, number = {5}, pages = {803--810}, year = {2020}, url = {https://doi.org/10.1007/s11548-020-02164-5}, doi = {10.1007/S11548-020-02164-5}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/LiuPKGLTSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/BauerCL20, author = {Steve Bauer and David D. Clark and William H. Lehr}, title = {Gigabit broadband measurement workshop report}, journal = {Comput. Commun. Rev.}, volume = {50}, number = {1}, pages = {60--65}, year = {2020}, url = {https://doi.org/10.1145/3390251.3390259}, doi = {10.1145/3390251.3390259}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/BauerCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/WaiteCMS20, author = {Jane Waite and Paul Curzon and William Marsh and Sue Sentance}, title = {Difficulties with design: The challenges of teaching design in {K-5} programming}, journal = {Comput. Educ.}, volume = {150}, pages = {103838}, year = {2020}, url = {https://doi.org/10.1016/j.compedu.2020.103838}, doi = {10.1016/J.COMPEDU.2020.103838}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/WaiteCMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/LorensenJKW20, author = {William E. Lorensen and Chris R. Johnson and Dave Kasik and Mary C. Whitton}, title = {History of the Marching Cubes Algorithm}, journal = {{IEEE} Computer Graphics and Applications}, volume = {40}, number = {2}, pages = {8--15}, year = {2020}, url = {https://doi.org/10.1109/MCG.2020.2971284}, doi = {10.1109/MCG.2020.2971284}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cga/LorensenJKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/SwieckiRFS20, author = {Zachari Swiecki and Andrew R. Ruis and Cayley Farrell and David Williamson Shaffer}, title = {Assessing individual contributions to Collaborative Problem Solving: {A} network analysis approach}, journal = {Comput. Hum. Behav.}, volume = {104}, pages = {105876}, year = {2020}, url = {https://doi.org/10.1016/j.chb.2019.01.009}, doi = {10.1016/J.CHB.2019.01.009}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/SwieckiRFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ChenW20, author = {Xi Chen and David M. Williams}, title = {Versatile mixed methods for the incompressible Navier-Stokes equations}, journal = {Comput. Math. Appl.}, volume = {80}, number = {6}, pages = {1555--1577}, year = {2020}, url = {https://doi.org/10.1016/j.camwa.2020.07.024}, doi = {10.1016/J.CAMWA.2020.07.024}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ChenW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/McCulloughLJAW20, author = {Jon W. S. McCullough and Christopher R. Leonardi and Bruce David Jones and Saiied M. Aminossadati and John R. Williams}, title = {Investigation of local and non-local lattice Boltzmann models for transient heat transfer between non-stationary, disparate media}, journal = {Comput. Math. Appl.}, volume = {79}, number = {1}, pages = {174--194}, year = {2020}, url = {https://doi.org/10.1016/j.camwa.2018.01.018}, doi = {10.1016/J.CAMWA.2018.01.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/McCulloughLJAW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/WilliamsFMD20, author = {David M. Williams and Cory V. Frontin and Edward A. Miller and David L. Darmofal}, title = {A family of symmetric, optimized quadrature rules for pentatopes}, journal = {Comput. Math. Appl.}, volume = {80}, number = {5}, pages = {1405--1420}, year = {2020}, url = {https://doi.org/10.1016/j.camwa.2020.07.004}, doi = {10.1016/J.CAMWA.2020.07.004}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/WilliamsFMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comgeo/BeltonFMMMSSSW20, author = {Robin Lynne Belton and Brittany Terese Fasy and Rostik Mertz and Samuel Micka and David L. Millman and Daniel Salinas and Anna Schenfisch and Jordan Schupbach and Lucia Williams}, title = {Reconstructing embedded graphs from persistence diagrams}, journal = {Comput. Geom.}, volume = {90}, pages = {101658}, year = {2020}, url = {https://doi.org/10.1016/j.comgeo.2020.101658}, doi = {10.1016/J.COMGEO.2020.101658}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comgeo/BeltonFMMMSSSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cryptologia/Sherman20, author = {David Sherman}, title = {Sources and methods for cryptologic history: the William and Elizebeth Smith Friedman collections}, journal = {Cryptologia}, volume = {44}, number = {3}, pages = {267--279}, year = {2020}, url = {https://doi.org/10.1080/01611194.2020.1733134}, doi = {10.1080/01611194.2020.1733134}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cryptologia/Sherman20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/LoganAACCGKEGGK20, author = {Jeremy Logan and Mark Ainsworth and Chuck Atkins and Jieyang Chen and Jong Choi and Junmin Gu and James Kress and Greg Eisenhauer and Berk Geveci and William F. Godoy and Mark Kim and Tahsin M. Kur{\c{c}} and Qing Liu and Kshitij Mehta and George Ostrouchov and Norbert Podhorszki and David Pugmire and Eric Suchyta and Nicholas Thompson and Ozan Tugluk and Lipeng Wan and Ruonan Wang and Ben Whitney and Matthew Wolf and Kesheng Wu and Scott Klasky}, title = {Extending the Publish/Subscribe Abstraction for High-Performance {I/O} and Data Management at Extreme Scale}, journal = {{IEEE} Data Eng. Bull.}, volume = {43}, number = {1}, pages = {35--46}, year = {2020}, url = {http://sites.computer.org/debull/A20mar/p35.pdf}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/debu/LoganAACCGKEGGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/HarshanyBBG20, author = {Edward Harshany and Ryan Benton and David Bourrie and William Bradley Glisson}, title = {Big Data Forensics: Hadoop 3.2.0 Reconstruction}, journal = {Digit. Investig.}, volume = {32 Supplement}, pages = {300909}, year = {2020}, url = {https://doi.org/10.1016/j.fsidi.2020.300909}, doi = {10.1016/J.FSIDI.2020.300909}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/HarshanyBBG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/PostG20, author = {David L. Post and William E. Goode}, title = {Palette designer: {A} color-code design tool}, journal = {Displays}, volume = {61}, pages = {101929}, year = {2020}, url = {https://doi.org/10.1016/j.displa.2019.101929}, doi = {10.1016/J.DISPLA.2019.101929}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/PostG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eInformatica/BudgenBWD20, author = {David Budgen and Pearl Brereton and Nikki Williams and Sarah Drummond}, title = {What Support do Systematic Reviews Provide for Evidence-informed Teaching about Software Engineering Practice?}, journal = {e Informatica Softw. Eng. J.}, volume = {14}, number = {1}, year = {2020}, url = {https://doi.org/10.37190/e-inf200101}, doi = {10.37190/E-INF200101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eInformatica/BudgenBWD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/HoskinsHWWPOCYJ20, author = {Andrew J. Hoskins and Thomas D. Harwood and Chris Ware and Kristen Jennifer Williams and Justin J. Perry and Noboru Ota and Jim R. Croft and David K. Yeates and Walter Jetz and Maciej Golebiewski and Andy Purvis and Tim Robertson and Simon Ferrier}, title = {{BILBI:} Supporting global biodiversity assessment through high-resolution macroecological modelling}, journal = {Environ. Model. Softw.}, volume = {132}, pages = {104806}, year = {2020}, url = {https://doi.org/10.1016/j.envsoft.2020.104806}, doi = {10.1016/J.ENVSOFT.2020.104806}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/HoskinsHWWPOCYJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/WilliamsGBA20, author = {Timothy G. Williams and Seth D. Guikema and Daniel G. Brown and Arun Agrawal}, title = {Assessing model equifinality for robust policy analysis in complex socio-environmental systems}, journal = {Environ. Model. Softw.}, volume = {134}, pages = {104831}, year = {2020}, url = {https://doi.org/10.1016/j.envsoft.2020.104831}, doi = {10.1016/J.ENVSOFT.2020.104831}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/WilliamsGBA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ZoniCF20, author = {Davide Zoni and Luca Cremona and William Fornaciari}, title = {All-Digital Energy-Constrained Controller for General-Purpose Accelerators and CPUs}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {12}, number = {1}, pages = {17--20}, year = {2020}, url = {https://doi.org/10.1109/LES.2019.2914136}, doi = {10.1109/LES.2019.2914136}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/ZoniCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fac/WilliamsDSM20, author = {David M. Williams and Salaheddin Darwish and Steve Schneider and David R. Michael}, title = {Legislation-driven development of a Gift Aid system using Event-B}, journal = {Formal Aspects Comput.}, volume = {32}, number = {2-3}, pages = {251--273}, year = {2020}, url = {https://doi.org/10.1007/s00165-020-00512-5}, doi = {10.1007/S00165-020-00512-5}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fac/WilliamsDSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WanDD20, author = {Hang Wan and Micha{\"{e}}l David and William Derigent}, title = {Energy-efficient chain-based data gathering applied to communicating concrete}, journal = {Int. J. Distributed Sens. Networks}, volume = {16}, number = {8}, pages = {155014772093902}, year = {2020}, url = {https://doi.org/10.1177/1550147720939028}, doi = {10.1177/1550147720939028}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/WanDD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/TomaszewskiWGLW20, author = {Brian M. Tomaszewski and Amy Walker and Emily Gawlik and Casey Lane and Scott Williams and Deborah Orieta and Claudia McDaniel and Matthew Plummer and Anushka Nair and Nicolas San Jose and Nathan Terrell and Kyle Pecsok and Emma Thomley and Erin Mahoney and Emily Haberlack and David Schwartz}, title = {Supporting Disaster Resilience Spatial Thinking with Serious GeoGames: Project Lily Pad}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {6}, pages = {405}, year = {2020}, url = {https://doi.org/10.3390/ijgi9060405}, doi = {10.3390/IJGI9060405}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/TomaszewskiWGLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/OrtegaWTBR20, author = {Francisco Raul Ortega and Adam S. Williams and Katherine Tarre and Armando B. Barreto and Naphtali Rishe}, title = {3D Travel Comparison Study between Multi-Touch and GamePad}, journal = {Int. J. Hum. Comput. Interact.}, volume = {36}, number = {18}, pages = {1699--1713}, year = {2020}, url = {https://doi.org/10.1080/10447318.2020.1780016}, doi = {10.1080/10447318.2020.1780016}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/OrtegaWTBR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/ChildsAABBBBBCD20, author = {Hank Childs and Sean Ahern and James P. Ahrens and Andrew C. Bauer and Janine Bennett and E. Wes Bethel and Peer{-}Timo Bremer and Eric Brugger and Joseph Cottam and Matthieu Dorier and Soumya Dutta and Jean M. Favre and Thomas Fogal and Steffen Frey and Christoph Garth and Berk Geveci and William F. Godoy and Charles D. Hansen and Cyrus Harrison and Bernd Hentschel and Joseph A. Insley and Christopher R. Johnson and Scott Klasky and Aaron Knoll and James Kress and Matthew Larsen and Jay F. Lofstead and Kwan{-}Liu Ma and Preeti Malakar and Jeremy S. Meredith and Kenneth Moreland and Paul A. Navr{\'{a}}til and Patrick O'Leary and Manish Parashar and Valerio Pascucci and John Patchett and Tom Peterka and Steve Petruzza and Norbert Podhorszki and David Pugmire and Michel E. Rasquin and Silvio Rizzi and David H. Rogers and Sudhanshu Sane and Franz Sauer and Robert Sisneros and Han{-}Wei Shen and Will Usher and Rhonda Vickery and Venkatram Vishwanath and Ingo Wald and Ruonan Wang and Gunther H. Weber and Brad Whitlock and Matthew Wolf and Hongfeng Yu and Sean B. Ziegeler}, title = {A terminology for in situ visualization and analysis systems}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {34}, number = {6}, year = {2020}, url = {https://doi.org/10.1177/1094342020935991}, doi = {10.1177/1094342020935991}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/ChildsAABBBBBCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/KizginDDHJJKLPR20, author = {Hatice Kizgin and Bidit Lal Dey and Yogesh K. Dwivedi and David Laurie Hughes and Ahmad Jamal and Paul Jones and Bianca Kronemann and Michel Laroche and Lisa Pe{\~{n}}aloza and Marie{-}Odile Richard and Nripendra P. Rana and Rene Romer and Kuttimani Tamilmani and Michael D. Williams}, title = {The impact of social media on consumer acculturation: Current challenges, opportunities, and an agenda for research and practice}, journal = {Int. J. Inf. Manag.}, volume = {51}, pages = {102026}, year = {2020}, url = {https://doi.org/10.1016/j.ijinfomgt.2019.10.011}, doi = {10.1016/J.IJINFOMGT.2019.10.011}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/KizginDDHJJKLPR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/MentisSKKWM20, author = {Helena M. Mentis and David Ayman Shamma and Andrew L. Kun and Neha Kumar and Julie R. Williamson and Regan L. Mandryk}, title = {SIGCHI's quick response in a time of crisis}, journal = {Interactions}, volume = {27}, number = {4}, year = {2020}, url = {https://doi.org/10.1145/3404203}, doi = {10.1145/3404203}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/MentisSKKWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/StruzekDRM20, author = {David Struzek and Martin Dickel and Dave Randall and Claudia M{\"{u}}ller}, title = {How live streaming church services promotes social participation in rural areas}, journal = {Interactions}, volume = {27}, number = {1}, pages = {64--69}, year = {2020}, url = {https://doi.org/10.1145/3373263}, doi = {10.1145/3373263}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/StruzekDRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZhouLASL20, author = {Ian Zhou and Justin Lipman and Mehran Abolhasan and Negin Shariati and David W. Lamb}, title = {Frost Monitoring Cyber-Physical System: {A} Survey on Prediction and Active Protection Methods}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {7}, pages = {6514--6527}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2972936}, doi = {10.1109/JIOT.2020.2972936}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ZhouLASL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangM20, author = {Haoyuan Zhang and D. William R. Marsh}, title = {Multi-state deterioration prediction for infrastructure asset: Learning from uncertain data, knowledge and similar groups}, journal = {Inf. Sci.}, volume = {529}, pages = {197--213}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.11.017}, doi = {10.1016/J.INS.2019.11.017}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhangM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/BlackwellS20, author = {Christopher William Blackwell and Neel Smith}, title = {The {CITE} architecture {(CTS/CITE)} for analysis and alignment}, journal = {it Inf. Technol.}, volume = {62}, number = {2}, pages = {91--98}, year = {2020}, url = {https://doi.org/10.1515/itit-2019-0044}, doi = {10.1515/ITIT-2019-0044}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/it/BlackwellS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jagi/MonettLTBBGBCCS20, author = {Dagmar Monett and Colin W. P. Lewis and Kristinn R. Th{\'{o}}risson and Joscha Bach and Gianluca Baldassarre and Giovanni Granato and Istvan S. N. Berkeley and Fran{\c{c}}ois Chollet and Matthew Crosby and Henry Shevlin and John F. Sowa and John E. Laird and Shane Legg and Peter Lindes and Tom{\'{a}}s Mikolov and William J. Rapaport and Ra{\'{u}}l Rojas and Marek Rosa and Peter Stone and Richard S. Sutton and Roman V. Yampolskiy and Pei Wang and Roger C. Schank and Aaron Sloman and Alan F. T. Winfield}, title = {Special Issue "On Defining Artificial Intelligence" - Commentaries and Author's Response}, journal = {J. Artif. Gen. Intell.}, volume = {11}, number = {2}, pages = {1--100}, year = {2020}, url = {https://doi.org/10.2478/jagi-2020-0003}, doi = {10.2478/JAGI-2020-0003}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jagi/MonettLTBBGBCCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SeligsonWDMMPKP20, author = {Nathan D. Seligson and Jeremy L. Warner and William S. Dalton and David Martin and Robert S. Miller and Debra Patt and Kenneth L. Kehl and Matvey B. Palchuk and Gil Alterovitz and Laura K. Wiley and Ming Huang and Feichen Shen and Yanshan Wang and Khoa A. Nguyen and Anthony F. Wong and Funda Meric{-}Bernstam and Elmer V. Bernstam and James L. Chen}, title = {Recommendations for patient similarity classes: results of the {AMIA} 2019 workshop on defining patient similarity}, journal = {J. Am. Medical Informatics Assoc.}, volume = {27}, number = {11}, pages = {1808--1812}, year = {2020}, url = {https://doi.org/10.1093/jamia/ocaa159}, doi = {10.1093/JAMIA/OCAA159}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SeligsonWDMMPKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KyrimiNMNMF20, author = {Evangelia Kyrimi and Mariana Raniere Neves and Scott McLachlan and Martin Neil and William Marsh and Norman E. Fenton}, title = {Medical idioms for clinical Bayesian network development}, journal = {J. Biomed. Informatics}, volume = {108}, pages = {103495}, year = {2020}, url = {https://doi.org/10.1016/j.jbi.2020.103495}, doi = {10.1016/J.JBI.2020.103495}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/KyrimiNMNMF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/MenzerXM20, author = {William M. Menzer and Bing Xie and David D. L. Minh}, title = {On Restraints in End-Point Protein-Ligand Binding Free Energy Calculations}, journal = {J. Comput. Chem.}, volume = {41}, number = {6}, pages = {573--586}, year = {2020}, url = {https://doi.org/10.1002/jcc.26119}, doi = {10.1002/JCC.26119}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/MenzerXM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/MuthusivarajanA20, author = {Rajarajeswari Muthusivarajan and William J. Allen and Ashok D. Pehere and Konstantin V. Sokolov and David Fuentes}, title = {Role of alkylated residues in the tetrapeptide self-assembly - {A} molecular dynamics study}, journal = {J. Comput. Chem.}, volume = {41}, number = {31}, pages = {2634--2640}, year = {2020}, url = {https://doi.org/10.1002/jcc.26419}, doi = {10.1002/JCC.26419}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcc/MuthusivarajanA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/BurrowsHWKMSLLK20, author = {Cynthia J. Burrows and Jiaxing Huang and Shu Wang and Hyun Jae Kim and Gerald J. Meyer and Kirk Schanze and T. Randall Lee and Jodie L. Lutkenhaus and David Kaplan and Christopher Jones and Carolyn R. Bertozzi and Laura Kiessling and Mary Beth Mulcahy and Craig Lindsley and M. G. Finn and Joel D. Blum and Prashant Kamat and Wonyong Choi and Shane Snyder and Courtney Aldrich and Stuart Rowan and Bin Liu and Dennis Liotta and Paul S. Weiss and Deqing Zhang and Krishna N. Ganesh and Harry A. Atwater and J. Justin Gooding and David T. Allen and Christopher A. Voigt and Jonathan V. Sweedler and Alanna Schepartz and Vincent Rotello and S{\'{e}}bastien Lecommandoux and Shana J. Sturla and Sharon Hammes{-}Schiffer and Jillian Buriak and Jonathan W. Steed and Hongwei Wu and Julie Zimmerman and Bryan Brooks and Phillip Savage and William Tolman and Thomas F. Hofmann and Joan F. Brennecke and Thomas A. Holme and Kenneth M. Merz Jr. and Gustavo Scuseria and William Jorgensen and Gunda I. Georg and Shaomeng Wang and Philip Proteau and John R. Yates and Peter Stang and Gilbert C. Walker and Marc Hillmyer and Lynne S. Taylor and Teri W. Odom and Erick Carreira and Kai Rossen and Paul Chirik and Scott J. Miller and Joan{-}Emma Shea and Anne McCoy and Martin Zanni and Gregory Hartland and Gregory Scholes and Joseph A. Loo and James Milne and Sarah B. Tegen and Daniel T. Kulp and Julia Laskin}, title = {Confronting Racism in Chemistry Journals}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {7}, pages = {3325--3327}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.0c00683}, doi = {10.1021/ACS.JCIM.0C00683}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/BurrowsHWKMSLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/BurrowsWKMSLLKJ20, author = {Cynthia J. Burrows and Shu Wang and Hyun Jae Kim and Gerald J. Meyer and Kirk Schanze and T. Randall Lee and Jodie L. Lutkenhaus and David Kaplan and Christopher Jones and Carolyn R. Bertozzi and Laura Kiessling and Mary Beth Mulcahy and Craig Lindsley and M. G. Finn and Joel D. Blum and Prashant Kamat and Courtney Aldrich and Stuart Rowan and Bin Liu and Dennis Liotta and Paul S. Weiss and Deqing Zhang and Krishna N. Ganesh and Patrick M. Sexton and Harry A. Atwater and J. Justin Gooding and David T. Allen and Christopher A. Voigt and Jonathan V. Sweedler and Alanna Schepartz and Vincent Rotello and S{\'{e}}bastien Lecommandoux and Shana J. Sturla and Sharon Hammes{-}Schiffer and Jillian Buriak and Jonathan W. Steed and Hongwei Wu and Julie Zimmerman and Bryan Brooks and Phillip Savage and William Tolman and Thomas F. Hofmann and Joan F. Brennecke and Thomas A. Holme and Kenneth M. Merz Jr. and Gustavo Scuseria and William Jorgensen and Gunda I. Georg and Shaomeng Wang and Philip Proteau and John R. Yates and Peter Stang and Gilbert C. Walker and Marc Hillmyer and Lynne S. Taylor and Teri W. Odom and Erick Carreira and Kai Rossen and Paul Chirik and Scott J. Miller and Anne McCoy and Joan{-}Emma Shea and Martin Zanni and Catherine Murphy and Gregory Scholes and Joseph A. Loo}, title = {Update to Our Reader, Reviewer, and Author Communities - April 2020}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {6}, pages = {2651--2652}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.0c00399}, doi = {10.1021/ACS.JCIM.0C00399}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/BurrowsWKMSLLKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/CurranRSSHBMSBL20, author = {Peter R. Curran and Chris J. Radoux and Mihaela D. Smilova and Richard A. Sykes and Alicia P. Higueruelo and Anthony R. Bradley and Brian D. Marsden and David R. Spring and Tom L. Blundell and Andrew R. Leach and William R. Pitt and Jason C. Cole}, title = {Hotspots {API:} {A} Python Package for the Detection of Small Molecule Binding Hotspots and Application to Structure-Based Drug Design}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {4}, pages = {1911--1916}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.9b00996}, doi = {10.1021/ACS.JCIM.9B00996}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/CurranRSSHBMSBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/JiangFWCS20, author = {Siduo Jiang and Miklos Feher and Christopher I. Williams and Brian Cole and David E. Shaw}, title = {AutoPH4: An Automated Method for Generating Pharmacophore Models from Protein Binding Pockets}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {9}, pages = {4326--4338}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.0c00121}, doi = {10.1021/ACS.JCIM.0C00121}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/JiangFWCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/CohnMASJAKSHR20, author = {David M. Cohn and Tarub S. Mabud and Victoria A. Arendt and Andre D. Souffrant and Gyeong S. Jeon and Xiao An and William T. Kuo and Daniel Y. Sze and Lawrence V. Hofmann and Daniel L. Rubin}, title = {Toward Data-Driven Learning Healthcare Systems in Interventional Radiology: Implementation to Evaluate Venous Stent Patency}, journal = {J. Digit. Imaging}, volume = {33}, number = {1}, pages = {25--36}, year = {2020}, url = {https://doi.org/10.1007/s10278-019-00280-6}, doi = {10.1007/S10278-019-00280-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/CohnMASJAKSHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/WilliamsBTSG20, author = {David L. Williams and Craig M. Brown and David Tong and Alexander Sulyman and Charles K. Gary}, title = {A Fast Neutron Radiography System Using a High Yield Portable {DT} Neutron Source}, journal = {J. Imaging}, volume = {6}, number = {12}, pages = {128}, year = {2020}, url = {https://doi.org/10.3390/jimaging6120128}, doi = {10.3390/JIMAGING6120128}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jimaging/WilliamsBTSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jintseq/BorodinHJPSTYYZ20, author = {Matvey Borodin and Hannah Han and Kaylee Ji and Alexander Peng and David Sun and Isabel Tu and Jason Yang and William Yang and Kevin Zhang and Kevin Zhao and Tanya Khovanova}, title = {Variants of Base 3 Over 2}, journal = {J. Integer Seq.}, volume = {23}, number = {2}, pages = {20.2.7}, year = {2020}, url = {https://cs.uwaterloo.ca/journals/JIS/VOL23/Khovanova/khova26.html}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jintseq/BorodinHJPSTYYZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/NicholsonWBM20, author = {William B. Nicholson and Ines Wilms and Jacob Bien and David S. Matteson}, title = {High Dimensional Forecasting via Interpretable Vector Autoregression}, journal = {J. Mach. Learn. Res.}, volume = {21}, pages = {166:1--166:52}, year = {2020}, url = {https://jmlr.org/papers/v21/19-777.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/NicholsonWBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/MumfordFCIMHSRL20, author = {Stuart Mumford and Nabil Freij and Steven Christe and Jack Ireland and Florian Mayer and V. Keith Hughitt and Albert J. Shih and Daniel Ryan and Simon Liedtke and David P{\'{e}}rez{-}Su{\'{a}}rez and Pritish Chakraborty and Vishnunarayan K and Andrew Inglis and Punyaslok Pattnaik and Brigitta M. Sipocz and Rishabh Sharma and Andrew Leonard and David Stansby and Russell J. Hewett and Alex Hamilton and Laura Hayes and Asish Panda and Matt Earnshaw and Nitin Choudhary and Ankit Kumar and Prateek Chanda and Md. Akramul Haque and Michael Kirk and Michael Mueller and Sudarshan Konge and Rajul Srivastava and Yash Jain and Samuel Bennett and Ankit Baruah and Will T. Barnes and Michael Charlton and Shane A. Maloney and Nicky Chorley and Himanshu and Sanskar Modi and James Mason and Jose Rozo and Larry Manley and Agneet Chatterjee and John Evans and Michael Malocha and Monica G. Bobra and Sourav Ghosh and Dominik Stanczak and Ruben De Visscher and Shresth Verma and Ankit Agrawal and Dumindu Buddhika and Swapnil Sharma and Jongyeob Park and Matt Bates and Dhruv Goel and Garrison Taylor and Goran Cetusic and Jacob and Mateo Inchaurrandieta and Sally Dacie and Sanjeev Dubey and Deepankar Sharma and Erik Bray and Jai Rideout and Serge Zahniy and Tomas Meszaros and Abhigyan Bose and Andr{\'{e}} Chicrala and Ankit and Chlo{\'{e}} Guennou and Daniel D'avella and Daniel Williams and Jordan Ballew and Nick Murphy and Priyank Lodha and Thomas Robitaille and Yash Krishan and Andrew Hill and Arthur Eigenbrot and Benjamin Mampaey and Bernhard Wiedemann and Carlos Molina and Duygu Keskek and Ishtyaq Habib and Joseph Letts and Juanjo Baz{\'{a}}n and Quinn Arbolante and Reid Gomillion and Yash Kothari and Yash Sharma and Abigail Stevens and Adrian M. Price{-}Whelan and Ambar Mehrotra and Arseniy Kustov and Brandon Stone and Trung Dang and Emmanuel Arias and Fionnlagh Dover and Freek Verstringe and Gulshan Kumar and Harsh Mathur and Igor Babuschkin and Jaylen Wimbish and Juan Buitrago{-}Casas and Kalpesh Krishna and Kaustubh Hiware and Manas Mangaonkar and Matthew Mendero and Micka{\"{e}}l Schoentgen and Norbert Gyenge and Ole Streicher and Rajasekhar Mekala and Rishabh Mishra and Shashank Srikanth and Sarthak Jain and Tannmay Yadav and Tessa Wilkinson and Tiago Pereira and Yudhik Agrawal and Jamescalixto and Yasintoda and Sophie Murray}, title = {SunPy: {A} Python package for Solar Physics}, journal = {J. Open Source Softw.}, volume = {5}, number = {46}, pages = {1832}, year = {2020}, url = {https://doi.org/10.21105/joss.01832}, doi = {10.21105/JOSS.01832}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jossw/MumfordFCIMHSRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/ThompsonCAHWBH20, author = {Nicole Thompson and Theodore Cohen and Sarah Alamdari and Chih{-}Wei Hsu and Grant Williamson and David Beck and Vincent Holmberg}, title = {DiffCapAnalyzer: {A} Python Package for Quantitative Analysis of Total Differential Capacity Data}, journal = {J. Open Source Softw.}, volume = {5}, number = {54}, pages = {2624}, year = {2020}, url = {https://doi.org/10.21105/joss.02624}, doi = {10.21105/JOSS.02624}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/ThompsonCAHWBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangLYSZWLTHPS20, author = {Xiyuan Tang and Shaolan Li and Xiangxing Yang and Linxiao Shen and Wenda Zhao and Randall P. Williams and Jiaxin Liu and Zhichao Tan and Neal A. Hall and David Z. Pan and Nan Sun}, title = {An Energy-Efficient Time-Domain Incremental Zoom Capacitance-to-Digital Converter}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {3064--3075}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005812}, doi = {10.1109/JSSC.2020.3005812}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangLYSZWLTHPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/GitmanHHSW20, author = {Victoria Gitman and Joel David Hamkins and Peter Holy and Philipp Schlicht and Kameryn J. Williams}, title = {The exact strength of the class forcing Theorem}, journal = {J. Symb. Log.}, volume = {85}, number = {3}, pages = {869--905}, year = {2020}, url = {https://doi.org/10.1017/jsl.2019.89}, doi = {10.1017/JSL.2019.89}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/GitmanHHSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lp/HelliwellBBTJC20, author = {Jack A. Helliwell and William S. Bolton and Joshua R. Burke and Jim P. Tiernan and David G. Jayne and Stephen J. Chapman}, title = {Global academic response to {COVID-19:} Cross-sectional study}, journal = {Learn. Publ.}, volume = {33}, number = {4}, pages = {385--393}, year = {2020}, url = {https://doi.org/10.1002/leap.1317}, doi = {10.1002/LEAP.1317}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lp/HelliwellBBTJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AgostaFACCCHKMG20, author = {Giovanni Agosta and William Fornaciari and David Atienza and Ramon Canal and Alessandro Cilardo and Jos{\'{e}} Flich Cardo and Carles Hern{\'{a}}ndez and Michal Kulczewski and Giuseppe Massari and Rafael Tornero Gavil{\'{a}} and Marina Zapater}, title = {The {RECIPE} approach to challenges in deeply heterogeneous high performance systems}, journal = {Microprocess. Microsystems}, volume = {77}, pages = {103185}, year = {2020}, url = {https://doi.org/10.1016/j.micpro.2020.103185}, doi = {10.1016/J.MICPRO.2020.103185}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/AgostaFACCCHKMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/JalaliFMMLLPOOG20, author = {Amirhossein Jalali and Robert W. Foley and Robert M. Maweni and Keefe Murphy and Dara J. Lundon and Thomas Lynch and Richard Power and Frank O'Brien and Kieran J. O'Malley and David J. Galvin and Garrett C. Durkan and Thomas Brendan Murphy and R. William G. Watson}, title = {A risk calculator to inform the need for a prostate biopsy: a rapid access clinic cohort}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {148}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01174-2}, doi = {10.1186/S12911-020-01174-2}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/JalaliFMMLLPOOG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/PaulFFSW20, author = {Alice Paul and Daniel Freund and Aaron M. Ferber and David B. Shmoys and David P. Williamson}, title = {Budgeted Prize-Collecting Traveling Salesman and Minimum Spanning Tree Problems}, journal = {Math. Oper. Res.}, volume = {45}, number = {2}, pages = {576--590}, year = {2020}, url = {https://doi.org/10.1287/moor.2019.1002}, doi = {10.1287/MOOR.2019.1002}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mor/PaulFFSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/AgapiteAAAAABBB20, author = {Julie Agapite and Laurent{-}Philippe Albou and Suzi A. Aleksander and Joanna Argasinska and Valerio Arnaboldi and Helen Attrill and Susan M. Bello and Judith A. Blake and Olin Blodgett and Yvonne M. Bradford and Carol J. Bult and Scott Cain and Brian R. Calvi and Seth Carbon and Juancarlos Chan and Wen J. Chen and J. Michael Cherry and Jae{-}Hyoung Cho and Karen R. Christie and Madeline A. Crosby and Jeff de Pons and Mary E. Dolan and Gilberto dos Santos and Barbara Dunn and Nathan A. Dunn and Anne E. Eagle and Dustin Ebert and Stacia R. Engel and David Fashena and Ken Frazer and Sibyl Gao and Felix Gondwe and Joshua L. Goodman and L. Sian Gramates and Christian A. Grove and Todd W. Harris and Marie{-}Claire Harrison and Douglas G. Howe and Kevin L. Howe and Sagar Jha and James A. Kadin and Thomas C. Kaufman and Patrick Kalita and Kalpana Karra and Ranjana Kishore and Stanley J. F. Laulederkind and Raymond Y. N. Lee and Kevin A. MacPherson and Steven J. Marygold and Beverley Matthews and Gillian H. Millburn and Stuart R. Miyasato and Sierra A. T. Moxon and Hans{-}Michael M{\"{u}}ller and Christopher J. Mungall and Anushya Muruganujan and Tremayne Mushayahama and Robert S. Nash and Patrick Ng and Michael Paulini and Norbert Perrimon and Christian Pich and Daniela Raciti and Joel E. Richardson and Matthew Russell and Susan Russo Gelbart and Leyla Ruzicka and Kevin Schaper and Mary Shimoyama and Matt Simison and Cynthia L. Smith and David R. Shaw and Ajay Shrivatsav and Marek S. Skrzypek and Jennifer R. Smith and Paul W. Sternberg and Christopher J. Tabone and Paul D. Thomas and Jyothi Thota and Sabrina Toro and Monika Tomczuk and Marek Tutaj and Monika Tutaj and Jose{-}Maria Urbano and Kimberly Van Auken and Ceri E. Van Slyke and Shur{-}Jen Wang and Shuai Weng and Monte Westerfield and Gary Williams and Edith D. Wong and Adam Wright and Karen Yook}, title = {Alliance of Genome Resources Portal: unified model organism research platform}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D650--D658}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz813}, doi = {10.1093/NAR/GKZ813}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/AgapiteAAAAABBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/AlcockRLTBEHNCL20, author = {Brian P. Alcock and Amogelang R. Raphenya and Tammy T. Y. Lau and Kara K. Tsang and M{\'{e}}gane Bouchard and Arman Edalatmand and William Huynh and Anna{-}Lisa V. Nguyen and Annie A. Cheng and Sihan Liu and Sally Y. Min and Anatoly Miroshnichenko and Hiu{-}Ki Tran and Rafik E. Werfalli and Jalees A. Nasir and Martins Oloni and David J. Speicher and Alexandra Florescu and Bhavya Singh and Mateusz Faltyn and Anastasia Hern{\'{a}}ndez{-}Koutoucheva and Arjun N. Sharma and Emily Bordeleau and Andrew C. Pawlowski and Haley L. Zubyk and Damion M. Dooley and Emma J. Griffiths and Finlay Maguire and Geoffrey L. Winsor and Robert G. Beiko and Fiona S. L. Brinkman and William W. L. Hsiao and Gary H. Van Domselaar and Andrew G. McArthur}, title = {{CARD} 2020: antibiotic resistome surveillance with the comprehensive antibiotic resistance database}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D517--D525}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz935}, doi = {10.1093/NAR/GKZ935}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/AlcockRLTBEHNCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LeeBCCDGHLNPRRS20, author = {Christopher M. Lee and Galt P. Barber and Jonathan Casper and Hiram Clawson and Mark Diekhans and Jairo Navarro Gonzalez and Angie S. Hinrichs and Brian T. Lee and Luis R. Nassar and Conner C. Powell and Brian J. Raney and Kate R. Rosenbloom and Daniel Schmelter and Matthew L. Speir and Ann S. Zweig and David Haussler and Maximilian Haeussler and Robert M. Kuhn and W. James Kent}, title = {{UCSC} Genome Browser enters 20th year}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D756--D761}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz1012}, doi = {10.1093/NAR/GKZ1012}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LeeBCCDGHLNPRRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WishartLMBPBPLC20, author = {David S. Wishart and Carin Li and Ana Marcu and Hasan Badran and Allison Pon and Zachary Budinski and Jonas Patron and Debra Lipton and Xuan Cao and Eponine Oler and Krissa Li and Ma{\"{\i}}lys Paccoud and Chelsea Hong and An Chi Guo and Christopher Chan and William Wei and Miguel Ramirez{-}Gaona}, title = {PathBank: a comprehensive pathway database for model organisms}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D470--D478}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz861}, doi = {10.1093/NAR/GKZ861}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WishartLMBPBPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/LotterKC20, author = {William Lotter and Gabriel Kreiman and David D. Cox}, title = {A neural network trained for prediction mimics diverse features of biological neurons and perception}, journal = {Nat. Mach. Intell.}, volume = {2}, number = {4}, pages = {210--219}, year = {2020}, url = {https://doi.org/10.1038/s42256-020-0170-9}, doi = {10.1038/S42256-020-0170-9}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/LotterKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChinHSJ20, author = {Wen{-}Long Chin and Cheng{-}Che Hsieh and David Shiung and Tao Jiang}, title = {Intelligent Indoor Positioning Based on Artificial Neural Networks}, journal = {{IEEE} Netw.}, volume = {34}, number = {6}, pages = {164--170}, year = {2020}, url = {https://doi.org/10.1109/MNET.011.2000096}, doi = {10.1109/MNET.011.2000096}, timestamp = {Fri, 18 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/network/ChinHSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BrownPCFIMMS20, author = {Emma M. Brown and Meghan E. Pierce and Dustin C. Clark and Bruce R. Fischl and Juan Eugenio Iglesias and William P. Milberg and Regina E. McGlinchey and David H. Salat}, title = {Test-retest reliability of FreeSurfer automated hippocampal subfield segmentation within and across scanners}, journal = {NeuroImage}, volume = {210}, pages = {116563}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116563}, doi = {10.1016/J.NEUROIMAGE.2020.116563}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BrownPCFIMMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GaetzRBBJBWEHR20, author = {William Gaetz and Edward Rhodes and Luke Bloy and Lisa Blaskey and Carissa R. Jackel and Edward S. Brodkin and Amy Waldman and David Embick and Stephen D. Hall and Timothy P. L. Roberts}, title = {Evaluating motor cortical oscillations and age-related change in autism spectrum disorder}, journal = {NeuroImage}, volume = {207}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2019.116349}, doi = {10.1016/J.NEUROIMAGE.2019.116349}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GaetzRBBJBWEHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TarunBBAV20, author = {Anjali Tarun and Hamid Behjat and Thomas Bolton and David Abramian and Dimitri Van De Ville}, title = {Structural mediation of human brain activity revealed by white-matter interpolation of fMRI}, journal = {NeuroImage}, volume = {213}, pages = {116718}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116718}, doi = {10.1016/J.NEUROIMAGE.2020.116718}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/TarunBBAV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TozziSHCCCSWLSB20, author = {Leonardo Tozzi and Brooke Staveland and Bailey Holt{-}Gosselin and Megan Chesnut and Sarah E. Chang and David Choi and Melissa Shiner and Hua Wu and Garikoitz Lerma{-}Usabiaga and Olaf Sporns and Deanna M. Barch and Ian H. Gotlib and Trevor J. Hastie and Adam B. Kerr and Russell A. Poldrack and Brian A. Wandell and Max Wintermark and Leanne M. Williams}, title = {The human connectome project for disordered emotional states: Protocol and rationale for a research domain criteria study of brain connectivity in young adult anxiety and depression}, journal = {NeuroImage}, volume = {214}, pages = {116715}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116715}, doi = {10.1016/J.NEUROIMAGE.2020.116715}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/TozziSHCCCSWLSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WangAAGPPQLVWJ20, author = {Nian Wang and Robert J. Anderson and David G. Ashbrook and Vivek Gopalakrishnan and Youngser Park and Carey E. Priebe and Yi Qi and Rick Laoprasert and Joshua T. Vogelstein and Robert W. Williams and G. Allan Johnson}, title = {Variability and heritability of mouse brain structure: Microscopic {MRI} atlases and connectomes for diverse strains}, journal = {NeuroImage}, volume = {222}, pages = {117274}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.117274}, doi = {10.1016/J.NEUROIMAGE.2020.117274}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WangAAGPPQLVWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WrobelMBCERGGHN20, author = {Julia Wrobel and M. L. Martin and Rohit Bakshi and Peter A. Calabresi and M. Elliot and David R. Roalf and Ruben C. Gur and Raquel E. Gur and Roland G. Henry and Govind Nair and Jiwon Oh and Nico Papinutto and Daniel Pelletier and Daniel S. Reich and W. D. Rooney and Theodore D. Satterthwaite and William Stern and Karthik Prabhakaran and Jeff Goldsmith}, title = {Intensity warping for multisite {MRI} harmonization}, journal = {NeuroImage}, volume = {223}, pages = {117242}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.117242}, doi = {10.1016/J.NEUROIMAGE.2020.117242}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/WrobelMBCERGGHN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ArgesABBBBBCCCC20, author = {Kristine Arges and Themistocles Assimes and Vikram Bajaj and Suresh Balu and Mustafa R. Bashir and Laura Beskow and Rosalia Blanco and Robert M. Califf and Paul Campbell and Larry Carin and Victoria Christian and Scott Cousins and Millie Das and Marie Dockery and Pamela S. Douglas and Ashley Dunham and Julie Eckstrand and Dominik Fleischmann and Emily Ford and Elizabeth Fraulo and John French and Sanjiv S. Gambhir and Geoffrey S. Ginsburg and Robert C. Green and Francois Haddad and Adrian Hernandez and John Hernandez and Erich S. Huang and Glenn Jaffe and Daniel King and Lynne H. Koweek and Curtis P. Langlotz and Yaping J. Liao and Kenneth W. Mahaffey and Kelly Marcom and William J. Marks and David Maron and Reid McCabe and Shannon McCall and Rebecca McCue and Jessica Mega and David Miller and Lawrence H. Muhlbaier and Rajan Munshi and L. Kristin Newby and Ezra Pak{-}Harvey and Bray Patrick{-}Lake and Michael Pencina and Eric D. Peterson and F{\'{a}}tima Rodriguez and Scarlet Shore and Svati Shah and Steven Shipes and George Sledge and Susie Spielman and Ryan Spitler and Terry Schaack and Geeta Swamy and Martin J. Willemink and Charlene A. Wong}, title = {The Project Baseline Health Study: a step towards a broader mission to map human health}, journal = {npj Digit. Medicine}, volume = {3}, year = {2020}, url = {https://doi.org/10.1038/s41746-020-0290-y}, doi = {10.1038/S41746-020-0290-Y}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npjdm/ArgesABBBBBCCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/EpsteinTKBPCP20, author = {David H. Epstein and Matthew Tyburski and William J. Kowalczyk and Albert J. Burgess{-}Hull and Karran A. Phillips and Brenda L. Curtis and Kenzie L. Preston}, title = {Prediction of stress and drug craving ninety minutes in the future with passively collected {GPS} data}, journal = {npj Digit. Medicine}, volume = {3}, year = {2020}, url = {https://doi.org/10.1038/s41746-020-0234-6}, doi = {10.1038/S41746-020-0234-6}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/EpsteinTKBPCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/GordonLZB20, author = {William J. Gordon and Adam B. Landman and Haipeng (Mark) Zhang and David W. Bates}, title = {Beyond validation: getting health apps into clinical practice}, journal = {npj Digit. Medicine}, volume = {3}, year = {2020}, url = {https://doi.org/10.1038/s41746-019-0212-z}, doi = {10.1038/S41746-019-0212-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/GordonLZB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/GutekunstW20, author = {Samuel C. Gutekunst and David P. Williamson}, title = {Subtour elimination constraints imply a matrix-tree theorem {SDP} constraint for the {TSP}}, journal = {Oper. Res. Lett.}, volume = {48}, number = {3}, pages = {245--248}, year = {2020}, url = {https://doi.org/10.1016/j.orl.2020.02.011}, doi = {10.1016/J.ORL.2020.02.011}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/GutekunstW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/PaulW20, author = {Alice Paul and David P. Williamson}, title = {Easy capacitated facility location problems, with connections to lot-sizing}, journal = {Oper. Res. Lett.}, volume = {48}, number = {2}, pages = {109--114}, year = {2020}, url = {https://doi.org/10.1016/j.orl.2019.12.006}, doi = {10.1016/J.ORL.2019.12.006}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/PaulW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/MacQueenHR20, author = {David MacQueen and Robert Harper and John H. Reppy}, title = {The history of Standard {ML}}, journal = {Proc. {ACM} Program. Lang.}, volume = {4}, number = {{HOPL}}, pages = {86:1--86:100}, year = {2020}, url = {https://doi.org/10.1145/3386336}, doi = {10.1145/3386336}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/MacQueenHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/SugdenHABCFHKMP20, author = {Karen Sugden and Eilis J. Hannon and Louise Arseneault and Daniel W. Belsky and David L. Corcoran and Helen L. Fisher and Renate M. Houts and Radhika Kandaswamy and Terrie E. Moffitt and Richie Poulton and Joseph A. Prinz and Line J. H. Rasmussen and Benjamin S. Williams and Chloe C. Y. Wong and Jonathan Mill and Avshalom Caspi}, title = {Patterns of Reliability: Assessing the Reproducibility and Integrity of {DNA} Methylation Measurement}, journal = {Patterns}, volume = {1}, number = {2}, pages = {100014}, year = {2020}, url = {https://doi.org/10.1016/j.patter.2020.100014}, doi = {10.1016/J.PATTER.2020.100014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/SugdenHABCFHKMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KibildaMAYGCMSS20, author = {Jacek Kibilda and Allen B. MacKenzie and Mohammad J. Abdel{-}Rahman and Seong Ki Yoo and Lorenzo Galati{-}Giordano and Simon L. Cotton and Nicola Marchetti and Walid Saad and William G. Scanlon and Adrian Garc{\'{\i}}a{-}Rodr{\'{\i}}guez and David L{\'{o}}pez{-}P{\'{e}}rez and Holger Claussen and Luiz A. DaSilva}, title = {Indoor Millimeter-Wave Systems: Design and Performance Evaluation}, journal = {Proc. {IEEE}}, volume = {108}, number = {6}, pages = {923--944}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2020.2989189}, doi = {10.1109/JPROC.2020.2989189}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/KibildaMAYGCMSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/FagerholmTFVWCP20, author = {Erik D. Fagerholm and Chayanin Tangwiriyasakul and Karl J. Friston and In{\^{e}}s R. Violante and Steven C. R. Williams and David W. Carmichael and Suejen Perani and Federico E. Turkheimer and Rosalyn J. Moran and Robert Leech and Mark P. Richardson}, title = {Neural diffusivity and pre-emptive epileptic seizure intervention}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {12}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1008448}, doi = {10.1371/JOURNAL.PCBI.1008448}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/FagerholmTFVWCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/LemanWRLMWMLALK20, author = {Julia Koehler Leman and Brian D. Weitzner and P. Douglas Renfrew and Steven M. Lewis and Rocco Moretti and Andrew M. Watkins and Vikram Khipple Mulligan and Sergey Lyskov and Jared Adolf{-}Bryfogle and Jason W. Labonte and Justyna Krys and RosettaCommons Consortium and Christopher Bystroff and William R. Schief and Dominik Gront and Ora Schueler{-}Furman and David Baker and Philip Bradley and Roland L. Dunbrack Jr. and Tanja Kortemme and Andrew Leaver{-}Fay and Charlie E. M. Strauss and Jens Meiler and Brian Kuhlman and Jeffrey J. Gray and Richard Bonneau}, title = {Better together: Elements of successful scientific software development in a distributed collaborative community}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {5}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1007507}, doi = {10.1371/JOURNAL.PCBI.1007507}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/LemanWRLMWMLALK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/PatrickTENCCYSB20, author = {Ellis Patrick and Mariko Taga and Ayla Erg{\"{u}}n and Bernard Ng and William Casazza and Maria Cimpean and Christina Yung and Julie A. Schneider and David A. Bennett and Chris Gaiteri and Philip L. De Jager and Elizabeth M. Bradshaw and Sara Mostafavi}, title = {Deconvolving the contributions of cell-type heterogeneity on cortical gene expression}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {8}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1008120}, doi = {10.1371/JOURNAL.PCBI.1008120}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/PatrickTENCCYSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/StejskalLMPBSG20, author = {Lenka Stejskal and William D. Lees and David S. Moss and Machaela Palor and Richard J. Bingham and Adrian J. Shepherd and Joe Grove}, title = {Flexibility and intrinsic disorder are conserved features of hepatitis {C} virus {E2} glycoprotein}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {2}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1007710}, doi = {10.1371/JOURNAL.PCBI.1007710}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/StejskalLMPBSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BarwickLDWST20, author = {Jamie Barwick and David William Lamb and Robin Dobos and Mitchell C. Welch and Derek A. Schneider and Mark G. Trotter}, title = {Identifying Sheep Activity from Tri-Axial Acceleration Signals Using a Moving Window Classification Model}, journal = {Remote. Sens.}, volume = {12}, number = {4}, pages = {646}, year = {2020}, url = {https://doi.org/10.3390/rs12040646}, doi = {10.3390/RS12040646}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/BarwickLDWST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GharehchahiJBJS20, author = {Saeideh Gharehchahi and William H. M. James and Anshuman Bhardwaj and Jennifer L. R. Jensen and Lydia Sam and Thomas J. Ballinger and David R. Butler}, title = {Glacier Ice Thickness Estimation and Future Lake Formation in Swiss Southwestern Alps - The Upper Rh{\^{o}}ne Catchment: {A} {VOLTA} Application}, journal = {Remote. Sens.}, volume = {12}, number = {20}, pages = {3443}, year = {2020}, url = {https://doi.org/10.3390/rs12203443}, doi = {10.3390/RS12203443}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GharehchahiJBJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GharehchahiJBJS20a, author = {Saeideh Gharehchahi and William H. M. James and Anshuman Bhardwaj and Jennifer L. R. Jensen and Lydia Sam and Thomas J. Ballinger and David R. Butler}, title = {Correction: Gharehchahi, S.; James, W.H.M.; Bhardwaj, A.; Jensen, J.L.R.; Sam, L.; Ballinger, T.J.; Butler, {D.R.} Glacier Ice Thickness Estimation and Future Lake Formation in Swiss Southwestern Alps - The Upper Rh{\^{o}}ne Catchment: {A} {VOLTA} Application. Remote Sens. 2020, 12, 3443}, journal = {Remote. Sens.}, volume = {12}, number = {22}, pages = {3709}, year = {2020}, url = {https://doi.org/10.3390/rs12223709}, doi = {10.3390/RS12223709}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/GharehchahiJBJS20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GouldALMSSSWW20, author = {Richard W. Gould Jr. and Stephanie Anderson and Mark David Lewis and W. David Miller and Igor Shulman and Geoffrey B. Smith and Travis A. Smith and David W. Wang and Hemantha W. Wijesekera}, title = {Assessing the Impact of Tides and Atmospheric Fronts on Submesoscale Physical and Bio-Optical Distributions near a Coastal Convergence Zone}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {553}, year = {2020}, url = {https://doi.org/10.3390/rs12030553}, doi = {10.3390/RS12030553}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/GouldALMSSSWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MagurnoCMBBFHMP20, author = {Davide Magurno and William Cossich and Tiziano Maestri and Richard Bantges and Helen Brindley and Stuart Fox and Chawn Harlow and Jonathan Murray and Juliet Pickering and Laura Warwick and Hilke Oetjen}, title = {Cirrus Cloud Identification from Airborne Far-Infrared and Mid-Infrared Spectra}, journal = {Remote. Sens.}, volume = {12}, number = {13}, pages = {2097}, year = {2020}, url = {https://doi.org/10.3390/rs12132097}, doi = {10.3390/RS12132097}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MagurnoCMBBFHMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NassarTKNMHSAPA20, author = {Ayman Nassar and Alfonso F. Torres{-}Rua and William P. Kustas and Hector Nieto and Mac McKee and Lawrence Hipps and David Stevens and Joseph G. Alfieri and John H. Prueger and Maria Mar Alsina and Lynn G. McKee and Calvin Coopmans and Luis Sanchez and Nick Dokoozlian}, title = {Influence of Model Grid Size on the Estimation of Surface Fluxes Using the Two Source Energy Balance Model and sUAS Imagery in Vineyards}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {342}, year = {2020}, url = {https://doi.org/10.3390/rs12030342}, doi = {10.3390/RS12030342}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/NassarTKNMHSAPA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NataleBGRMCMP20, author = {Gianluca Di Natale and Giovanni Bianchini and Massimo del Guasta and Marco Ridolfi and Tiziano Maestri and William Cossich and Davide Magurno and Luca Palchetti}, title = {Characterization of the Far Infrared Properties and Radiative Forcing of Antarctic Ice and Water Clouds Exploiting the Spectrometer-LiDAR Synergy}, journal = {Remote. Sens.}, volume = {12}, number = {21}, pages = {3574}, year = {2020}, url = {https://doi.org/10.3390/rs12213574}, doi = {10.3390/RS12213574}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NataleBGRMCMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NoyesKLLFGHKMRS20, author = {Katherine Junghenn Noyes and Ralph A. Kahn and James A. Limbacher and Zhanqing Li and Marta A. Fenn and David M. Giles and Johnathan W. Hair and Joseph M. Katich and Richard H. Moore and Claire E. Robinson and Kevin J. Sanchez and Taylor J. Shingler and Kenneth L. Thornhill and Elizabeth B. Wiggins and Edward L. Winstead}, title = {Wildfire Smoke Particle Properties and Evolution, From Space-Based Multi-Angle Imaging {II:} The Williams Flats Fire during the {FIREX-AQ} Campaign}, journal = {Remote. Sens.}, volume = {12}, number = {22}, pages = {3823}, year = {2020}, url = {https://doi.org/10.3390/rs12223823}, doi = {10.3390/RS12223823}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NoyesKLLFGHKMRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangCXAL20, author = {Sherrie Wang and William Chen and Sang Michael Xie and George Azzari and David B. Lobell}, title = {Weakly Supervised Deep Learning for Segmentation of Remote Sensing Imagery}, journal = {Remote. Sens.}, volume = {12}, number = {2}, pages = {207}, year = {2020}, url = {https://doi.org/10.3390/rs12020207}, doi = {10.3390/RS12020207}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangCXAL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YoungLTSKCSGSJD20, author = {Cindy L. Young and Constantine Lukashin and Patrick C. Taylor and Rand Swanson and William S. Kirk and Michael Cooney and William H. Swartz and Arnold Goldberg and Thomas Stone and Trevor Jackson and David R. Doelling and Joseph A. Shaw and Christine Buleri}, title = {Trutinor: {A} Conceptual Study for a Next-Generation Earth Radiant Energy Instrument}, journal = {Remote. Sens.}, volume = {12}, number = {20}, pages = {3281}, year = {2020}, url = {https://doi.org/10.3390/rs12203281}, doi = {10.3390/RS12203281}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YoungLTSKCSGSJD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhaoCSFMW20, author = {Yuan Zhao and Xiaoqiu Chen and Thomas Luke Smallman and Sophie Flack{-}Prain and David T. Milodowski and Mathew Williams}, title = {Characterizing the Error and Bias of Remotely Sensed {LAI} Products: An Example for Tropical and Subtropical Evergreen Forests in South China}, journal = {Remote. Sens.}, volume = {12}, number = {19}, pages = {3122}, year = {2020}, url = {https://doi.org/10.3390/rs12193122}, doi = {10.3390/RS12193122}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhaoCSFMW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/see/SmithWMLSPSDCR20, author = {Elise Smith and Bryn Williams{-}Jones and Zubin Master and Vincent Larivi{\`{e}}re and Cassidy R. Sugimoto and Ad{\`{e}}le Paul{-}Hus and Min Shi and Elena Diller and Katie Caudle and David B. Resnik}, title = {Researchers' Perceptions of Ethical Authorship Distribution in Collaborative Research Teams}, journal = {Sci. Eng. Ethics}, volume = {26}, number = {4}, pages = {1995--2022}, year = {2020}, url = {https://doi.org/10.1007/s11948-019-00113-3}, doi = {10.1007/S11948-019-00113-3}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/see/SmithWMLSPSDCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/see/SmithWMLSPSR20, author = {Elise Smith and Bryn Williams{-}Jones and Zubin Master and Vincent Larivi{\`{e}}re and Cassidy R. Sugimoto and Ad{\`{e}}le Paul{-}Hus and Min Shi and David B. Resnik}, title = {Misconduct and Misbehavior Related to Authorship Disagreements in Collaborative Science}, journal = {Sci. Eng. Ethics}, volume = {26}, number = {4}, pages = {1967--1993}, year = {2020}, url = {https://doi.org/10.1007/s11948-019-00112-4}, doi = {10.1007/S11948-019-00112-4}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/see/SmithWMLSPSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AndersonWH20, author = {William D. Anderson and Sydney L. M. Wilson and David W. Holdsworth}, title = {Development of a Wireless Telemetry Sensor Device to Measure Load and Deformation in Orthopaedic Applications}, journal = {Sensors}, volume = {20}, number = {23}, pages = {6772}, year = {2020}, url = {https://doi.org/10.3390/s20236772}, doi = {10.3390/S20236772}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AndersonWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HowellBDGMWM20, author = {David R. Howell and Scott Bonnette and Jed A. Diekfuss and Dustin R. Grooms and Gregory D. Myer and Julie C. Wilson and William P. Meehan}, title = {Dual-Task Gait Stability after Concussion and Subsequent Injury: An Exploratory Investigation}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6297}, year = {2020}, url = {https://doi.org/10.3390/s20216297}, doi = {10.3390/S20216297}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HowellBDGMWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PedroMB20, author = {Bruna Gabriela Pedro and David William Cordeiro Marc{\^{o}}ndes and Pedro Bertemes{-}Filho}, title = {Analytical Model for Blood Glucose Detection Using Electrical Impedance Spectroscopy}, journal = {Sensors}, volume = {20}, number = {23}, pages = {6928}, year = {2020}, url = {https://doi.org/10.3390/s20236928}, doi = {10.3390/S20236928}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PedroMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/HozaZ20, author = {William M. Hoza and David Zuckerman}, title = {Simple Optimal Hitting Sets for Small-Success {RL}}, journal = {{SIAM} J. Comput.}, volume = {49}, number = {4}, pages = {811--820}, year = {2020}, url = {https://doi.org/10.1137/19M1268707}, doi = {10.1137/19M1268707}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/HozaZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigsoft/ArthoPAABBBCCDE20, author = {Cyrille Artho and Quoc{-}Sang Phan and Peter Aldous and Alyas Almaawi and Lucas Bang and Lasse Berglund and Tevfik Bultan and Zhenbang Chen and Hayes Converse and Wei Dong and William Eiers and Milos Gligoric and Simon Goldsmith and Lars Grunske and Joshua Hooker and Ismet Burak Kadron and Timo Kehrer and Sarfraz Khurshid and Xuan{-}Bach Dinh Le and David Lo and Eric Mercer and Sasa Misailovic and Egor Namakonov and Hoang Lam Nguyen and Yannic Noller and Benjamin Ogles and Rohan Padhye and Pavel Par{\'{\i}}zek and Corina S. Pasareanu and Sheridan Jacob Powell and Seemanta Saha and Koushik Sen and Elena Sherman and Kyle Storey and Minxing Tang and Willem Visser and Ji Wang and Hengbiao Yu}, title = {The Java Pathfinder Workshop 2019}, journal = {{ACM} {SIGSOFT} Softw. Eng. Notes}, volume = {45}, number = {2}, pages = {20--22}, year = {2020}, url = {https://doi.org/10.1145/3385678.3385685}, doi = {10.1145/3385678.3385685}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigsoft/ArthoPAABBBCCDE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LiuRB20, author = {Chen Liu and William Bill Rouse and David Belanger}, title = {Understanding Risks and Opportunities of Autonomous Vehicle Technology Adoption Through Systems Dynamic Scenario Modeling - The American Insurance Industry}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {1}, pages = {1365--1374}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2019.2913647}, doi = {10.1109/JSYST.2019.2913647}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LiuRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/GodoyPWAEGDCGHH20, author = {William F. Godoy and Norbert Podhorszki and Ruonan Wang and Chuck Atkins and Greg Eisenhauer and Junmin Gu and Philip E. Davis and Jong Choi and Kai Germaschewski and Kevin A. Huck and Axel Huebl and Mark Kim and James Kress and Tahsin M. Kur{\c{c}} and Qing Liu and Jeremy Logan and Kshitij Mehta and George Ostrouchov and Manish Parashar and Franz Poeschel and David Pugmire and Eric Suchyta and Keichi Takahashi and Nick Thompson and Seiji Tsutsumi and Lipeng Wan and Matthew Wolf and Kesheng Wu and Scott Klasky}, title = {{ADIOS} 2: The Adaptable Input Output System. {A} framework for high-performance data management}, journal = {SoftwareX}, volume = {12}, pages = {100561}, year = {2020}, url = {https://doi.org/10.1016/j.softx.2020.100561}, doi = {10.1016/J.SOFTX.2020.100561}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/GodoyPWAEGDCGHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/NordinHF20, author = {Andrew D. Nordin and W. David Hairston and Daniel P. Ferris}, title = {Faster Gait Speeds Reduce Alpha and Beta {EEG} Spectral Power From Human Sensorimotor Cortex}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {3}, pages = {842--853}, year = {2020}, url = {https://doi.org/10.1109/TBME.2019.2921766}, doi = {10.1109/TBME.2019.2921766}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/NordinHF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SimonQRLZA20, author = {William Andrew Simon and Yasir Mahmood Qureshi and Marco Rios and Alexandre Levisse and Marina Zapater and David Atienza}, title = {{BLADE:} An in-Cache Computing Architecture for Edge Devices}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {9}, pages = {1349--1363}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2972528}, doi = {10.1109/TC.2020.2972528}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SimonQRLZA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZoniCF20, author = {Davide Zoni and Luca Cremona and William Fornaciari}, title = {All-Digital Control-Theoretic Scheme to Optimize Energy Budget and Allocation in Multi-Cores}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {5}, pages = {706--721}, year = {2020}, url = {https://doi.org/10.1109/TC.2019.2963859}, doi = {10.1109/TC.2019.2963859}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ZoniCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BarenghiFPZ20, author = {Alessandro Barenghi and William Fornaciari and Gerardo Pelosi and Davide Zoni}, title = {Scramble Suit: {A} Profile Differentiation Countermeasure to Prevent Template Attacks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {9}, pages = {1778--1791}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2926389}, doi = {10.1109/TCAD.2019.2926389}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BarenghiFPZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/GuirguisAPZZVIO20, author = {David Guirguis and Nikola Aulig and Renato Picelli and Bo Zhu and Yuqing Zhou and William Vicente and Francesco Iorio and Markus Olhofer and Wojciech Matusik and Carlos Artemio Coello Coello and Kazuhiro Saitou}, title = {Evolutionary Black-Box Topology Optimization: Challenges and Promises}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {24}, number = {4}, pages = {613--633}, year = {2020}, url = {https://doi.org/10.1109/TEVC.2019.2954411}, doi = {10.1109/TEVC.2019.2954411}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/GuirguisAPZZVIO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.