Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Young Min Shin"
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024} }
@article{DBLP:journals/fgcs/AlexeevABBBCCCCCCCCMDEE24, author = {Yuri Alexeev and Maximilian Amsler and Marco Antonio Barroca and Sanzio Bassini and Torey Battelle and Daan Camps and David Casanova and Young Jay Choi and Frederic T. Chong and Charles Chung and Christopher Codella and Antonio D. C{\'{o}}rcoles and James Cruise and Alberto Di Meglio and Ivan Duran and Thomas Eckl and Sophia E. Economou and Stephan Eidenbenz and Bruce Elmegreen and Clyde Fare and Ismael Faro and Cristina Sanz Fern{\'{a}}ndez and Rodrigo Neumann Barros Ferreira and Keisuke Fuji and Bryce Fuller and Laura Gagliardi and Giulia Galli and Jennifer R. Glick and Isacco Gobbi and Pranav Gokhale and Salvador de la Puente Gonzalez and Johannes Greiner and Bill Gropp and Michele Grossi and Emanuel Gull and Burns Healy and Matthew R. Hermes and Benchen Huang and Travis S. Humble and Nobuyasu Ito and Artur F. Izmaylov and Ali Javadi{-}Abhari and Douglas M. Jennewein and Shantenu Jha and Liang Jiang and Barbara Jones and Wibe Albert de Jong and Petar Jurcevic and William M. Kirby and Stefan Kister and Masahiro Kitagawa and Joel Klassen and Katherine Klymko and Kwangwon Koh and Masaaki Kondo and Doga Murat K{\"{u}}rk{\c{c}}{\"{u}}oglu and Krzysztof Kurowski and Teodoro Laino and Ryan Landfield and Matthew L. Leininger and Vicente Leyton{-}Ortega and Ang Li and Meifeng Lin and Junyu Liu and Nicol{\'{a}}s Lorente and Andr{\'{e}} Luckow and Simon Martiel and Francisco Mart{\'{\i}}n{-}Fern{\'{a}}ndez and Margaret Martonosi and Claire Marvinney and Arcesio Casta{\~{n}}eda Medina and Dirk Merten and Antonio Mezzacapo and Kristel Michielsen and Abhishek Mitra and Tushar Mittal and Kyungsun Moon and Joel Moore and Sarah Mostame and Mario Motta and Young{-}Hye Na and Yunseong Nam and Prineha Narang and Yu{-}ya Ohnishi and Daniele Ottaviani and Matthew Otten and Scott Pakin and Vincent R. Pascuzzi and Edwin Pednault and Tomasz Piontek and Jed Pitera and Patrick Rall and Gokul Subramanian Ravi and Niall Robertson and Matteo A. C. Rossi and Piotr Rydlichowski and Hoon Ryu and Georgy Samsonidze and Mitsuhisa Sato and Nishant Saurabh and Vidushi Sharma and Kunal Sharma and Soyoung Shin and George Slessman and Mathias Steiner and Iskandar Sitdikov and In{-}Saeng Suh and Eric D. Switzer and Wei Tang and Joel Thompson and Synge Todo and Minh C. Tran and Dimitar Trenev and Christian Trott and Huan{-}Hsin Tseng and Norm M. Tubman and Esin Tureci and David Garc{\'{\i}}a Vali{\~{n}}as and Sofia Vallecorsa and Christopher Wever and Konrad Wojciechowski and Xiaodi Wu and Shinjae Yoo and Nobuyuki Yoshioka and Victor Wen{-}zhe Yu and Seiji Yunoki and Sergiy Zhuk and Dmitry Zubarev}, title = {Quantum-centric supercomputing for materials science: {A} perspective on challenges and future directions}, journal = {Future Gener. Comput. Syst.}, volume = {160}, pages = {666--710}, year = {2024} }
@article{DBLP:journals/ijseke/BaekCSB24, author = {Young Min Baek and Esther Cho and Donghwan Shin and Doo{-}Hwan Bae}, title = {An Extensible Modeling Method Supporting Ontology-Based Scenario Specification and Domain-Specific Extension}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {34}, number = {1}, pages = {91--162}, year = {2024} }
@article{DBLP:journals/jcloudc/LeeKYNKSN24, author = {Jeongmin Lee and Hyeongbin Kang and Hyeon{-}jin Yu and Ji{-}Hyun Na and Jungbin Kim and Jae{-}Hyuck Shin and Seo{-}Young Noh}, title = {{MDB-KCP:} persistence framework of in-memory database with CRIU-based container checkpoint in Kubernetes}, journal = {J. Cloud Comput.}, volume = {13}, number = {1}, pages = {124}, year = {2024} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024} }
@article{DBLP:journals/rcim/ParkSCSLYJKJY24, author = {Hoonmin Park and Minchul Shin and Gyubok Choi and Yuseop Sim and Jiho Lee and Huitaek Yun and Martin Byung{-}Guk Jun and Gyuman Kim and Younghun Jeong and Hak Yi}, title = {Integration of an exoskeleton robotic system into a digital twin for industrial manufacturing applications}, journal = {Robotics Comput. Integr. Manuf.}, volume = {89}, pages = {102746}, year = {2024} }
@article{DBLP:journals/tvlsi/HyunJS24, author = {Daijoon Hyun and Younggwang Jung and Youngsoo Shin}, title = {Decap Insertion With Local Cell Relocation Minimizing IR-Drop Violations and Routing DRVs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {5}, pages = {823--834}, year = {2024} }
@inproceedings{DBLP:conf/icassp/HeoSLCP24, author = {Hyunjun Heo and Ui{-}Hyeop Shin and Ran Lee and Youngju Cheon and Hyung{-}Min Park}, title = {NeXt-TDNN: Modernizing Multi-Scale Temporal Convolution Backbone for Speaker Verification}, booktitle = {{ICASSP}}, pages = {11186--11190}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/irps/OhJYLKJJSCJ24, author = {Sangmin Oh and Taeyoung Jeong and Junghwan Yum and Minhyuk Lim and Yoohwan Kim and Bongyong Jeong and Jeongmin Jo and Hyewon Shim and Shin{-}Young Chung and Paul Jung}, title = {An Analysis of CDM-induced BTI-like Degradation using {VF-TLP} in Advanced FinFET Technology}, booktitle = {{IRPS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/irps/RanjanPLJSSMSKKSJKSCK24, author = {Rakesh Ranjan and Pavitra R. Perepa and Ki{-}Don Lee and Ashish Kumar Jha and Kartika C. Sahoo and Kayla N. Sanders and Robert Moeller and Prateek Sharma and Minhyo Kang and Peter Kim and Kwanjae Song and Yongwoo Jeon and Seungho Kim and Hyewon Shim and Shin{-}Young Chung and Ju Kwang Kim}, title = {A Systematic Study of {HCI} Improvement in FinFET with Source/Drain Implant and Geometry Modulation}, booktitle = {{IRPS}}, pages = {5}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{ISSCC}}, pages = {234--236}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{ISSCC}}, pages = {236--237}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/KimJPSANSWL24, author = {Minsu Kim and Woojoong Jung and Hyunjun Park and Junho Song and Youngkook Ahn and Taekyu Nam and Yoonsoo Shin and Young{-}Jin Woo and Hyung{-}Min Lee}, title = {8.9 {A} 96.5{\%} Peak Efficiency Duty-Independent {DC-DC} Step-Up Converter with Low Input-Level Voltage Stress and Mode-Adaptive Inductor Current Reduction}, booktitle = {{ISSCC}}, pages = {160--162}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{ISSCC}}, pages = {238--240}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{ISSCC}}, pages = {246--248}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/SeongOLBSLKKKMLKLPYRS24, author = {Kihwan Seong and Wooseuk Oh and Hyunwoo Lee and Gyeom{-}Je Bae and Youngseob Suh and Hyemun Lee and Juyoung Kim and Eunsu Kim and Yeongeon Kang and Gunhu Mo and Youjin Lee and Mingyeong Kim and Seongno Lee and Donguk Park and Byoung{-}Joo Yoo and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {13.10 {A} 4nm 48Gb/s/wire Single-Ended {NRZ} Parallel Transceiver with Offset-Calibration and Equalization Schemes for Next-Generation Memory Interfaces and Chiplets}, booktitle = {{ISSCC}}, pages = {250--252}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{ISSCC}}, pages = {42--44}, publisher = {{IEEE}}, year = {2024} }
@inproceedings{DBLP:conf/www/NamYSBS0024, author = {Youngeun Nam and Susik Yoon and Yooju Shin and Minyoung Bae and Hwanjun Song and Jae{-}Gil Lee and Byung Suk Lee}, title = {Breaking the Time-Frequency Granularity Discrepancy in Time-Series Anomaly Detection}, booktitle = {{WWW}}, pages = {4204--4215}, publisher = {{ACM}}, year = {2024} }
@article{DBLP:journals/corr/abs-2401-03717, author = {Patara Trirat and Yooju Shin and Junhyeok Kang and Youngeun Nam and Jihye Na and Minyoung Bae and Joeun Kim and Byunghyun Kim and Jae{-}Gil Lee}, title = {Universal Time-Series Representation Learning: {A} Survey}, journal = {CoRR}, volume = {abs/2401.03717}, year = {2024} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024} }
@article{DBLP:journals/corr/abs-2406-07008, author = {Sooyeon Go and Kyungmook Choi and Minjung Shin and Youngjung Uh}, title = {Eye-for-an-eye: Appearance Transfer with Semantic Correspondence in Diffusion Models}, journal = {CoRR}, volume = {abs/2406.07008}, year = {2024} }
@article{DBLP:journals/access/KimJHSLHSYOPKEK23, author = {Ikhwan Kim and Won Il Jang and Hyeon{-}Sik Hwang and Byeong{-}Jae Seo and Dong{-}Min Lee and Ji{-}Ho Han and Jaewoo Shin and Young{-}Ro Yoon and Taehyoun Oh and Hyung{-}Chul Park and Eun Seong Kim and Yun Seong Eo and Nam{-}Young Kim}, title = {A Highly Integrated Radio Frequency Receiver {RF} {CMOS} Module for Core Body Temperature Thermometer}, journal = {{IEEE} Access}, volume = {11}, pages = {124942--124950}, year = {2023} }
@article{DBLP:journals/access/KimLCLHSLKK23, author = {Ikhwan Kim and Dong{-}Min Lee and Min{-}Hyuk Cho and Yun{-}Joo Lee and Ji{-}Ho Han and Jaewoo Shin and Hak{-}Yong Lee and Eun Seong Kim and Nam{-}Young Kim}, title = {Compact Dual-Band On-Body Near Field Antenna With Reflector for Measuring Deep Core Temperature}, journal = {{IEEE} Access}, volume = {11}, pages = {32944--32953}, year = {2023} }
@article{DBLP:journals/access/ParkSPK23, author = {Minjun Park and Youngbin Song and Shina Park and Sang Woo Kim}, title = {Data-Driven Capacity Estimation of Li-Ion Batteries Using Constant Current Charging at Various Ambient Temperatures}, journal = {{IEEE} Access}, volume = {11}, pages = {2711--2720}, year = {2023} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23a, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023} }
@article{DBLP:journals/eswa/KimJJKK23, author = {JaeWon Kim and Kyohoon Jin and Soojin Jang and Shinjin Kang and Youngbin Kim}, title = {Game effect sprite generation with minimal data via conditional {GAN}}, journal = {Expert Syst. Appl.}, volume = {211}, pages = {118491}, year = {2023} }
@article{DBLP:journals/ict-express/AhmadS23, author = {Muneeb Ahmad and Soo Young Shin}, title = {Massive {MIMO} {NOMA} with wavelet pulse shaping to minimize undesired channel interference}, journal = {{ICT} Express}, volume = {9}, number = {4}, pages = {635--641}, year = {2023} }
@article{DBLP:journals/isci/KimLSK23, author = {Min{-}Seon Kim and Bo{-}Young Lim and Hansub Shin and Hyuk{-}Yoon Kwon}, title = {Historical credibility for movie reviews and its application to weakly supervised classification}, journal = {Inf. Sci.}, volume = {630}, pages = {325--340}, year = {2023} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023} }
@article{DBLP:journals/ram/YunCCKLJBS23, author = {Seongseop Yun and Myoung{-}Su Choi and Min{-}Young Cho and Keunhwan Kim and Dong{-}Hyuk Lee and Sewoong Jun and Ji{-}Hun Bae and Dongjun Shin}, title = {Next-Generation Furniture Assembly by {AI} and Robots: Team SK\({}^{\mbox{2}}\)Y: {A} Winner of the Furniture Assembly Competition at AI-Robot Challenge 2021}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {30}, number = {2}, pages = {96--108}, year = {2023} }
@article{DBLP:journals/sensors/KimCLJLSCKY23, author = {Si{-}Jun Kim and Minsu Choi and Sang{-}Ho Lee and Won{-}nyoung Jeong and Young{-}Seok Lee and In{-}Ho Seong and Chul{-}Hee Cho and Dae{-}Woong Kim and Shin{-}Jae You}, title = {Development of the Tele-Measurement of Plasma Uniformity via Surface Wave Information {(TUSI)} Probe for Non-Invasive In-Situ Monitoring of Electron Density Uniformity in Plasma Display Fabrication Process}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2521}, year = {2023} }
@article{DBLP:journals/sensors/KimYKSYS23, author = {Min{-}Geun Kim and Seung{-}Geun Yoo and Hyoung{-}Do Kim and Kyeung{-}Ho Shin and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Group-Connected Impedance Network of RIS-Assisted Rate-Splitting Multiple Access in {MU-MIMO} Wireless Communication Systems}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3934}, year = {2023} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023} }
@article{DBLP:journals/tim/SeungKSUTCK23, author = {Minuk Seung and Duckhyun Kim and Dongseong Shin and Troy Unruh and Kevin Tsai and Woo{-}Young Choi and Inyong Kwon}, title = {In-Core Neutron Detection System Using a Dual-Mode Self-Reset Preamplifier With the Micro-Pocket Fission Detector}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023} }
@article{DBLP:journals/winet/ShinMMC23, author = {Minsu Shin and Tahira Mahboob and Danish Mehmood Mughal and Min Young Chung}, title = {Deep reinforcement learning-based multi-channel spectrum sharing technology for next generation multi-operator cellular networks}, journal = {Wirel. Networks}, volume = {29}, number = {2}, pages = {809--820}, year = {2023} }
@inproceedings{DBLP:conf/aaai/ShinKKRJ0K23, author = {Kyuyong Shin and Hanock Kwak and Su Young Kim and Max Nihl{\'{e}}n Ramstr{\"{o}}m and Jisu Jeong and Jung{-}Woo Ha and Kyung{-}Min Kim}, title = {Scaling Law for Recommendation Models: Towards General-Purpose User Representations}, booktitle = {{AAAI}}, pages = {4596--4604}, publisher = {{AAAI} Press}, year = {2023} }
@inproceedings{DBLP:conf/aistats/KimYJNSC23, author = {Jung{-}Hun Kim and Se{-}Young Yun and Minchan Jeong and Junhyun Nam and Jinwoo Shin and Richard Combes}, title = {Contextual Linear Bandits under Noisy Features: Towards Bayesian Oracles}, booktitle = {{AISTATS}}, series = {Proceedings of Machine Learning Research}, volume = {206}, pages = {1624--1645}, publisher = {{PMLR}}, year = {2023} }
@inproceedings{DBLP:conf/aspdac/HyunJCS23, author = {Daijoon Hyun and Younggwang Jung and Insu Cho and Youngsoo Shin}, title = {Decoupling Capacitor Insertion Minimizing IR-Drop Violations and Routing DRVs}, booktitle = {{ASP-DAC}}, pages = {271--276}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/bigcomp/JheeSKSL23, author = {Jong Ho Jhee and Min{-}Young Song and Byung Gon Kim and Hyunjung Shin and Soo Youn Lee}, title = {Transformer-Based Gene Scoring Model for Extracting Representative Characteristic of Central Dogma Process to Prioritize Pathogenic Genes Applying Breast Cancer Multi-omics Data}, booktitle = {BigComp}, pages = {149--154}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/elinfocom/ShinBPKK23, author = {Ki{-}Young Shin and Young Min Bae and Hae{-}Soo Park and Dong{-}Goo Kang and Minbo Kang}, title = {The Effect of Electrode Distance on the Voltage Distribution during Non-invasive Vagus Nerve Stimulation - a Preliminary Study}, booktitle = {{ICEIC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/eurosys/JeongJLLSK23, author = {Dae R. Jeong and Minkyu Jung and Yoochan Lee and Byoungyoung Lee and Insik Shin and Youngjin Kwon}, title = {Diagnosing Kernel Concurrency Failures with {AITIA}}, booktitle = {EuroSys}, pages = {94--110}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/icassp/HuangGMKCLW23, author = {Junwei Huang and Karthik Ganesan and Soumi Maiti and Young Min Kim and Xuankai Chang and Paul Liang and Shinji Watanabe}, title = {FindAdaptNet: Find and Insert Adapters by Learned Layer Importance}, booktitle = {{ICASSP}}, pages = {1--5}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icassp/KwakJYSK23, author = {Youngjun Kwak and Minyoung Jung and Hunjae Yoo and Jinho Shin and Changick Kim}, title = {Liveness Score-Based Regression Neural Networks for Face Anti-Spoofing}, booktitle = {{ICASSP}}, pages = {1--5}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccv/KimKJSKK23, author = {Hansol Kim and Youngjun Kwak and Minyoung Jung and Jinho Shin and Youngsung Kim and Changick Kim}, title = {ProtoFL: Unsupervised Federated Learning via Prototypical Distillation}, booktitle = {{ICCV}}, pages = {6447--6456}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/iccv/ShinSBCKBU23, author = {Minjung Shin and Yunji Seo and Jeongmin Bae and Young Sun Choi and Hyunsu Kim and Hyeran Byun and Youngjung Uh}, title = {BallGAN: 3D-aware Image Synthesis with a Spherical Background}, booktitle = {{ICCV}}, pages = {7234--7245}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/icufn/AliyuOOSCUJHK23, author = {Ibrahim Aliyu and Seungmin Oh and Sangwon Oh and Hyeju Shin and Kwangmoo Chung and Tai{-}Won Um and Young{-}Ae Jung and Minsoo Hahn and Jinsul Kim}, title = {Toward a Dynamic Tasks Offloading and Resource Allocation for the Metaverse in In-network Computing}, booktitle = {{ICUFN}}, pages = {798--803}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/ifuzzy/LeeLSCL23, author = {Sungmin Lee and Minjeong Lee and Younghoon Shin and Byung{-}Jae Choi and Miran Lee}, title = {PRV-based Stress Analysis on Display Color Temperatur in {VR} Environments}, booktitle = {iFUZZY}, pages = {1--4}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/immercom/ShinYJL23, author = {Seokgyeong Shin and Juheon Yi and Minkyung Jeong and Youngki Lee}, title = {{FAST:} Fast and Accurate Adaptation in Live Video Analytics Using Intermediate Features}, booktitle = {ImmerCom}, pages = {208--214}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/ipsn/ShimSCLSK23, author = {Seungwoo Shim and Hyeonho Shin and Myeongkyun Cho and Youngki Lee and Jinwoo Shin and Song Min Kim}, title = {Mosaic: Extremely Low-resolution {RFID} Vision for Visually-anonymized Action Recognition}, booktitle = {{IPSN}}, pages = {247--260}, publisher = {{ACM}}, year = {2023} }
@inproceedings{DBLP:conf/iros/ParkKHSKKYKHL23, author = {Junghoon Park and Dong Hyun Kim and Seungyong Hyung and Gyowook Shin and Youngtae G. Kim and Sang{-}Hun Kim and Chiyul Yoon and Sungchan Ko and Kyoungwoon Hahm and Minhyung Lee}, title = {Design of a Cable Driven Wearable Fitness Device for Upper Limb Exercise}, booktitle = {{IROS}}, pages = {6456--6461}, year = {2023} }
@inproceedings{DBLP:conf/irps/RanjanPLPKYHKJZSC23, author = {Rakesh Ranjan and Pavitra Ramadevi Perepa and Ki{-}Don Lee and Hokyung Park and Peter Kim and Ganesh Chakravarthy Yerubandi and Jon Haefner and Caleb Dongkyun Kwon and Minjung Jin and Wenhao Zhou and Hyewon Shim and Shin{-}Young Chung}, title = {Impact of Barrier Metal Thickness on {SRAM} Reliability}, booktitle = {{IRPS}}, pages = {1--6}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/isocc/ShinSPOJ23, author = {Hye{-}Min Shin and Hae{-}Won Son and Tai{-}Soon Park and Tae{-}Woo Oh and Young{-}Chan Jang}, title = {First-order Continuous Time Delta-sigma Modulator with 3-bit {SAR} {ADC} and {PNM} {DAC}}, booktitle = {{ISOCC}}, pages = {297--298}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{ISSCC}}, pages = {402--403}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/mlcad/SongCLS23, author = {Yoonsang Song and Gangmin Cho and Wonjae Lee and Youngsoo Shin}, title = {Simultaneous Clock Wire Sizing and Shield Insertion for Minimizing Routing Blockage}, booktitle = {{MLCAD}}, pages = {1--6}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/sii/LeeKSLCY23, author = {Hosun Lee and Jeasung Kwon and Minjun Shin and Sungon Lee and Nak Young Chong and Woosung Yang}, title = {Development of Rail-guided Smart Patrol System for Surveillance and Monitoring of Facilities Safety}, booktitle = {{SII}}, pages = {1--6}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/smartcloud/LeeSQL23, author = {Sungmin Lee and Younghoon Shin and Wei Qun and Miran Lee}, title = {Heart Sound Analysis for Smart Stethoscope in Mobile Environments}, booktitle = {SmartCloud}, pages = {200--201}, publisher = {{IEEE}}, year = {2023} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {{VLSI} Technology and Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2023} }
@article{DBLP:journals/corr/abs-2301-09091, author = {Minjung Shin and Yunji Seo and Jeongmin Bae and Young Sun Choi and Hyunsu Kim and Hyeran Byun and Youngjung Uh}, title = {BallGAN: 3D-aware Image Synthesis with a Spherical Background}, journal = {CoRR}, volume = {abs/2301.09091}, year = {2023} }
@article{DBLP:journals/corr/abs-2301-11104, author = {Younghyun Kim and Sangwoo Mo and Minkyu Kim and Kyungmin Lee and Jaeho Lee and Jinwoo Shin}, title = {Explaining Visual Biases as Words by Generating Captions}, journal = {CoRR}, volume = {abs/2301.11104}, year = {2023} }
@article{DBLP:journals/corr/abs-2302-00319, author = {Seong{-}Eun Moon and Ji Won Yoon and Shinyoung Joo and Yoohyung Kim and Jae{-}Hyun Bae and Seokho Yoon and Haanju Yoo and Young Min Cho}, title = {Development of deep biological ages aware of morbidity and mortality based on unsupervised and semi-supervised deep learning approaches}, journal = {CoRR}, volume = {abs/2302.00319}, year = {2023} }
@article{DBLP:journals/corr/abs-2302-09461, author = {Youngjun Kwak and Minyoung Jung and Hunjae Yoo and Jinho Shin and Changick Kim}, title = {Liveness score-based regression neural networks for face anti-spoofing}, journal = {CoRR}, volume = {abs/2302.09461}, year = {2023} }
@article{DBLP:journals/corr/abs-2302-09569, author = {MinJin Hwang and Bappaditya Dey and Enrique Dehaerne and Sandip Halder and Young{-}han Shin}, title = {SEMI-PointRend: Improved Semiconductor Wafer Defect Classification and Segmentation as Rendering}, journal = {CoRR}, volume = {abs/2302.09569}, year = {2023} }
@article{DBLP:journals/corr/abs-2307-12450, author = {Hansol Kim and Youngjun Kwak and Minyoung Jung and Jinho Shin and Youngsung Kim and Changick Kim}, title = {ProtoFL: Unsupervised Federated Learning via Prototypical Distillation}, journal = {CoRR}, volume = {abs/2307.12450}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023} }
@article{DBLP:journals/corr/abs-2309-04655, author = {Jinwoo Lee and Kangkyu Kwon and Ira Soltis and Jared Matthews and Yoonjae Lee and Hojoong Kim and Lissette Romero and Nathan Zavanelli and Youngjin Kwon and Shinjae Kwon and Jimin Lee and Yewon Na and Sung Hoon Lee and Ki Jun Yu and Minoru Shinohara and Frank L. Hammond and Woon{-}Hong Yeo}, title = {Intelligent upper-limb exoskeleton using deep learning to predict human intention for sensory-feedback augmentation}, journal = {CoRR}, volume = {abs/2309.04655}, year = {2023} }
@article{DBLP:journals/corr/abs-2312-01129, author = {Yeongtak Oh and Jooyoung Choi and Yongsung Kim and Minjun Park and Chaehun Shin and Sungroh Yoon}, title = {ControlDreamer: Stylized 3D Generation with Multi-View ControlNet}, journal = {CoRR}, volume = {abs/2312.01129}, year = {2023} }
@article{DBLP:journals/corr/abs-2312-08603, author = {Hyunjun Heo and Ui{-}Hyeop Shin and Ran Lee and Youngju Cheon and Hyung{-}Min Park}, title = {NeXt-TDNN: Modernizing Multi-Scale Temporal Convolution Backbone for Speaker Verification}, journal = {CoRR}, volume = {abs/2312.08603}, year = {2023} }
@article{DBLP:journals/access/KimLLSKLK22, author = {Ikhwan Kim and Dong{-}Min Lee and Yun{-}Joo Lee and Jaewoo Shin and Eun Seong Kim and Hakyoung Lee and Nam{-}Young Kim}, title = {Dual-Band On-Body Near Field Antenna for Measuring Deep Core Temperature With a Microwave Radiometer}, journal = {{IEEE} Access}, volume = {10}, pages = {63715--63722}, year = {2022} }
@article{DBLP:journals/eswa/ShinLLPKLM22, author = {Seunghyup Shin and Youngbok Lee and Yongjoo Lee and Jihwan Park and Minjae Kim and Sangyul Lee and Kyoungdoug Min}, title = {Designing a steady-state experimental dataset for predicting transient NO\({}_{\mbox{x}}\) emissions of diesel engines via deep learning}, journal = {Expert Syst. Appl.}, volume = {198}, pages = {116919}, year = {2022} }
@article{DBLP:journals/ieicetd/ChoiKSKPSJS22, author = {Jinho Choi and Jaehan Kim and Minkyoo Song and Hanna Kim and Nahyeon Park and Minjae Seo and Youngjin Jin and Seungwon Shin}, title = {A Large-Scale Bitcoin Abuse Measurement and Clustering Analysis Utilizing Public Reports}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {105-D}, number = {7}, pages = {1296--1307}, year = {2022} }
@article{DBLP:journals/ijsinnov/YoungPKS22, author = {Jung Ji Young and Minwoo Park and Shin Hee Kyoung and Yongtae Shin}, title = {{SNI} Field Blocking and Internet Censorship}, journal = {Int. J. Softw. Innov.}, volume = {10}, number = {2}, pages = {1--12}, year = {2022} }
@article{DBLP:journals/itiis/LeeJKSJ0K22, author = {Namhwa Lee and Minsu Jeong and Youngjae Kim and Jisoo Shin and Inwhee Joe and Sanghoon Jeon and Byuk Sung Ko}, title = {IoT-based Architecture and Implementation for Automatic Shock Treatment}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {7}, pages = {2209--2224}, year = {2022} }
@article{DBLP:journals/midm/YounKSJHPRPK22, author = {Young Chul Youn and Hye Ryoun Kim and Hae Won Shin and Hae{-}Bong Jeong and Sang{-}Won Han and Jung{-}Min Pyun and Nayoung Ryoo and Young Ho Park and Sangyun Kim}, title = {Prediction of amyloid {PET} positivity via machine learning algorithms trained with EDTA-based blood amyloid-{\(\beta\)} oligomerization data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {286}, year = {2022} }
@article{DBLP:journals/sensors/ChoKLJSLCYLLY22, author = {Chul{-}Hee Cho and Si{-}Jun Kim and Young{-}Seok Lee and Won{-}nyoung Jeong and In{-}Ho Seong and Jang{-}Jae Lee and Minsu Choi and Ye{-}Bin You and Sang{-}Ho Lee and Jinho Lee and Shin{-}Jae You}, title = {Refined Appearance Potential Mass Spectrometry for High Precision Radical Density Quantification in Plasma}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6589}, year = {2022} }
@article{DBLP:journals/sensors/KoHSK22, author = {Jeong Bae Ko and Jae Soo Hong and Young Sub Shin and Kwang Bok Kim}, title = {Machine Learning-Based Predicted Age of the Elderly on the Instrumented Timed Up and Go Test and Six-Minute Walk Test}, journal = {Sensors}, volume = {22}, number = {16}, pages = {5957}, year = {2022} }
@article{DBLP:journals/sensors/OhSKYHS22, author = {Ji{-}Hye Oh and Beom{-}Sik Shin and Min{-}A. Kim and Young{-}Hwan You and Duckdong Hwang and Hyoung{-}Kyu Song}, title = {Efficient User-Serving Scheme in the User-Centric Cell-Free Massive {MIMO} System}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3794}, year = {2022} }
@article{DBLP:journals/sensors/ShinMMCHLR22, author = {Ki{-}Young Shin and Ryan McConville and Oussama Metatla and Min Hye Chang and Chiyoung Han and Junhaeng Lee and Anne Roudaut}, title = {Outdoor Localization Using {BLE} {RSSI} and Accessible Pedestrian Signals for the Visually Impaired at Intersections}, journal = {Sensors}, volume = {22}, number = {1}, pages = {371}, year = {2022} }
@article{DBLP:journals/titb/YeoBLBRSY22, author = {Minsoo Yeo and Hoonsuk Byun and Jiyeon Lee and Jungick Byun and Hak{-}Young Rhee and Wonchul Shin and Heenam Yoon}, title = {Respiratory Event Detection During Sleep Using Electrocardiogram and Respiratory Related Signals: Using Polysomnogram and Patch-Type Wearable Device Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {2}, pages = {550--560}, year = {2022} }
@article{DBLP:journals/titb/YeoBLBRSY22a, author = {Minsoo Yeo and Hoonsuk Byun and Jiyeon Lee and Jungick Byun and Hak{-}Young Rhee and Wonchul Shin and Heenam Yoon}, title = {Robust Method for Screening Sleep Apnea With Single-Lead {ECG} Using Deep Residual Network: Evaluation With Open Database and Patch-Type Wearable Device Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {11}, pages = {5428--5438}, year = {2022} }
@inproceedings{DBLP:conf/IEEEcloud/KimJS22, author = {Minseo Kim and Hyerean Jang and Youngjoo Shin}, title = {Avengers, Assemble! Survey of WebAssembly Security Solutions}, booktitle = {{CLOUD}}, pages = {543--553}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/aicas/HanLSKKCCKSKCK22, author = {Jinho Han and Chun{-}Gi Lyuh and Kyeongsun Shin and Hyun{-}Mi Kim and Hyunjeong Kwon and Jaehoon Chung and Yong Cheol Peter Cho and Jinkyu Kim and Jeonghui Suk and Chan Kim and Minseok Choi and Youngsu Kwon}, title = {ArtBrain-K: {AI} Processor based-on 5-PetaFLOPS {AI} Server System}, booktitle = {{AICAS}}, pages = {466--468}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/bmvc/KangSSL22, author = {Soo Min Kang and Youngchan Song and Hanul Shin and Tammy Lee}, title = {iiTransformer: {A} Unified Approach to Exploiting Local and Non-local Information for Image Restoration}, booktitle = {{BMVC}}, pages = {377}, publisher = {{BMVA} Press}, year = {2022} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{CICC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/elinfocom/ParkKSP22, author = {Dohyun Park and Sun Jin Kim and Min Hye Shin and Young{-}Hoon Park}, title = {PRE-based Privacy-Sensitive Healthcare Data Management for Secure Sharing}, booktitle = {{ICEIC}}, pages = {1--4}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/esscirc/LimGLKHKJSJJ22, author = {Sehee Lim and Youngin Goh and Young Kyu Lee and Dong Han Ko and Junghyeon Hwang and Minki Kim and Yeongseok Jeong and Hunbeom Shin and Sanghun Jeon and Seong{-}Ook Jung}, title = {A Highly Integrated Crosspoint Array Using Self-rectifying {FTJ} for Dual-mode Operations: {CAM} and {PUF}}, booktitle = {{ESSCIRC}}, pages = {113--116}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/essderc/ByunKMSSK22, author = {Dong{-}Wook Byun and Min{-}Yeong Kim and Soo{-}Young Moon and Myeongcheol Shin and Michael A. Schweitz and Sang{-}Mo Koo}, title = {Effect of Post Annealing on the Electrical Characteristics and Deep Level Defects of Ga2O3/SiC Heterojunction Diodes}, booktitle = {{ESSDERC}}, pages = {249--252}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/icisc/BaeCPKS22, author = {Seungyeon Bae and Yousung Chang and Hyeongjin Park and Minseo Kim and Youngjoo Shin}, title = {A Performance Evaluation of IPsec with Post-Quantum Cryptography}, booktitle = {{ICISC}}, series = {Lecture Notes in Computer Science}, volume = {13849}, pages = {249--266}, publisher = {Springer}, year = {2022} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IRPS}}, pages = {10}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{ISSCC}}, pages = {504--506}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{ISSCC}}, pages = {134--135}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{ISSCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{ISSCC}}, pages = {444--446}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/naacl/LeeKCPHKKLS0K22, author = {Haeju Lee and Oh Joon Kwon and Yunseon Choi and Minho Park and Ran Han and Yoonhyung Kim and Jinhyeon Kim and Youngjune Lee and Haebin Shin and Kangwook Lee and Kee{-}Eung Kim}, title = {Learning to Embed Multi-Modal Contexts for Situated Conversational Agents}, booktitle = {{NAACL-HLT} (Findings)}, pages = {813--830}, publisher = {Association for Computational Linguistics}, year = {2022} }
@inproceedings{DBLP:conf/nips/LeeJSBY22, author = {Gihun Lee and Minchan Jeong and Yongjin Shin and Sangmin Bae and Se{-}Young Yun}, title = {Preservation of the Global Knowledge by Not-True Distillation in Federated Learning}, booktitle = {NeurIPS}, year = {2022} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {{OFC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/ofc/YunH0KKSBPL22, author = {Seok{-}Jun Yun and Young{-}Tak Han and Dong{-}Hoon Lee and Seok{-}Tae Kim and Min{-}Jun Kwak and Jang{-}Uk Shin and Yongsoon Baek and Sang{-}Ho Park and Seo{-}Young Lee}, title = {Realization of {EML} Submodule for 100-Gbaud Operation Using {LC} Resonance with Optimization of Load Resistance}, booktitle = {{OFC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/RieYBLKKPCUJSJC22, author = {Hyunsub Norbert Rie and Chang Soo Yoon and Jindo Byun and Sucheol Lee and Garam Kim and Joohwan Kim and Junyoung Park and Hyunyoon Cho and Youngdo Um and Hyungmin Jin and Kwangseob Shin and Minsu Jung and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and EunSeok Shin and Hyuk{-}Jun Kwon and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 40-Gb/s/pin Low-Voltage {POD} Single-Ended {PAM-4} Transceiver with Timing Calibrated Reset-less Slicer and Bidirectional T-Coil for {GDDR7} Application}, booktitle = {{VLSI} Technology and Circuits}, pages = {148--149}, publisher = {{IEEE}}, year = {2022} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{VLSI} Technology and Circuits}, pages = {130--131}, publisher = {{IEEE}}, year = {2022} }
@article{DBLP:journals/corr/abs-2205-08290, author = {Young Min Baek and Esther Cho and Donghwan Shin and Doo{-}Hwan Bae}, title = {Literature Review to Collect Conceptual Variables of Scenario Methods for Establishing a Conceptual Scenario Framework}, journal = {CoRR}, volume = {abs/2205.08290}, year = {2022} }
@article{DBLP:journals/corr/abs-2207-02516, author = {Su Young Kim and Hyeon{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Ask Me What You Need: Product Retrieval using Knowledge from {GPT-3}}, journal = {CoRR}, volume = {abs/2207.02516}, year = {2022} }
@article{DBLP:journals/corr/abs-2208-07552, author = {Juhyung Park and Dongwon Park and Hyeong{-}Geol Shin and Eun{-}Jung Choi and Hongjun An and Minjun Kim and Dongmyung Shin and Se Young Chun and Jongho Lee}, title = {Coil2Coil: Self-supervised {MR} image denoising using phased-array coil images}, journal = {CoRR}, volume = {abs/2208.07552}, year = {2022} }
@article{DBLP:journals/access/LinL21a, author = {Gen{-}Min Lin and Henry Horng{-}Shing Lu}, title = {Electrocardiographic Machine Learning to Predict Left Ventricular Diastolic Dysfunction in Asian Young Male Adults}, journal = {{IEEE} Access}, volume = {9}, pages = {49047--49054}, year = {2021} }
@article{DBLP:journals/eswa/LeeSJRKLPP21, author = {Wan{-}Kon Lee and Won{-}Chul Shin and Batselem Jagvaral and Jae{-}Seung Roh and Min{-}Sung Kim and Min{-}Ho Lee and Hyun{-}Kyu Park and Young{-}Tack Park}, title = {A path-based relation networks model for knowledge graph completion}, journal = {Expert Syst. Appl.}, volume = {182}, pages = {115273}, year = {2021} }
@article{DBLP:journals/jip/Minato21, author = {Shin{-}ichi Minato}, title = {Editor's Message to Special Issue of Young Researchers' Papers}, journal = {J. Inf. Process.}, volume = {29}, pages = {205}, year = {2021} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021} }
@article{DBLP:journals/jssc/KeelKKBKCSLSKAK21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Seung{-}Chul Shin and Myeonggyun Kye and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Jesuk Lee}, title = {A 1.2-Mpixel Indirect Time-of-Flight Image Sensor With 4-Tap 3.5-{\(\mu\)}m Pixels for Peak Current Mitigation and Multi-User Interference Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {11}, pages = {3209--3219}, year = {2021} }
@article{DBLP:journals/remotesensing/SongISYKYL21, author = {Joon Jin Song and Melissa Innerst and Kyuhee Shin and Bo{-}Young Ye and Minho Kim and Daejin Yeom and GyuWon Lee}, title = {Estimation of Precipitation Area Using S-Band Dual-Polarization Radar Measurements}, journal = {Remote. Sens.}, volume = {13}, number = {11}, pages = {2039}, year = {2021} }
@article{DBLP:journals/tcasI/ChoiPCOLKBSK21, author = {Subin Choi and Chan Sam Park and Hee Young Chae and Byungjoo Oh and Jongmin Lee and Yeong Min Kwon and Jeong Min Baik and Heungjoo Shin and Jae Joon Kim}, title = {A Wide Dynamic Range Multi-Sensor {ROIC} for Portable Environmental Monitoring Systems With Two-Step Self-Optimization Schemes}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {6}, pages = {2432--2443}, year = {2021} }
@article{DBLP:journals/tits/JangJSP21, author = {Jinbeum Jang and Youngran Jo and Minwoo Shin and Joonki Paik}, title = {Camera Orientation Estimation Using Motion-Based Vanishing Point Detection for Advanced Driver-Assistance Systems}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {10}, pages = {6286--6296}, year = {2021} }
@article{DBLP:journals/wpc/ShinMPKC21, author = {Min{-}Su Shin and Danish Mehmood Mughal and Seungil Park and Sang{-}Hyo Kim and Min Young Chung}, title = {Cellular Licensed Band Sharing Technology Among Mobile Operators: {A} Reinforcement Learning Perspective}, journal = {Wirel. Pers. Commun.}, volume = {120}, number = {1}, pages = {27--47}, year = {2021} }
@inproceedings{DBLP:conf/aicas/HuCCSHXWPSTLLWL21, author = {Xiao Hu and Ming{-}Ching Chang and Yuwei Chen and Rahul Sridhar and Zhenyu Hu and Yunhe Xue and Zhenyu Wu and Pengcheng Pi and Jiayi Shen and Jianchao Tan and Xiangru Lian and Ji Liu and Zhangyang Wang and Chia{-}Hsiang Liu and Yu{-}Shin Han and Yuan{-}Yao Sung and Yi Lee and Kai{-}Chiang Wu and Wei{-}Xiang Guo and Rick Lee and Shengwen Liang and Zerun Wang and Guiguang Ding and Gang Zhang and Teng Xi and Yubei Chen and Han Cai and Ligeng Zhu and Zhekai Zhang and Song Han and Seonghwan Jeong and YoungMin Kwon and Tianzhe Wang and Jeffery Pan}, title = {The 2020 Low-Power Computer Vision Challenge}, booktitle = {{AICAS}}, pages = {1--4}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/asscc/OhHSCKK21, author = {Ghil{-}Geun Oh and Min{-}Hye Ho and Yeon{-}Jung Shin and Jaewook Choi and Ju{-}Youn Kim and Young{-}Dae Kim}, title = {Dynamic Voltage Stress Sensing Circuits for Screening Out Early Device Reliability Issues in Advanced Technology Nodes}, booktitle = {{A-SSCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/bci3/KweonKSL21, author = {Young{-}Seok Kweon and Heon{-}Gyu Kwak and Gi{-}Hwan Shin and Minji Lee}, title = {Automatic Micro-sleep Detection under Car-driving Simulation Environment using Night-sleep {EEG}}, booktitle = {{BCI}}, pages = {1--6}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/bci3/ShinKL21, author = {Gi{-}Hwan Shin and Young{-}Seok Kweon and Minji Lee}, title = {Predicting the Transition from Short-term to Long-term Memory based on Deep Neural Network}, booktitle = {{BCI}}, pages = {1--5}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/hci/Yamamoto0KM21, author = {Shintaro Yamamoto and Ryota Suzuki and Hitokatsu Kataoka and Shigeo Morishima}, title = {Comprehending Research Article in Minutes: {A} User Study of Reading Computer Generated Summary for Young Researchers}, booktitle = {{HCI} {(4)}}, series = {Lecture Notes in Computer Science}, volume = {12765}, pages = {101--112}, publisher = {Springer}, year = {2021} }
@inproceedings{DBLP:conf/icaiic/KangYSYKJ21, author = {Dong Mug Kang and Sang Hun Yoon and Dae Kyo Shin and Young Yoon and Hyeon Min Kim and Soo Hyun Jang}, title = {A Study on Attack Pattern Generation and Hybrid {MR-IDS} for In-Vehicle Network}, booktitle = {{ICAIIC}}, pages = {291--294}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/imw2/LeeJYJWLLHCSKKK21, author = {Jaehun Lee and Youngcheon Jeong and Kyongsik Yeom and Changmin Jeon and Jongsung Woo and Sangjin Lee and Ga{-}Young Lee and Dong{-}Hwee Hwang and Yong Seok Chung and Minji Seo and Dong{-}Hyun Kim and DalHwan Kim and Yongsik Kim and HyunChang Lee and Soomin Cho and MyeongHee Oh and Hyun{-}Jin Shin and Gun Rae Kim and Sungyoung Yoon and Yong Kyu Lee and Young Ki Hong}, title = {Highly Reliable 28nm Embedded Flash Process Development for High-Density and High-Speed Automotive Grade-1 Application}, booktitle = {{IMW}}, pages = {1--3}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iscas/KimCSLKKCYHCHK21, author = {Hyeji Kim and Jaehoon Chung and Kyoung{-}Seon Shin and Chun{-}Gi Lyuh and Hyun{-}Mi Kim and Chan Kim and Yong Cheol Peter Cho and Jeongmin Yang and Je{-}Seok Ham and Minseok Choi and Jinho Han and Youngsu Kwon}, title = {Live Demonstration: {A} Neural Processor for {AI} Acceleration}, booktitle = {{ISCAS}}, pages = {1}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/iscas/ShinKPLK21, author = {Sangmin Shin and Minsung Kim and Junyoung Park and Hyunjoong Lee and Suhwan Kim}, title = {Energy-Efficient Read-Out {IC} for High-Precision {DC} Measurement System with Instrumentation Amplifier Power Reduction Technique}, booktitle = {{ISCAS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/isscc/KeelKKBKCSLJSHA21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Heeyoung Jo and Seung{-}Chul Shin and Sunjoo Hong and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Kyoungmin Koh and Yongin Park}, title = {A 4-tap 3.5 {\(\mu\)}m 1.2 Mpixel Indirect Time-of-Flight {CMOS} Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation}, booktitle = {{ISSCC}}, pages = {106--108}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{ISSCC}}, pages = {350--352}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/mobicom/LeeLKLCLLKSOKS21, author = {Sunjae Lee and Hayeon Lee and Hoyoung Kim and Sangmin Lee and Jeong Woon Choi and Yuseung Lee and Seono Lee and Ahyeon Kim and Jean Young Song and Sangeun Oh and Steven Y. Ko and Insik Shin}, title = {{FLUID-XP:} flexible user interface distribution for cross-platform experience}, booktitle = {MobiCom}, pages = {762--774}, publisher = {{ACM}}, year = {2021} }
@inproceedings{DBLP:conf/nips/GrucaSLRCHPRSCLDBPPSKSKACPSCLKKKSYESYS21, author = {Aleksandra Gruca and Federico Serva and Lloren{\c{c}} Lliso and Pilar R{\'{\i}}podas and Xavier Calbet and Pedro Herruzo and Jir{\'{\i}} Pihrt and Rudolf Raevskiy and Petr Sim{\'{a}}nek and Matej Choma and Yang Li and Haiyu Dong and Yury Belousov and Sergey Polezhaev and Brian Pulfer and Minseok Seo and Doyi Kim and Seungheon Shin and Eunbin Kim and Sewoong Ahn and Yeji Choi and Jinyoung Park and Minseok Son and Seungju Cho and Inyoung Lee and Changick Kim and Taehyeon Kim and Shinhwan Kang and Hyeonjeong Shin and Deukryeol Yoon and Seongha Eom and Kijung Shin and Se{-}Young Yun and Bertrand Le Saux and Michael K. Kopp and Sepp Hochreiter and David P. Kreil}, title = {Weather4cast at NeurIPS 2022: Super-Resolution Rain Movie Prediction under Spatio-temporal Shifts}, booktitle = {NeurIPS (Competition and Demos)}, series = {Proceedings of Machine Learning Research}, volume = {220}, pages = {292--313}, publisher = {{PMLR}}, year = {2021} }
@inproceedings{DBLP:conf/snpd/YoungKPS21, author = {Jung Ji Young and Shin Hee Kyoung and Minwoo Park and Yongtae Shin}, title = {Cloud Computing Transformation * : Considering Adoption of Mixed Security}, booktitle = {{SNPD} Winter}, pages = {90--95}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/sysose/BaekCSB21, author = {Young Min Baek and Eunho Cho and Yong{-}Jun Shin and Doo{-}Hwan Bae}, title = {A Modeling Method for Representation of Geographical Information of a System-of-Systems}, booktitle = {{SOSE}}, pages = {7--12}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/urai/ShinBJCJ21, author = {Dongin Shin and Trung M. Bui and Guenho Jeong and Minyoung Cho and Sewoong Jun}, title = {Data Augmentation for {FPCB} Picking in Heavy Clutter via Image Blending}, booktitle = {{UR}}, pages = {198--204}, publisher = {{IEEE}}, year = {2021} }
@inproceedings{DBLP:conf/vlsic/JeonCKSJ21, author = {Hyuntak Jeon and Injun Choi and Young{-}Han Kim and Se{-}Un Shin and Minkyu Je}, title = {A SiPM Readout {IC} Embedded in a Boost Converter for Mobile Dosimeters}, booktitle = {{VLSI} Circuits}, pages = {1--2}, publisher = {{IEEE}}, year = {2021} }
@article{DBLP:journals/corr/abs-2106-00573, author = {Kyuyong Shin and Hanock Kwak and Kyung{-}Min Kim and Minkyu Kim and Young{-}Jin Park and Jisu Jeong and Seungjae Jung}, title = {One4all User Representation for Recommender Systems in E-commerce}, journal = {CoRR}, volume = {abs/2106.00573}, year = {2021} }
@article{DBLP:journals/corr/abs-2106-03097, author = {Gihun Lee and Yongjin Shin and Minchan Jeong and Se{-}Young Yun}, title = {Preservation of the Global Knowledge by Not-True Self Knowledge Distillation in Federated Learning}, journal = {CoRR}, volume = {abs/2106.03097}, year = {2021} }
@article{DBLP:journals/corr/abs-2110-06476, author = {Minchul Shin and Jonghwan Mun and Kyoung{-}Woon On and Woo{-}Young Kang and Gunsoo Han and Eun{-}Sol Kim}, title = {Winning the ICCV'2021 {VALUE} Challenge: Task-aware Ensemble and Transfer Learning with Visual Concepts}, journal = {CoRR}, volume = {abs/2110.06476}, year = {2021} }
@article{DBLP:journals/corr/abs-2110-14185, author = {Muneeb Ahmad and Soo Young Shin}, title = {Massive {MIMO} {NOMA} with Wavelet Pulse Shaping to Minimize Undesired Channel Interference}, journal = {CoRR}, volume = {abs/2110.14185}, year = {2021} }
@article{DBLP:journals/corr/abs-2111-11294, author = {Kyuyong Shin and Hanock Kwak and Kyung{-}Min Kim and Su Young Kim and Max Nihl{\'{e}}n Ramstr{\"{o}}m}, title = {Scaling Law for Recommendation Models: Towards General-purpose User Representations}, journal = {CoRR}, volume = {abs/2111.11294}, year = {2021} }
@article{DBLP:journals/corr/abs-2112-04176, author = {Young Eun Lee and Gi{-}Hwan Shin and Minji Lee and Seong{-}Whan Lee}, title = {Mobile {BCI} dataset of scalp- and ear-EEGs with {ERP} and {SSVEP} paradigms while standing, walking, and running}, journal = {CoRR}, volume = {abs/2112.04176}, year = {2021} }
@article{DBLP:journals/access/ChoiKSYSS20, author = {Jonghwa Choi and Sungjoong Kim and Dong{-}Min Shin and Young{-}Hwan You and Dongil Shin and Dongkyoo Shin}, title = {Neuro-Feedback System Enhancing the Level of Symmetry in Left and Right Brain Activities}, journal = {{IEEE} Access}, volume = {8}, pages = {107969--107976}, year = {2020} }
@article{DBLP:journals/access/KimJKLSCK20, author = {Sumin Kim and Se{-}Yeon Jeon and Jeongbae Kim and Ui{-}Min Lee and Seungha Shin and Youngwoo Choi and Min{-}Ho Ka}, title = {Multichannel W-Band {SAR} System on a Multirotor {UAV} Platform With Real-Time Data Transmission Capabilities}, journal = {{IEEE} Access}, volume = {8}, pages = {144413--144431}, year = {2020} }
@article{DBLP:journals/access/ShinPLK20, author = {Yoon{-}Ho Shin and Minje Park and Oh{-}Young Lee and Jong{-}Ok Kim}, title = {Deep Orthogonal Transform Feature for Image Denoising}, journal = {{IEEE} Access}, volume = {8}, pages = {66898--66909}, year = {2020} }
@article{DBLP:journals/access/SongLSLKN20, author = {Minki Song and Seunghwan Lee and Dong{-}Joon Shin and Eunsang Lee and Young{-}Sik Kim and Jong{-}Seon No}, title = {Analysis of Error Dependencies on Newhope}, journal = {{IEEE} Access}, volume = {8}, pages = {45443--45456}, year = {2020} }
@article{DBLP:journals/bmcmi/HaCCSCK20, author = {Su Min Ha and Eun Young Chae and Joo Hee Cha and Hee Jung Shin and Woo Jung Choi and Hak Hee Kim}, title = {Diagnostic performance of standard breast {MR} imaging compared to dedicated axillary {MR} imaging in the evaluation of axillary lymph node}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {45}, year = {2020} }
@article{DBLP:journals/eaai/ShinLKPLM20, author = {Seunghyup Shin and Youngbok Lee and Minjae Kim and Jihwan Park and Sangyul Lee and Kyoungdoug Min}, title = {Deep neural network model with Bayesian hyperparameter optimization for prediction of NOx at transient conditions in a diesel engine}, journal = {Eng. Appl. Artif. Intell.}, volume = {94}, pages = {103761}, year = {2020} }
@article{DBLP:journals/icl/LeeSKSHSKK20, author = {Donggu Lee and Young Ghyu Sun and Soo Hyun Kim and Isaac Sim and Yu Min Hwang and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {DQN-Based Adaptive Modulation Scheme Over Wireless Communication Channels}, journal = {{IEEE} Commun. Lett.}, volume = {24}, number = {6}, pages = {1289--1293}, year = {2020} }
@article{DBLP:journals/jmihi/KimLSLKLSOLJLHA20, author = {Hyungtai Kim and Minhee Lee and Min Kyun Sohn and Jongmin Lee and Deog Young Kim and Sam{-}Gyu Lee and Yong{-}Il Shin and Gyung{-}Jae Oh and Yang{-}Soo Lee and Cheol Min Joo and So Young Lee and Junhee Han and Jeonghoon Ahn and Won Hyuk Chang and Ji Yoo Choi and Sung Hyun Kang and Dong Han Lee and Young Taek Kim and Mun{-}Taek Choi and Yun{-}Hee Kim}, title = {Simultaneous Clustering and Classification of Function Recovery Patterns of Ischemic Stroke}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1401--1407}, year = {2020} }
@article{DBLP:journals/jssc/KeelJKKKBCSKACJ20, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Seoung{-}Jae Yoo and Chang{-}Rok Moon and Hyunsurk Ryu and Joonseok Kim}, title = {A {VGA} Indirect Time-of-Flight {CMOS} Image Sensor With 4-Tap 7- {\textdollar}{\textbackslash}mu{\textdollar} m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {889--897}, year = {2020} }
@article{DBLP:journals/sensors/LimKKMKLLY20, author = {Hyo{-}Ryoung Lim and Yun{-}Soung Kim and Shinjae Kwon and Musa Mahmood and Young{-}Tae Kwon and Yongkuk Lee and Soon Min Lee and Woon{-}Hong Yeo}, title = {Wireless, Flexible, Ion-Selective Electrode System for Selective and Repeatable Detection of Sodium}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3297}, year = {2020} }
@article{DBLP:journals/tmi/ChungLSSYLS20, author = {Minyoung Chung and Jingyu Lee and Wisoo Song and Youngchan Song and Il{-}Hyung Yang and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Automatic Registration Between Dental Cone-Beam {CT} and Scanned Surface via Deep Pose Regression Neural Networks and Clustered Similarities}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {12}, pages = {3900--3909}, year = {2020} }
@article{DBLP:journals/wpc/MahboobLSC20, author = {Tahira Mahboob and Hwi{-}Young Lee and Minsu Shin and Min Young Chung}, title = {SDN-Based Centralized Channel Assignment Scheme Using Clustering in Dense {WLAN} Environments}, journal = {Wirel. Pers. Commun.}, volume = {114}, number = {3}, pages = {2693--2716}, year = {2020} }
@inproceedings{DBLP:conf/apsec/BaekMSB20, author = {Young Min Baek and Zelalem Mihret and Yong{-}Jun Shin and Doo{-}Hwan Bae}, title = {A Modeling Method for Model-based Analysis and Design of a System-of-Systems}, booktitle = {{APSEC}}, pages = {336--345}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/apsec/HyunSSBB20, author = {Sangwon Hyun and Jiyoung Song and Seungchyul Shin and Young Min Baek and Doo{-}Hwan Bae}, title = {Pattern-based Analysis of Interaction Failures in Systems-of-Systems: a Case Study on Platooning}, booktitle = {{APSEC}}, pages = {326--335}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/iscas/SuhCIKLSJYNBYSK20, author = {Yunjae Suh and Seungnam Choi and Masamichi Ito and Jeongseok Kim and Youngho Lee and Jongseok Seo and Heejae Jung and Dong{-}Hee Yeo and Seol Namgung and Jongwoo Bong and Sehoon Yoo and Seung{-}Hun Shin and Doowon Kwon and Pilkyu Kang and Seokho Kim and Hoonjoo Na and Kihyun Hwang and Chang{-}Woo Shin and Jun{-}Seok Kim and Paul K. J. Park and Joonseok Kim and Hyunsurk Ryu and Yongin Park}, title = {A 1280{\texttimes}960 Dynamic Vision Sensor with a 4.95-{\(\mu\)}m Pixel Pitch and Motion Artifact Minimization}, booktitle = {{ISCAS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isie/KimKLLKS20, author = {Si Joong Kim and Seon Hyeog Kim and Hyeong Min Lee and Sue Hyang Lim and Gu{-}Young Kwon and Yong{-}June Shin}, title = {State of Health Estimation of Li-Ion Batteries Using Multi-Input {LSTM} with Optimal Sequence Length}, booktitle = {{ISIE}}, pages = {1336--1341}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isocc/KimLPNSKS20, author = {Hyungmin Kim and Daniel Juhun Lee and Soyoun Park and Taemin Nho and YoungChul Shin and Seongkweon Kim and Dongha Shim}, title = {Current Mode Neuromorphic Implementation using Current Memory}, booktitle = {{ISOCC}}, pages = {248--249}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {{ISSCC}}, pages = {218--220}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {{ISSCC}}, pages = {334--336}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/isscc/LeePLLPKLASKYRP20, author = {Si Hoon Lee and Kwangmin Park and Jaeheung Lim and Minchul Lee and Jeongho Park and Hyun Kim and Young Ok Lee and Hyun Su Ahn and Eunseok Shin and Hyungjong Ko and Seoung{-}Jae Yoo and Hyunsurk Ryu and Yongin Park and Joonseok Kim and Long Yan}, title = {20.3 {A} 4.0{\texttimes}3.7{\texttimes}1.0mm\({}^{\mbox{3}}\)-MEMS {CMOS} Integrated E-Nose with Embedded 4{\texttimes}Gas Sensors, a Temperature Sensor and a Relative Humidity Sensor}, booktitle = {{ISSCC}}, pages = {316--318}, publisher = {{IEEE}}, year = {2020} }
@inproceedings{DBLP:conf/w4a/LimCYTC20, author = {Zui Young Lim and Jia Min Chua and Kaiting Yang and Wei Shin Tan and Yinn Chai}, title = {Web accessibility testing for Singapore government e-services}, booktitle = {{W4A}}, pages = {22:1--22:5}, publisher = {{ACM}}, year = {2020} }
@article{DBLP:journals/corr/abs-2006-14897, author = {Young{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Hop Sampling: {A} Simple Regularized Graph Learning for Non-Stationary Environments}, journal = {CoRR}, volume = {abs/2006.14897}, year = {2020} }
@article{DBLP:journals/corr/abs-2007-02334, author = {Kyuyong Shin and Young{-}Jin Park and Kyung{-}Min Kim and Sunyoung Kwon}, title = {Multi-Manifold Learning for Large-scale Targeted Advertising System}, journal = {CoRR}, volume = {abs/2007.02334}, year = {2020} }
@article{DBLP:journals/corr/abs-2012-03510, author = {Gi{-}Hwan Shin and Young{-}Seok Kweon and Minji Lee}, title = {Predicting the Transition from Short-term to Long-term Memory based on Deep Neural Network}, journal = {CoRR}, volume = {abs/2012.03510}, year = {2020} }
@article{DBLP:journals/corr/abs-2012-05705, author = {Young{-}Seok Kweon and Gi{-}Hwan Shin and Heon{-}Gyu Kwak and Minji Lee}, title = {Automatic Micro-sleep Detection under Car-driving Simulation Environment using Night-sleep {EEG}}, journal = {CoRR}, volume = {abs/2012.05705}, year = {2020} }
@article{DBLP:journals/access/Kwun0UNMK19, author = {Young Chel Kwun and Ghulam Farid and Saleem Ullah and Waqas Nazeer and Kahkashan Mahreen and Shin Min Kang}, title = {Inequalities for a Unified Integral Operator and Associated Results in Fractional Calculus}, journal = {{IEEE} Access}, volume = {7}, pages = {126283--126292}, year = {2019} }
@article{DBLP:journals/access/KwunSNAK19, author = {Young Chel Kwun and Abdul Aziz Shahid and Waqas Nazeer and Mujahid Abbas and Shin Min Kang}, title = {Fractal Generation via {CR} Iteration Scheme With S-Convexity}, journal = {{IEEE} Access}, volume = {7}, pages = {69986--69997}, year = {2019} }
@article{DBLP:journals/access/KwunSNBAK19, author = {Young Chel Kwun and Abdul Aziz Shahid and Waqas Nazeer and Saad Ihsan Butt and Mujahid Abbas and Shin Min Kang}, title = {Tricorns and Multicorns in Noor Orbit With s-Convexity}, journal = {{IEEE} Access}, volume = {7}, pages = {95297--95304}, year = {2019} }
@article{DBLP:journals/access/KwunTNAK19, author = {Young Chel Kwun and Muhammad Tanveer and Waqas Nazeer and Mujahid Abbas and Shin Min Kang}, title = {Fractal Generation in Modified Jungck-S Orbit}, journal = {{IEEE} Access}, volume = {7}, pages = {35060--35071}, year = {2019} }
@article{DBLP:journals/access/KwunTNGK19, author = {Young Chel Kwun and Muhammad Tanveer and Waqas Nazeer and Krzysztof Gdawiec and Shin Min Kang}, title = {Mandelbrot and Julia Sets via Jungck-CR Iteration With s-Convexity}, journal = {{IEEE} Access}, volume = {7}, pages = {12167--12176}, year = {2019} }
@article{DBLP:journals/access/LeeKNSS19, author = {Eunsang Lee and Young{-}Sik Kim and Jong{-}Seon No and Minki Song and Dong{-}Joon Shin}, title = {Modification of Frodokem Using Gray and Error-Correcting Codes}, journal = {{IEEE} Access}, volume = {7}, pages = {179564--179574}, year = {2019} }
@article{DBLP:journals/jssc/JinKSKSKYKR19, author = {Dong{-}Hwan Jin and Ji{-}Wook Kwon and Min{-}Jae Seo and Mi{-}Young Kim and Min{-}Chul Shin and Seokjoon Kang and Junghyuk Yoon and Taek{-}Seung Kim and Seung{-}Tak Ryu}, title = {A Reference-Free Temperature-Dependency-Compensating Readout Scheme for Phase-Change Memory Using Flash-ADC-Configured Sense Amplifiers}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {6}, pages = {1812--1823}, year = {2019} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019} }
@article{DBLP:journals/sensors/WangKSM19, author = {Changwon Wang and Young Kim and Hangsik Shin and Se Dong Min}, title = {Preliminary Clinical Application of Textile Insole Sensor for Hemiparetic Gait Pattern Analysis}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3950}, year = {2019} }
@article{DBLP:journals/tbe/LeeS0KL19, author = {Seungchan Lee and Younghak Shin and Anil Kumar and Minhee Kim and Heung{-}No Lee}, title = {Dry Electrode-Based Fully Isolated EEG/fNIRS Hybrid Brain-Monitoring System}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {4}, pages = {1055--1068}, year = {2019} }
@inproceedings{DBLP:conf/IEEEcloud/KimSSC19, author = {Hyeong{-}Jin Kim and Jae{-}Hwan Shin and Youngho Song and Jae{-}Woo Chang}, title = {Privacy-Preserving Association Rule Mining Algorithm for Encrypted Data in Cloud Computing}, booktitle = {{CLOUD}}, pages = {487--489}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/aicas/KwonYCSCHLKKC19, author = {Youngsu Kwon and Jeongmin Yang and Yong Cheol Peter Cho and Kyoung{-}Seon Shin and Jaehoon Chung and Jinho Han and Chun{-}Gi Lyuh and Hyun{-}Mi Kim and Chan Kim and Min{-}Seok Choi}, title = {Function-Safe Vehicular {AI} Processor with Nano Core-In-Memory Architecture}, booktitle = {{AICAS}}, pages = {127--131}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/apcc/0002C19, author = {Minsu Shin and Min Young Chung}, title = {Learning-based Distributed Multi-channel Dynamic Access for Cellular Spectrum Sharing of Multiple Operators}, booktitle = {{APCC}}, pages = {384--387}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {{BIBM}}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/conll/NaMPSK19, author = {Seung{-}Hoon Na and Jinwoon Min and Kwanghyeon Park and Jong{-}Hun Shin and Young{-}Kil Kim}, title = {{JBNU} at {MRP} 2019: Multi-level Biaffine Attention for Semantic Dependency Parsing}, booktitle = {CoNLL Shared Task}, pages = {95--103}, publisher = {Association for Computational Linguistics}, year = {2019} }
@inproceedings{DBLP:conf/cvpr/BailoHS19, author = {Oleksandr Bailo and DongShik Ham and Young Min Shin}, title = {Red Blood Cell Image Generation for Data Augmentation Using Conditional Generative Adversarial Networks}, booktitle = {{CVPR} Workshops}, pages = {1039--1048}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{CVPR} Workshops}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icce-berlin/KimJKKLCYCSHC19, author = {Chan Kim and In San Jeon and Young{-}Su Kwon and Hyun{-}Mi Kim and Chun{-}Gi Lyuh and Yong Cheol Peter Cho and Jeongmin Yang and Jaehoon Chung and Kyoung{-}Seon Shin and Jinho Han and Min{-}Seok Choi}, title = {Implementation of Yolo-v2 Image Recognition and Other Testbenches for a {CNN} Accelerator}, booktitle = {ICCE-Berlin}, pages = {242--247}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/icufn/ShinKLSK19, author = {Sungho Shin and Jin Young Kim and Min{-}Ho Lee and Young Ho Shin and Min{-}Ki Kim}, title = {Implementation of Research Data Platform: in the Perspective of Data Transfer}, booktitle = {{ICUFN}}, pages = {735--737}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/iecon/LeeKSGPR19, author = {Kyung{-}Min Lee and Dae{-}Hyun Kim and Jae{-}Hyuck Shin and Tae{-}Dong Goh and Jun{-}Hyeong Park and Young{-}Ho Ryu}, title = {Reconfigurable battery system with active balancing circuit}, booktitle = {{IECON}}, pages = {4583--4586}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/isie/KimLKPCCS19, author = {Seon Hyeog Kim and Hyeong Min Lee and Gu{-}Young Kwon and Injun Park and Woojun Choi and Youngcheol Chae and Yong{-}June Shin}, title = {Harmonic Based Diagnostics for Aging Lithium-Ion Battery}, booktitle = {{ISIE}}, pages = {1971--1976}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/isocc/KwonCYCSHKLKJC19, author = {Youngsu Kwon and Yong Cheol Peter Cho and Jeongmin Yang and Jaehoon Chung and Kyoung{-}Seon Shin and Jinho Han and Chan Kim and Chun{-}Gi Lyuh and Hyun{-}Mi Kim and In San Jeon and Min{-}Seok Choi}, title = {{AI} 32TFLOPS Autonomous Driving Processor on AI-Ware with Adaptive Power Saving}, booktitle = {{ISOCC}}, pages = {174--175}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/isocc/YuLSK19, author = {Hoyoung Yu and Hyung{-}Min Lee and Youngjoo Shin and Youngmin Kim}, title = {{FPGA} reverse engineering in Vivado design suite based on X-ray project}, booktitle = {{ISOCC}}, pages = {239--240}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{ISSCC}}, pages = {384--386}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/sac/ShinBJB19, author = {Yong{-}Jun Shin and Young Min Baek and Eunkyoung Jee and Doo{-}Hwan Bae}, title = {Data-driven environment modeling for adaptive system-of-systems}, booktitle = {{SAC}}, pages = {2044--2047}, publisher = {{ACM}}, year = {2019} }
@inproceedings{DBLP:conf/sysose/ShinHBB19, author = {Yong{-}Jun Shin and Sangwon Hyun and Young Min Baek and Doo{-}Hwan Bae}, title = {Spectrum-Based Fault Localization on a Collaboration Graph of a System-of-Systems}, booktitle = {SoSE}, pages = {358--363}, publisher = {{IEEE}}, year = {2019} }
@inproceedings{DBLP:conf/vlsic/KeelJKKKBCSKACJ19, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Chang{-}Rok Moon and Hyunsurk Ryu}, title = {A 640{\texttimes}480 Indirect Time-of-Flight {CMOS} Image Sensor with 4-tap 7-{\(\mu\)}m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation Scheme}, booktitle = {{VLSI} Circuits}, pages = {258}, publisher = {{IEEE}}, year = {2019} }
@article{DBLP:journals/corr/abs-1901-06219, author = {Oleksandr Bailo and DongShik Ham and Young Min Shin}, title = {Red blood cell image generation for data augmentation using Conditional Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1901.06219}, year = {2019} }
@article{DBLP:journals/corr/abs-1905-07843, author = {Minki Song and Seunghwan Lee and Eunsang Lee and Dong{-}Joon Shin and Young{-}Sik Kim and Jong{-}Seon No}, title = {Improving security and bandwidth efficiency of NewHope using error-correction schemes}, journal = {CoRR}, volume = {abs/1905.07843}, year = {2019} }
@article{DBLP:journals/corr/abs-1905-12440, author = {Minsuk Shin and Young Lee and Jun S. Liu}, title = {Generative Parameter Sampler For Scalable Uncertainty Quantification}, journal = {CoRR}, volume = {abs/1905.12440}, year = {2019} }
@article{DBLP:journals/corr/abs-1907-11854, author = {ByungSoo Ko and Minchul Shin and Geonmo Gu and HeeJae Jun and Tae Kwan Lee and Youngjoon Kim}, title = {A Benchmark on Tricks for Large-scale Image Retrieval}, journal = {CoRR}, volume = {abs/1907.11854}, year = {2019} }
@article{DBLP:journals/corr/abs-1907-12250, author = {Minyoung Chung and Jingyu Lee and Wisoo Song and Youngchan Song and Il{-}Hyung Yang and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Automatic Registration between Cone-Beam {CT} and Scanned Surface via Deep-Pose Regression Neural Networks and Clustered Similarities}, journal = {CoRR}, volume = {abs/1907.12250}, year = {2019} }
@article{DBLP:journals/corr/abs-1910-00432, author = {Minki Song and Seunghwan Lee and Eunsang Lee and Dong{-}Joon Shin and Young{-}Sik Kim and Jong{-}Seon No}, title = {Analysis of error dependencies on NewHope}, journal = {CoRR}, volume = {abs/1910.00432}, year = {2019} }
@article{DBLP:journals/access/ChaSHC18, author = {Seung Hyun Cha and Minsu Shin and Jae{-}Hyun Ham and Min Young Chung}, title = {Robust Mobility Management Scheme in Tactical Communication Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {15468--15479}, year = {2018} }
@article{DBLP:journals/access/KwunFNUK18, author = {Young Chel Kwun and Ghulam Farid and Waqas Nazeer and Sami Ullah and Shin Min Kang}, title = {Generalized Riemann-Liouville k-Fractional Integrals Associated With Ostrowski Type Inequalities and Error Bounds of Hadamard Inequalities}, journal = {{IEEE} Access}, volume = {6}, pages = {64946--64953}, year = {2018} }
@article{DBLP:journals/access/SimHSKSK18, author = {Isaac Sim and Yu Min Hwang and Young Ghyu Sun and Dong In Kim and Yoan Shin and Jin Young Kim}, title = {Mitigation of Phase Cancellation for Efficient Decoding and {RF} Energy Harvesting in Tag-to-Tag Communications}, journal = {{IEEE} Access}, volume = {6}, pages = {73724--73732}, year = {2018} }
@article{DBLP:journals/bmcmi/HaCSCCKO18, author = {Su Min Ha and Joo Hee Cha and Hee Jung Shin and Eun Young Chae and Woo Jung Choi and Hak Hee Kim and Ha{-}Yeon Oh}, title = {Radial scars/complex sclerosing lesions of the breast: radiologic and clinicopathologic correlation}, journal = {{BMC} Medical Imaging}, volume = {18}, number = {1}, pages = {39:1--39:8}, year = {2018} }
@article{DBLP:journals/cluster/YoonYSK18, author = {Su{-}Kyung Yoon and Young{-}Sun Youn and Min{-}Ho Son and Shin{-}Dug Kim}, title = {Harmonized memory system for object-based cloud storage}, journal = {Clust. Comput.}, volume = {21}, number = {1}, pages = {15--28}, year = {2018} }
@article{DBLP:journals/cmpb/HwangLKSK18, author = {Yoo Na Hwang and Ju Hwan Lee and Ga Young Kim and Eun{-}Seok Shin and Sung Min Kim}, title = {Characterization of coronary plaque regions in intravascular ultrasound images using a hybrid ensemble classifier}, journal = {Comput. Methods Programs Biomed.}, volume = {153}, pages = {83--92}, year = {2018} }
@article{DBLP:journals/data/KimJHLLSSO18, author = {Dowan Kim and Myunghee Jung and In Jin Ha and Min Young Lee and Seok{-}Geun Lee and Younhee Shin and Sathiyamoorthy Subramaniyam and Jaehyeon Oh}, title = {Transcriptional Profiles of Secondary Metabolite Biosynthesis Genes and Cytochromes in the Leaves of Four \emph{Papaver} Species}, journal = {Data}, volume = {3}, number = {4}, pages = {55}, year = {2018} }
@article{DBLP:journals/icl/HongHLSKK18, author = {Seung Gwan Hong and Yu Min Hwang and Sun Yui Lee and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Game-Theoretic Modeling of Backscatter Wireless Sensor Networks Under Smart Interference}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {4}, pages = {804--807}, year = {2018} }
@article{DBLP:journals/ieiceee/ShinM18, author = {Hee{-}am Shin and Young{-}Jae Min}, title = {A unified DLL-controlled active rectifier in 6.78 MHz resonant-coupling wireless power receivers for space-limited portable and wearable applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180399}, year = {2018} }
@article{DBLP:journals/ieicet/HwangJSKK18, author = {Yu Min Hwang and Jun Hee Jung and Yoan Shin and Jin Young Kim and Dong In Kim}, title = {Optimal Transmission Policy in Decoupled {RF} Energy Harvesting Networks}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {2}, pages = {516--520}, year = {2018} }
@article{DBLP:journals/itor/ParkKS18, author = {Jun Hyeong Park and Jong Soo Kim and Ki Young Shin}, title = {Inventory control model for a supply chain system with multiple types of items and minimum order size requirements}, journal = {Int. Trans. Oper. Res.}, volume = {25}, number = {6}, pages = {1927--1946}, year = {2018} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018} }
@article{DBLP:journals/mr/YimSK18, author = {Byung{-}seung Yim and Young{-}Eui Shin and Jong{-}Min Kim}, title = {Influence of multi-walled carbon nanotube {(MWCNT)} concentration on the thermo-mechanical reliability properties of solderable anisotropic conductive adhesives (SACAs)}, journal = {Microelectron. Reliab.}, volume = {91}, pages = {201--212}, year = {2018} }
@article{DBLP:journals/sensors/BaeLSJSKLLLOP18, author = {Nam Ho Bae and Sun Young Lim and Younseong Song and Soon Woo Jeong and Seol Yi Shin and Yong Tae Kim and Tae{-}Jae Lee and Kyoung G. Lee and Seok Jae Lee and Yong{-}Jun Oh and Yoo Min Park}, title = {A Disposable and Multi-Chamber Film-Based {PCR} Chip for Detection of Foodborne Pathogen}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3158}, year = {2018} }
@article{DBLP:journals/spl/LeePHSK18, author = {Yeongmin Lee and Min{-}Gyu Park and Youngbae Hwang and Youngsoo Shin and Chong{-}Min Kyung}, title = {Memory-Efficient Parametric Semiglobal Matching}, journal = {{IEEE} Signal Process. Lett.}, volume = {25}, number = {2}, pages = {194--198}, year = {2018} }
@article{DBLP:journals/symmetry/KwunNMIAK18, author = {Young Chel Kwun and Abdul Rauf Nizami and Mobeen Munir and Zaffar Iqbal and Dishya Arshad and Shin Min Kang}, title = {Khovanov Homology of Three-Strand Braid Links}, journal = {Symmetry}, volume = {10}, number = {12}, pages = {720}, year = {2018} }
@article{DBLP:journals/symmetry/KwunVNRK18, author = {Young Chel Kwun and Abaid ur Rehman Virk and Waqas Nazeer and M. A. Rehman and Shin Min Kang}, title = {On the Multiplicative Degree-Based Topological Indices of Silicon-Carbon \emph{Si}\({}_{\mbox{2}}\)\emph{C}\({}_{\mbox{3}}\)-\emph{I}[\emph{p}, \emph{q}] and \emph{Si}\({}_{\mbox{2}}\)\emph{C}\({}_{\mbox{3}}\)-\emph{II}[\emph{p}, \emph{q}]}, journal = {Symmetry}, volume = {10}, number = {8}, pages = {320}, year = {2018} }
@article{DBLP:journals/tc/ShinPCKCC18, author = {Ho Hyun Shin and Young Min Park and Duheon Choi and Byoung Jin Kim and Dae{-}Hyung Cho and Eui{-}Young Chung}, title = {{EXTREME:} Exploiting Page Table for Reducing Refresh Power of 3D-Stacked {DRAM} Memory}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {1}, pages = {32--44}, year = {2018} }
@article{DBLP:journals/todaes/HanS18, author = {Inhak Han and Youngsoo Shin}, title = {Folded Circuit Synthesis: Min-Area Logic Synthesis Using Dual-Edge-Triggered Flip-Flops}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {5}, pages = {61:1--61:21}, year = {2018} }
@article{DBLP:journals/tvt/KaderSL18, author = {Md. Fazlul Kader and Soo Young Shin and Victor C. M. Leung}, title = {Full-Duplex Non-Orthogonal Multiple Access in Cooperative Relay Sharing for 5G Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {7}, pages = {5831--5840}, year = {2018} }
@article{DBLP:journals/wcl/KimSL18, author = {Jin Woo Kim and Soo Young Shin and Victor C. M. Leung}, title = {Performance Enhancement of Downlink {NOMA} by Combination With {GSSK}}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {7}, number = {5}, pages = {860--863}, year = {2018} }
@inproceedings{DBLP:conf/chi/ChenOCC18, author = {Runyuan (Jason) Chen and Mania Orand and Shin Young (Lucia) Choi and Leena Choi}, title = {An Empirical Exploration of Mindfulness Design Using Solo Travel Domain}, booktitle = {{CHI}}, pages = {97}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/ichi/SalisburyLMSKDK18, author = {Joseph Peter Salisbury and Runpeng Liu and Lindsey Marie Minahan and Hye Young Shin and Sai Veda Pramoda Karnati and Shannon E. Duffy and Neha U. Keshav and Ned T. Sahin}, title = {Patient Engagement Platform for Remote Monitoring of Vestibular Rehabilitation with Applications in Concussion Management and Elderly Fall Prevention}, booktitle = {{ICHI}}, pages = {422--423}, publisher = {{IEEE} Computer Society}, year = {2018} }
@inproceedings{DBLP:conf/icse/BaekSSPB18, author = {Young Min Baek and Jiyoung Song and Yong{-}Jun Shin and Sumin Park and Doo{-}Hwan Bae}, title = {A Meta-Model for Representing System-of-Systems Ontologies}, booktitle = {SESoS@ICSE}, pages = {1--7}, publisher = {{ACM}}, year = {2018} }
@inproceedings{DBLP:conf/irps/SagongKCYSHJCPS18, author = {Hyun{-}Chul Sagong and Hyunjin Kim and Seungjin Choo and Sungyoung Yoon and Hyewon Shim and Sangsu Ha and Tae{-}Young Jeong and Minhyeok Choe and Junekyun Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of Far-BEOL anneal on the {WLR} and product reliability characterization of FinFET process technology}, booktitle = {{IRPS}}, pages = {6}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/iscas/KimKKKKKLLPSS18, author = {Min{-}Su Kim and Ah{-}Reum Kim and Yong{-}geol Kim and Chunghee Kim and Dong{-}Yeop Kim and Jong{-}Woo Kim and Daeseong Lee and Hyun Lee and Jungyul Pyo and Youngmin Shin and Jae Cheol Son}, title = {Contention-Free High-Speed Clock-Gate based on Set/Reset Latch for Wide Voltage Scaling}, booktitle = {{ISCAS}}, pages = {1--5}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {{ISSCC}}, pages = {204--206}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/KoKWSHHKCLPLC18, author = {Min{-}Woo Ko and Kiduk Kim and Young{-}Jin Woo and Se{-}Un Shin and Hyun{-}Ki Han and Yeunhee Huh and Gyeong{-}Gu Kang and Jeong{-}Hyun Cho and Sang{-}Jin Lim and Se{-}Hong Park and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A 97{\%} high-efficiency 6{\(\mu\)}s fast-recovery-time buck-based step-up/down converter with embedded 1/2 and 3/2 charge-pumps for li-lon battery management}, booktitle = {{ISSCC}}, pages = {428--430}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {{ISSCC}}, pages = {340--342}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/ShinCKYJSPJJHCK18, author = {Se{-}un Shin and Minseong Choi and Seok{-}Tae Koh and Yu{-}Jin Yang and Seungchul Jung and Young{-}Hoon Sohn and Se{-}Hong Park and Yong{-}Min Ju and Youngsin Jo and Yeunhee Huh and Sung{-}Won Choi and Sang Joon Kim and Gyu{-}Hyeong Cho}, title = {A 13.56MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems}, booktitle = {{ISSCC}}, pages = {154--156}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/isscc/ShinHJCSWCPSKJH18, author = {Se{-}Un Shin and Yeunhee Huh and Yong{-}Min Ju and Sung{-}Won Choi and Changsik Shin and Young{-}Jin Woo and Minseong Choi and Se{-}Hong Park and Young{-}Hoon Sohn and Min{-}Woo Ko and Youngsin Jo and Hyun{-}Ki Han and Hyung{-}Min Lee and Sung{-}Wan Hong and Wanyuan Qu and Gyu{-}Hyeong Cho}, title = {A 95.2{\%} efficiency dual-path {DC-DC} step-up converter with continuous output current delivery and low voltage ripple}, booktitle = {{ISSCC}}, pages = {430--432}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/ofc/0003SKYMLZC18, author = {Minkyu Kim and Myungjin Shin and Min{-}Hyeong Kim and Byung{-}Min Yu and Christian Mai and Stefan Lischke and Lars Zimmermann and Woo{-}Young Choi}, title = {A Large-signal Equivalent Circuit for Depletion-type Silicon Ring Modulators}, booktitle = {{OFC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/tencon/BaeSC18, author = {Byung Kwon Bae and Minsu Shin and Min Young Chung}, title = {An Efficient Scheme for Supporting Network Mobility in Partially Distributed Mobility Management}, booktitle = {{TENCON}}, pages = {1039--1044}, publisher = {{IEEE}}, year = {2018} }
@inproceedings{DBLP:conf/vlsic/HuhSHWJCC18, author = {Yeunhee Huh and Se{-}Un Shin and Sung{-}Wan Hong and Young{-}Jin Woo and Yong{-}Min Ju and Sung{-}Won Choi and Gyu{-}Hyeong Cho}, title = {A Hybrid Dual-Path Step-Down Converter with 96.2{\%} Peak Efficiency Using a {\textdollar}250{\textbackslash}text\{m\}{\textdollar} {\(\mu\)} Large-DCR Inductor}, booktitle = {{VLSI} Circuits}, pages = {225--226}, publisher = {{IEEE}}, year = {2018} }
@article{DBLP:journals/cars/ParkKKKSJMKPK17, author = {Jaeyeong Park and Jun{-}Young Kim and Hyun Deok Kim and Young Cheol Kim and Anna Seo and Minkyu Je and Jong Uk Mun and Bia Kim and Il Hyung Park and Shin{-}Yoon Kim}, title = {Analysis of acetabular orientation and femoral anteversion using images of three-dimensional reconstructed bone models}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {12}, number = {5}, pages = {855--864}, year = {2017} }
@article{DBLP:journals/fi/RauniyarISKLJS17, author = {Ashish Rauniyar and Muhammad Irfan and Oka Danil Saputra and Jin Woo Kim and Ah Ra Lee and Jae Min Jang and Soo Young Shin}, title = {Design and Development of a Real-Time Monitoring System for Multiple Lead-Acid Batteries Based on Internet of Things}, journal = {Future Internet}, volume = {9}, number = {3}, pages = {28}, year = {2017} }
@article{DBLP:journals/ieicet/HwangJKKLSK17, author = {Yu Min Hwang and Jun Hee Jung and Kwang{-}Yul Kim and Yong Sin Kim and Jae Seang Lee and Yoan Shin and Jin Young Kim}, title = {Energy-Efficient Resource Allocation Strategy for Low Probability of Intercept and Anti-Jamming Systems}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {11}, pages = {2498--2502}, year = {2017} }
@article{DBLP:journals/ieicet/HwangSKKLSK17, author = {Yu Min Hwang and Yuchan Song and Kwang{-}Yul Kim and Yong Sin Kim and Jae Seang Lee and Yoan Shin and Jin Young Kim}, title = {{TOA} Based Recalibration Systems for Improving {LOS/NLOS} Identification}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {5}, pages = {1267--1270}, year = {2017} }
@article{DBLP:journals/ieicet/KimLHLKKS17, author = {Kwang{-}Yul Kim and Seung{-}Woo Lee and Yu{-}Min Hwang and Jae{-}Seang Lee and Yong Sin Kim and Jin{-}Young Kim and Yoan Shin}, title = {Correlation-Based Optimal Chirp Rate Allocation for Chirp Spread Spectrum Using Multiple Linear Chirps}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {4}, pages = {1088--1091}, year = {2017} }
@article{DBLP:journals/itiis/MinHKNLJOS17, author = {Se Dong Min and Jiyoung Heo and Youngsun Kong and Yunyoung Nam and Preap Ley and Bong{-}Keun Jung and Dongik Oh and Wonhan Shin}, title = {Thermal Infrared Image Analysis for Breast Cancer Detection}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {2}, pages = {1134--1147}, year = {2017} }
@article{DBLP:journals/jssc/SohnYOOSPSJSRYJ17, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Hyunui Lee and Seok{-}Yong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Yong{-}Cheol Bae and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 1.2 {V} 20 nm 307 GB/s {HBM} {DRAM} With At-Speed Wafer-Level {IO} Test Scheme and Adaptive Refresh Considering Temperature Distribution}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {250--260}, year = {2017} }
@article{DBLP:journals/symmetry/AjmalNMKK17, author = {Muhammad Ajmal and Waqas Nazeer and Mobeen Munir and Shin Min Kang and Young Chel Kwun}, title = {Some Algebraic Polynomials and Topological Indices of Generalized Prism and Toroidal Polyhex Networks}, journal = {Symmetry}, volume = {9}, number = {1}, pages = {5}, year = {2017} }
@inproceedings{DBLP:conf/icoin/LeeLSC17, author = {Hwi{-}Young Lee and Won{-}Jin Lee and Minsu Shin and Min Young Chung}, title = {Channel allocation and transmission power management scheme in software defined network-based {WLAN} environments}, booktitle = {{ICOIN}}, pages = {138--142}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/icoin/ShinSCHSC17, author = {Minsu Shin and Syed Tariq Shah and Min Young Chung and Syed Faraz Hasan and Boon{-}Chong Seet and Peter Han Joo Chong}, title = {Moving small cells in public safety networks}, booktitle = {{ICOIN}}, pages = {564--568}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {{ISSCC}}, pages = {394--395}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {{ISSCC}}, pages = {390--391}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/medinfo/LeeJSBPL17, author = {Yura Lee and Min{-}Young Jung and Gee Won Shin and Sangwoo Bahn and Taezoon Park and Jae{-}Ho Lee}, title = {Development of Safety and Usability Guideline for Hospital Information System}, booktitle = {MedInfo}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1368}, publisher = {{IOS} Press}, year = {2017} }
@inproceedings{DBLP:conf/memea/OhKPSKJBL17, author = {Yoonbae Oh and Yu Min Kang and Cheonho Park and Hojin Shin and In{-}Young Kim and Dong Pyo Jang and Kevin E. Bennet and Kendall H. Lee}, title = {Tailoring fast-scan cyclic voltammetry for tonic dopamine concentration measurement}, booktitle = {MeMeA}, pages = {106--110}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/sec/ShinYYK17, author = {MinSik Shin and JungBeen Yu and Youngjin Yoon and Taekyoung Kwon}, title = {The Fuzzing Awakens: File Format-Aware Mutational Fuzzing on Smartphone Media Server Daemons}, booktitle = {{SEC}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {502}, pages = {219--232}, publisher = {Springer}, year = {2017} }
@inproceedings{DBLP:conf/smc/LeeYYK17, author = {Sung Min Lee and Young{-}Sun Youn and Su{-}Kyung Yoon and Shin{-}Dug Kim}, title = {Intelligent clustering guided adaptive prefetching and buffer management for stream processing}, booktitle = {{SMC}}, pages = {2498--2503}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/urai/ShinLKJ17, author = {Jaesub Shin and Minho Lee and Sun{-}Young Kang and HyeonSeok Jang}, title = {Test and evaluation for the minimum gap go through in {IEC} 62885-7 to improve reproducibility}, booktitle = {{URAI}}, pages = {632--635}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/vtc/KangGSH17, author = {Young Yun Kang and Hyun Ju Go and Min{-}Ho Shin and Woonhaing Hur}, title = {Support Vector Machine-Based Wireless Channel Classification for Adaptive {AFC} in {LTE} Downlink}, booktitle = {{VTC} Spring}, pages = {1--5}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/wsc/JeongSNKSLCW17, author = {Yong{-}Kuk Jeong and Hui{-}Qiang Shen and SeungHoon Nam and Youngmin Kim and Jong{-}Gye Shin and Phillippe Lee and Jae{-}Ho Choi and Jong Hun Woo}, title = {Validation and verification of shipyard logistics simulation system and its use case identification}, booktitle = {{WSC}}, pages = {4488--4489}, publisher = {{IEEE}}, year = {2017} }
@inproceedings{DBLP:conf/wsc/ShenJNKSLO17, author = {Hui{-}Qiang Shen and Yong{-}Kuk Jeong and Seung{-}Hoon Nam and Youngmin Kim and Jong{-}Gye Shin and Dong Kun Lee and Daekyun Oh}, title = {A hierarchical simulation model for workload analysis of ship block erection process}, booktitle = {{WSC}}, pages = {4556--4557}, publisher = {{IEEE}}, year = {2017} }
@article{DBLP:journals/corr/abs-1712-09721, author = {Seung Gwan Hong and Yu Min Hwang and Sun Yui Lee and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Analysis of the Game-Theoretic Modeling of Backscatter Wireless Sensor Networks under Smart Interference}, journal = {CoRR}, volume = {abs/1712.09721}, year = {2017} }
@article{DBLP:journals/cm/BangRPLNSLJKSSC16, author = {Yonghwan Bang and June{-}Koo Kevin Rhee and KyungSoo Park and Kyongchun Lim and Giyoung Nam and John D. Shinn and Jongmin Lee and Sungmin Jo and Ja{-}Ryeong Koo and Jonggyu Sung and Young{-}Il Seo and Taesang Choi and Hong{-}Ik Kim and Junyoung Park and Chang Hee Yun}, title = {{CDN} interconnection service trial: implementation and analysis}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {6}, pages = {94--100}, year = {2016} }
@article{DBLP:journals/jssc/WuCCWSPHCHTPYUW16, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Kun Tan and Aravind Padyana and Vincent Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Bryan Juo{-}Jung Hung and Massimo Brandolini and Maco Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young J. Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hanson Hung{-}Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Ray Gomez}, title = {A 2.7 mW/Channel 48-1000 MHz Direct Sampling Full-Band Cable Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {845--859}, year = {2016} }
@article{DBLP:journals/mis/KwonSSPC16, author = {Young Min Kwon and Syed Tariq Shah and JaeSheung Shin and Aesoon Park and Min Young Chung}, title = {Performance Evaluation of Moving Small-Cell Network with Proactive Cache}, journal = {Mob. Inf. Syst.}, volume = {2016}, pages = {6013158:1--6013158:11}, year = {2016} }
@article{DBLP:journals/symmetry/NazeerKNMKSK16, author = {Waqas Nazeer and Shin Min Kang and Saima Nazeer and Mobeen Munir and Imrana Kousar and Ammara Sehar and Young Chel Kwun}, title = {On Center, Periphery and Average Eccentricity for the Convex Polytopes}, journal = {Symmetry}, volume = {8}, number = {12}, pages = {145}, year = {2016} }
@article{DBLP:journals/tmscs/YoonYNSK16, author = {Su{-}Kyung Yoon and Young{-}Sun Youn and Sangjae Nam and Min{-}Ho Son and Shin{-}Dug Kim}, title = {Optimized Memory-Disk Integrated System with {DRAM} and Nonvolatile Memory}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {2}, number = {2}, pages = {83--93}, year = {2016} }
@inproceedings{DBLP:conf/bmsb/ParkLKKLCLLS16, author = {Sung Ik Park and Bo{-}Mi Lim and Youngmin Kim and Heung Mook Kim and Sung Ho Lee and Woo{-}Sik Choi and Dongkwan Lee and Sang Kyu Lee and Yong Woo Shin}, title = {{ATSC} 3.0 LDM-based mobile performance under {HPHT} metropolitan environment}, booktitle = {{BMSB}}, pages = {1--3}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icse/SeoSBSYKJB16, author = {Dongwon Seo and Donghwan Shin and Young Min Baek and Jiyoung Song and Wonkyung Yun and Junho Kim and Eunkyoung Jee and Doo{-}Hwan Bae}, title = {Modeling and verification for different types of system of systems using {PRISM}}, booktitle = {SESoS@ICSE}, pages = {12--18}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/icufn/LeeSLC16, author = {Won{-}Jin Lee and Jung Wan Shin and Hwi{-}Young Lee and Min Young Chung}, title = {Testbed implementation for routing {WLAN} traffic in software defined wireless mesh network}, booktitle = {{ICUFN}}, pages = {1052--1055}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icufn/ShinKCK16, author = {Minsu Shin and Jun Suk Kim and Min Young Chung and Young Min Kwon}, title = {Sidehaul link management scheme for mobile contents caching environments in moving small-cell networks}, booktitle = {{ICUFN}}, pages = {1035--1039}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/icufn/ShinLLC16, author = {Jung Wan Shin and Hwi{-}Young Lee and Won{-}Jin Lee and Min Young Chung}, title = {Access control with {ONOS} controller in the {SDN} based {WLAN} testbed}, booktitle = {{ICUFN}}, pages = {656--660}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/iscas/YoonSS16, author = {Kiwon Yoon and Seongbo Shim and Youngsoo Shin}, title = {Crosslink insertion for minimizing {OCV} clock skew}, booktitle = {{ISCAS}}, pages = {2587--2590}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/isscc/JooBSKHA0KKKCSK16, author = {Hye{-}Yoon Joo and Seung{-}Jun Bae and Young{-}Soo Sohn and Young{-}Sik Kim and Kyung{-}Soo Ha and Min{-}Su Ahn and Young{-}Ju Kim and Yong{-}Jun Kim and Ju{-}Hwan Kim and Won{-}Jun Choi and Chang{-}Ho Shin and Soo Hwan Kim and Byeong{-}Cheol Kim and Seung{-}Bum Ko and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.1 {A} 20nm 9Gb/s/pin 8Gb {GDDR5} {DRAM} with an {NBTI} monitor, jitter reduction techniques and improved power distribution}, booktitle = {{ISSCC}}, pages = {314--315}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {{ISSCC}}, pages = {316--317}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/socc/KimKKKKKLCSPSS16, author = {Min{-}Su Kim and Chunghee Kim and Yong{-}geol Kim and Ah{-}Reum Kim and Jikyum Kim and Juhyun Kang and Daeseong Lee and Changjun Choi and Ilsuk Suh and Jungyul Pyo and Youngmin Shin and Jae Cheol Son}, title = {Single-ended {D} flip-flop with implicit scan mux for high performance mobile {AP}}, booktitle = {SoCC}, pages = {91--95}, publisher = {{IEEE}}, year = {2016} }
@inproceedings{DBLP:conf/sui/ShinKHHK16, author = {Choonsung Shin and Youngmin Kim and Jisoo Hong and Sunghee Hong and Hoonjong Kang}, title = {Social Spatial Mashup for Place and Object - based Information Sharing}, booktitle = {{SUI}}, pages = {185}, publisher = {{ACM}}, year = {2016} }
@inproceedings{DBLP:conf/trecvid/LeeKSMSCHHK16, author = {Seong Jae Lee and Daehun Kim and Suwon Shon and Seongkyu Mun and Minkyu Shin and Youngseng Chen and Sejong Hyung and Mohammed Harris and Hanseok Ko}, title = {{KU-ISPL} {TRECVID} 2016 Multimedia Event Detection System}, booktitle = {{TRECVID}}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2016} }
@inproceedings{DBLP:conf/urai/KimYKCLY16, author = {Mincheol Kim and Dae{-}Keun Yoon and Shin{-}Young Kim and JaiHi Cho and Kwang{-}Kyu Lee and Bum{-}Jae You}, title = {Foot-pedal: Haptic feedback human interface bridging sensational gap between remote places}, booktitle = {{URAI}}, pages = {114--118}, publisher = {{IEEE}}, year = {2016} }
@article{DBLP:journals/bspc/ShinLACJL15, author = {Younghak Shin and Seungchan Lee and Minkyu Ahn and Hohyun Cho and Sung Chan Jun and Heung{-}No Lee}, title = {Noise robustness analysis of sparse representation based classification method for non-stationary {EEG} signal classification}, journal = {Biomed. Signal Process. Control.}, volume = {21}, pages = {8--18}, year = {2015} }
@article{DBLP:journals/cbm/ShinLACJL15, author = {Younghak Shin and Seungchan Lee and Minkyu Ahn and Hohyun Cho and Sung Chan Jun and Heung{-}No Lee}, title = {Simple adaptive sparse representation based classification schemes for {EEG} based brain-computer interface applications}, journal = {Comput. Biol. Medicine}, volume = {66}, pages = {29--38}, year = {2015} }
@article{DBLP:journals/iet-com/ShinCPYC15, author = {Seung{-}Min Shin and Joo{-}Hyung Choi and Chang{-}Hwan Park and Won{-}Young Yang and Yong Soo Cho}, title = {Signal detection technique for asynchronous filtered multi-tone modulation-based mesh systems}, journal = {{IET} Commun.}, volume = {9}, number = {5}, pages = {658--664}, year = {2015} }
@article{DBLP:journals/jam/KangDMK15, author = {Shin Min Kang and Samir Dashputre and Bhuwan Lal Malagar and Young Chel Kwun}, title = {Fixed Point Approximation for Asymptotically Nonexpansive Type Mappings in Uniformly Convex Hyperbolic Spaces}, journal = {J. Appl. Math.}, volume = {2015}, pages = {510798:1--510798:7}, year = {2015} }
@article{DBLP:journals/jpdc/EomHLMSN15, author = {Youngmoon Eom and Deukyeon Hwang and Junyong Lee and Jonghwan Moon and Minho Shin and Beomseok Nam}, title = {{EM-KDE:} {A} locality-aware job scheduling policy with distributed semantic caches}, journal = {J. Parallel Distributed Comput.}, volume = {83}, pages = {119--132}, year = {2015} }
@article{DBLP:journals/jssc/BrandoliniSRWWG15, author = {Massimo Brandolini and Young Shin and Karthik Raviprakash and Tao Wang and Rong Wu and Hemasundar Mohan Geddada and Yen{-}Jen Ko and Yen Ding and Chun{-}Sheng Huang and Wei{-}Ta Shih and Ming{-}Hung Hsieh and Wei{-}Te Chou and Tianwei Li and Ayaskant Shrivastava and Yi{-}Chun Chen and Bryan Juo{-}Jung Hung and Giuseppe Cusmai and Jiangfeng Wu and Mo M. Zhang and Yuan Yao and Greg Unruh and Ardie G. Venes and Hung Sen Huang and Chun{-}Ying Chen}, title = {A 5 GS/s 150 mW 10 b SHA-Less Pipelined/SAR Hybrid {ADC} for Direct-Sampling Systems in 28 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {12}, pages = {2922--2934}, year = {2015} }
@article{DBLP:journals/neuroimage/HamCLBSHSSLL15, author = {Jee Hyun Ham and Jungho Cha and Jae Jung Lee and Gwang{-}Min Baek and Mun Kyung Sunwoo and Jin Yong Hong and Na{-}Young Shin and Young Ho Sohn and Jong{-}Min Lee and Phil Hyu Lee}, title = {Nigrostriatal dopamine-independent resting-state functional networks in Parkinson's disease}, journal = {NeuroImage}, volume = {119}, pages = {296--304}, year = {2015} }
@article{DBLP:journals/scn/ShinMGR15, author = {Youngsang Shin and Steven A. Myers and Minaxi Gupta and Predrag Radivojac}, title = {A link graph-based approach to identify forum spam}, journal = {Secur. Commun. Networks}, volume = {8}, number = {2}, pages = {176--188}, year = {2015} }
@article{DBLP:journals/sensors/JeonYSKKKSJYL15, author = {Hyesu Jeon and Wook Jae Yoo and Sang Hun Shin and Guwon Kwon and Mingeon Kim and Hyejin Kim and Young Beom Song and Kyoung Won Jang and Won Sik Youn and Bongsoo Lee}, title = {Performance Evaluation of a Multichannel All-In-One Phantom Dosimeter for Dose Measurement of Diagnostic X-ray Beam}, journal = {Sensors}, volume = {15}, number = {11}, pages = {28490--28501}, year = {2015} }
@article{DBLP:journals/tbc/KuiHCJPOS15, author = {Zifeng Kui and Jae{-}Shin Han and Jeong Min Choi and Sungho Jeon and Joosung Park and Youngho Oh and Jong{-}Soo Seo}, title = {Advanced Preamble Transmit Diversity of Polarized {DVB-T2} {MISO} System Using Hybrid Differential Modulation}, journal = {{IEEE} Trans. Broadcast.}, volume = {61}, number = {4}, pages = {723--728}, year = {2015} }
@article{DBLP:journals/www/WhangLLH0K15, author = {Kyu{-}Young Whang and Jae{-}Gil Lee and Min{-}Jae Lee and Wook{-}Shin Han and Min{-}Soo Kim and Jun{-}Sung Kim}, title = {{DB-IR} integration using tight-coupling in the Odysseus {DBMS}}, journal = {World Wide Web}, volume = {18}, number = {3}, pages = {491--520}, year = {2015} }
@inproceedings{DBLP:conf/bmsb/KuiHCJOS15, author = {Zifeng Kui and Jae{-}Shin Han and Jeong Min Choi and Sungho Jeon and Youngho Oh and Jong{-}Soo Seo}, title = {Improved preamble scheme utilizing hybrid differential modulation in polarized {DVB-T2} {MISO} system}, booktitle = {{BMSB}}, pages = {1--6}, publisher = {{IEEE}}, year = {2015} }
@inproceedings{DBLP:conf/csa2/WooKSJCW15, author = {Jincheol Woo and Young{-}Ju Kim and Seung Seob Shin and Young Ho Jo and Hojung Choi and Mincheol Whang}, title = {Cardiovascular Synchrony for Determining Significant Group in Social Life Logging}, booktitle = {{CSA/CUTE}}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {193--199}, publisher = {Springer}, year = {2015} }
@inproceedings{DBLP:conf/hci/HongSKSUS15, author = {Seungkyun Hong and Sungho Shin and Young{-}Min Kim and Choong{-}Nyoung Seon and Jung{-}Ho Um and Sa{-}Kwang Song}, title = {Design of Marketing Scenario Planning Based on Business Big Data Analysis}, booktitle = {{HCI} {(23)}}, series = {Lecture Notes in Computer Science}, volume = {9191}, pages = {585--592}, publisher = {Springer}, year = {2015} }
@inproceedings{DBLP:conf/icton/SongSPL15, author = {Young Min Song and Myung Gyu Shin and Hyun Gi Park and Gil Ju Lee}, title = {Flexible forms of moth eye structures and their applications}, booktitle = {{ICTON}}, pages = {1}, publisher = {{IEEE}}, year = {2015} }
@inproceedings{DBLP:conf/icuimc/KwonSCSP14, author = {Young Min Kwon and Syed Tariq Shah and Min Young Chung and JaeSheung Shin and Aesoon Park}, title = {Performance analysis of small-cell base station with cellular and WiFi RATs}, booktitle = {{IMCOM}}, pages = {45:1--45:5}, publisher = {{ACM}}, year = {2015} }
@inproceedings{DBLP:conf/icuimc/ShinKSBC14, author = {Jung Wan Shin and Jun Suk Kim and Syed Tariq Shah and JungSook Bae and Min Young Chung}, title = {Performance analysis with dynamic beam control using millimeter-wave band on 5G mobile communications}, booktitle = {{IMCOM}}, pages = {19:1--19:6}, publisher = {{ACM}}, year = {2015} }
@inproceedings{DBLP:conf/isscc/BrandoliniSRWWG15, author = {Massimo Brandolini and Young Shin and Karthik Raviprakash and Tao Wang and Rong Wu and Hemasundar Mohan Geddada and Yen{-}Jen Ko and Yen Ding and Chun{-}Sheng Huang and Wei{-}Ta Shih and Ming{-}Hung Hsieh and Wei{-}Te Chou and Tianwei Li and Ayaskant Shrivastava and Yi{-}Chun Chen and Juo{-}Jung Hung and Giuseppe Cusmai and Jiangfeng Wu and Mo M. Zhang and Greg Unruh and Ardie G. Venes and Hung Sen Huang and Chun{-}Ying Chen}, title = {26.6 {A} 5GS/S 150mW 10b SHA-less pipelined/SAR hybrid {ADC} in 28nm {CMOS}}, booktitle = {{ISSCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2015} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {{ISSCC}}, pages = {1--3}, publisher = {{IEEE}}, year = {2015} }
@inproceedings{DBLP:conf/siggraph/KiPNKSS15, author = {Sunho Ki and Jinhong Park and Jae{-}Ho Nah and Minkyu Kim and Youngduke Seo and Chulho Shin}, title = {Reusing MRTs for mobile GPUs}, booktitle = {{SIGGRAPH} Asia Posters}, pages = {44:1}, publisher = {{ACM}}, year = {2015} }
@inproceedings{DBLP:conf/siggraph/KimPKSS15, author = {Minkyu Kim and Jinhong Park and Sunho Ki and Youngduke Seo and Chulho Shin}, title = {Dynamic rendering quality scaling for mobile {GPU}}, booktitle = {{SIGGRAPH} Asia Posters}, pages = {42:1}, publisher = {{ACM}}, year = {2015} }
@inproceedings{DBLP:conf/siggraph/ParkKKSS15, author = {Jinhong Park and Minkyu Kim and Sunho Ki and Youngduke Seo and Chulho Shin}, title = {Half frame forwarding: frame-rate up conversion for tiled rendering {GPU}}, booktitle = {{SIGGRAPH} Posters}, pages = {36:1}, publisher = {{ACM}}, year = {2015} }
@inproceedings{DBLP:conf/vlsic/WuCCWSPHCHTPYUW15, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Tan and Aravind Padyana and Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Juo{-}Jung Hung and Massimo Brandolini and Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hung Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Gomez}, title = {A 2.7mW/Channel 48-to-1000MHz Direct Sampling Full-Band Cable Receiver}, booktitle = {{VLSIC}}, pages = {214}, publisher = {{IEEE}}, year = {2015} }
@article{DBLP:journals/computing/KimEYM14, author = {Shin Gyu Kim and Hyeonsang Eom and Heon Y. Yeom and Sang Lyul Min}, title = {Energy-centric {DVFS} controlling method for multi-core platforms}, journal = {Computing}, volume = {96}, number = {12}, pages = {1163--1177}, year = {2014} }
@article{DBLP:journals/jam/PanKK14, author = {Xiujuan Pan and Shin Min Kang and Young Chel Kwun}, title = {Iterative Computation for Solving the Variational Inequality and the Generalized Equilibrium Problem}, journal = {J. Appl. Math.}, volume = {2014}, pages = {478172:1--478172:9}, year = {2014} }
@article{DBLP:journals/jam/YuKK14, author = {Youli Yu and Shin Min Kang and Young Chel Kwun}, title = {Relaxed Extragradient Algorithms for the Split Feasibility Problem}, journal = {J. Appl. Math.}, volume = {2014}, pages = {468079:1--468079:10}, year = {2014} }
@article{DBLP:journals/jam/ZhuKYK14, author = {Lijun Zhu and Shin Min Kang and Zhangsong Yao and Young Chel Kwun}, title = {Hybrid Iterations for the Fixed Point Problem and Variational Inequalities}, journal = {J. Appl. Math.}, volume = {2014}, pages = {728363:1--728363:7}, year = {2014} }
@article{DBLP:journals/mr/YimLLSK14, author = {Byung{-}seung Yim and Jeong{-}Il Lee and Byung{-}Hun Lee and Young{-}Eui Shin and Jong{-}Min Kim}, title = {An investigation of the reliability of solderable {ICA} with low-melting-point alloy {(LMPA)} filler}, journal = {Microelectron. Reliab.}, volume = {54}, number = {12}, pages = {2944--2950}, year = {2014} }
@article{DBLP:journals/mta/LeePLSKC14, author = {Jae{-}Young Lee and Hyung{-}Min Park and Seok{-}Han Lee and Soon{-}Ho Shin and Tae{-}eun Kim and Jong{-}Soo Choi}, title = {Design and implementation of an augmented reality system using gaze interaction}, journal = {Multim. Tools Appl.}, volume = {68}, number = {2}, pages = {265--280}, year = {2014} }
@article{DBLP:journals/tcas/JangKCOL14, author = {Yeong{-}Shin Jang and Young{-}Hun Ko and Jung{-}Min Choi and Hyung{-}Seog Oh and Sang{-}Gug Lee}, title = {A 45-dB, 150-Hz, and 18-mW Touch Controller for On-Cell Capacitive {TSP} Systems}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {10}, pages = {748--752}, year = {2014} }
@inproceedings{DBLP:conf/aspdac/ShinKS14, author = {Insup Shin and Jae{-}Joon Kim and Youngsoo Shin}, title = {Power minimization of pipeline architecture through 1-cycle error correction and voltage scaling}, booktitle = {{ASP-DAC}}, pages = {179--184}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/elinfocom/ShinJLPM14, author = {Do{-}Kyung Shin and Woo Jin Jeong and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Car accident detection in parking lots}, booktitle = {{ICEIC}}, pages = {1--2}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/embc/LeeYMLKHYLNCPY14, author = {Kihyuck Lee and Jungmin Yoon and Kyeongran Min and Jungchang Lee and Shinil Kang and Sung Jun Hong and Sung Hoon Yoon and Jong{-}Shill Lee and Kyoung Won Nam and Seok Hyun Cho and Hoonki Park and Kim In Young}, title = {An objective index to estimate the survival rate of primary blast lung injury}, booktitle = {{EMBC}}, pages = {1206--1209}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/hipc/EomKHKSN14, author = {Youngmoon Eom and Jinwoong Kim and Deukyeon Hwang and Jaewon Kwak and Minho Shin and Beomseok Nam}, title = {Improving Multi-dimensional query processing with data migration in distributed cache infrastructure}, booktitle = {HiPC}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014} }
@inproceedings{DBLP:conf/hpcc/YoonJSC14, author = {Min Yoon and Mi{-}Young Jang and Young{-}Sung Shin and Jae{-}Woo Chang}, title = {A Density-Aware Data Encryption Scheme for Outsourced Databases in Cloud Computing}, booktitle = {{HPCC/CSS/ICESS}}, pages = {1097--1104}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/icuimc/KwonSKOCP14, author = {Young Min Kwon and JaeSheung Shin and Jun Suk Kim and Sung{-}Min Oh and Min Young Chung and Aesoon Park}, title = {Development of system level simulator for evaluating performance of moving personalcell network}, booktitle = {{ICUIMC}}, pages = {19:1--19:6}, publisher = {{ACM}}, year = {2014} }
@inproceedings{DBLP:conf/iros/TanakaBHSMSNSM14, author = {Shinichi Tanaka and Young Min Baek and Kanako Harada and Naohiko Sugita and Akio Morita and Shigeo Sora and Hirofumi Nakatomi and Nobuhito Saito and Mamoru Mitsuishi}, title = {Robust forceps tracking using online calibration of hand-eye coordination for microsurgical robotic system}, booktitle = {{IROS}}, pages = {3529--3535}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/isscc/LeeKKKKPKKPSCKK14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Hongjung Kim and Ju Young Kim and Young Jun Park and Jae Hwan Kim and Dae Suk Kim and Heat Bit Park and Jin Wook Shin and Jang Hwan Cho and Ki Hun Kwon and Min Jeong Kim and Jaejin Lee and Kunwoo Park and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.2 {A} 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory {(HBM)} stacked {DRAM} with effective microbump {I/O} test methods using 29nm process and {TSV}}, booktitle = {{ISSCC}}, pages = {432--433}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {{ISSCC}}, pages = {430--431}, publisher = {{IEEE}}, year = {2014} }
@inproceedings{DBLP:conf/jist/HwangHYKSKJ14, author = {Mi{-}Nyeong Hwang and Myunggwon Hwang and Ha Neul Yeom and Kwang{-}Young Kim and Su{-}Mi Shin and Taehong Kim and Hanmin Jung}, title = {Development of Framework System for Managing the Big Data from Scientific and Technological Text Archives}, booktitle = {{JIST} (Workshops {\&} Posters)}, series = {{CEUR} Workshop Proceedings}, volume = {1312}, pages = {38--43}, publisher = {CEUR-WS.org}, year = {2014} }
@inproceedings{DBLP:conf/jist/ShinKSHSJ14, author = {Sungho Shin and Young{-}Min Kim and Choong{-}Nyoung Seon and Seunggyun Hong and Sa{-}Kwang Song and Hanmin Jung}, title = {Business Event Extraction System Based on {SSVM}}, booktitle = {{JIST} (Workshops {\&} Posters)}, series = {{CEUR} Workshop Proceedings}, volume = {1312}, pages = {44--49}, publisher = {CEUR-WS.org}, year = {2014} }
@inproceedings{DBLP:conf/rfid/LeKN0SLR14, author = {Tuan Dinh Le and Seong Hoon Kim and Minh Hoang Nguyen and Daeyoung Kim and Seung Young Shin and Kyung Eun Lee and Rodrigo da Rosa Righi}, title = {{EPC} information services with No-SQL datastore for the Internet of Things}, booktitle = {{IEEE} {RFID}}, pages = {47--54}, publisher = {{IEEE}}, year = {2014} }
@article{DBLP:journals/cviu/ShinCL13, author = {Young Min Shin and Minsu Cho and Kyoung Mu Lee}, title = {Multi-object reconstruction from dynamic scenes: An object-centered approach}, journal = {Comput. Vis. Image Underst.}, volume = {117}, number = {11}, pages = {1575--1588}, year = {2013} }
@article{DBLP:journals/ieicet/HwangJKJKKSSCLL13, author = {Seon{-}Man Hwang and Yi{-}Jung Jung and Hyuk{-}Min Kwon and Jae{-}Hyung Jang and Ho{-}Young Kwak and Sung{-}Kyu Kwon and Seung{-}Yong Sung and Jong{-}Kwan Shin and Yi{-}Sun Chung and Da{-}Soon Lee and Hi{-}Deok Lee}, title = {Novel {PNP} {BJT} Structure to Improve Matching Characteristics for Analog and Mixed Signal Integrated Circuit Applications}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {5}, pages = {663--668}, year = {2013} }
@article{DBLP:journals/ieicet/JangKKKHSSCLL13, author = {Jae{-}Hyung Jang and Hyuk{-}Min Kwon and Ho{-}Young Kwak and Sung{-}Kyu Kwon and Seon{-}Man Hwang and Jong{-}Kwan Shin and Seung{-}Yong Sung and Yi{-}Sun Chung and Da{-}Soon Lee and Hi{-}Deok Lee}, title = {Effects of Fluorine Implantation on 1/\emph{f} Noise, Hot Carrier and {NBTI} Reliability of MOSFETs}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {5}, pages = {624--629}, year = {2013} }
@article{DBLP:journals/ieicet/JangSMSY13, author = {YoungHwa Jang and Incheol Shin and Byung{-}gil Min and Jungtaek Seo and MyungKeun Yoon}, title = {Whitelisting for Critical IT-Based Infrastructure}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {4}, pages = {1070--1074}, year = {2013} }
@article{DBLP:journals/ieicet/KimCSKLSP13, author = {Sang Wan Kim and Woo Young Choi and Min{-}Chul Sun and Hyun Woo Kim and Jong{-}Ho Lee and Hyungcheol Shin and Byung{-}Gook Park}, title = {L-Shaped Tunneling Field-Effect Transistors for Complementary Logic Applications}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {5}, pages = {634--638}, year = {2013} }
@article{DBLP:journals/jam/KangRC13, author = {Shin Min Kang and Arif Rafiq and Sun Young Cho}, title = {On the Convergence of Implicit Picard Iterative Sequences for Strongly Pseudocontractive Mappings in Banach Spaces}, journal = {J. Appl. Math.}, volume = {2013}, pages = {284937:1--284937:5}, year = {2013} }
@article{DBLP:journals/jam/KangRK13, author = {Shin Min Kang and Arif Rafiq and Young Chel Kwun}, title = {Strong Convergence for Hybrid S-Iteration Scheme}, journal = {J. Appl. Math.}, volume = {2013}, pages = {705814:1--705814:4}, year = {2013} }
@article{DBLP:journals/jgo/ChoQK13, author = {Sun Young Cho and Xiaolong Qin and Shin Min Kang}, title = {Iterative processes for common fixed points of two different families of mappings with applications}, journal = {J. Glob. Optim.}, volume = {57}, number = {4}, pages = {1429--1446}, year = {2013} }
@article{DBLP:journals/jota/LiuDCK13, author = {Zeqing Liu and Haijiang Dong and Sun Young Cho and Shin Min Kang}, title = {Existence and Iterative Approximations of Solutions for Certain Functional Equation and Inequality}, journal = {J. Optim. Theory Appl.}, volume = {157}, number = {3}, pages = {716--736}, year = {2013} }
@article{DBLP:journals/mcm/RyuNALCLKS13, author = {Hoon Ryu and Dukyun Nam and Bu{-}Young Ahn and Jong{-}Suk Ruth Lee and Kumwon Cho and Sunhee Lee and Gerhard Klimeck and Mincheol Shin}, title = {Optical {TCAD} on the Net: {A} tight-binding study of inter-band light transitions in self-assembled InAs/GaAs quantum dot photodetectors}, journal = {Math. Comput. Model.}, volume = {58}, number = {1-2}, pages = {288--299}, year = {2013} }
@article{DBLP:journals/sensors/LeeSPCK13, author = {Sang Mi Lee and Gil{-}Ok Shin and Kyung Min Park and Pahn{-}Shick Chang and Young{-}Suk Kim}, title = {Determination of Odor Release in Hydrocolloid Model Systems Containing Original or Carboxylated Cellulose at Different pH Values Using Static Headspace Gas Chromatographic {(SHS-GC)} Analysis}, journal = {Sensors}, volume = {13}, number = {3}, pages = {2818--2829}, year = {2013} }
@article{DBLP:journals/twc/TriJQTS13, author = {Nguyen Minh Tri and Youngmin Jeong and Tony Q. S. Quek and Wee{-}Peng Tay and Hyundong Shin}, title = {Interference Alignment in a Poisson Field of {MIMO} Femtocells}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {6}, pages = {2633--2645}, year = {2013} }
@article{DBLP:journals/wicomm/KimSKC13, author = {Youngmin Kim and Pingping Shang and Sooyoung Kim and Kwonhue Choi}, title = {A simple soft linear detection for coded multi-input multi-output systems}, journal = {Wirel. Commun. Mob. Comput.}, volume = {13}, number = {18}, pages = {1612--1620}, year = {2013} }
@inproceedings{DBLP:conf/apcc/KimSKK13, author = {Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Sooyoung Kim}, title = {Soft linear {MMSE} detection for coded {MIMO} systems}, booktitle = {{APCC}}, pages = {657--660}, publisher = {{IEEE}}, year = {2013} }
@inproceedings{DBLP:conf/bpm/KangKLNKLKH13, author = {Chang Jae Kang and Young Sik Kang and Yeong Shin Lee and Seonkyu Noh and Hyeong Cheol Kim and Woo Cheol Lim and Juhee Kim and Regina Hong}, title = {Process Mining-based Understanding and Analysis of Volvo IT's Incident and Problem Management Processes}, booktitle = {BPIC@BPM}, series = {{CEUR} Workshop Proceedings}, volume = {1052}, publisher = {CEUR-WS.org}, year = {2013} }
@inproceedings{DBLP:conf/cikm/KimSL13, author = {Se{-}Jong Kim and Ki{-}Young Shin and Jong{-}Hyeok Lee}, title = {Hierarchical subtopic mining for topic annotation}, booktitle = {{ESAIR}}, pages = {49--52}, publisher = {{ACM}}, year = {2013} }
@inproceedings{DBLP:conf/hci/KimSKPC13, author = {Minyoung Kim and Ki{-}Young Seo and Seokhwan Kim and Kyoung Shin Park and Yongjoo Cho}, title = {Development of Multiview Image Generation Simulator for Depth Map Quantization}, booktitle = {{HCI} {(18)}}, series = {Lecture Notes in Computer Science}, volume = {8021}, pages = {58--64}, publisher = {Springer}, year = {2013} }
@inproceedings{DBLP:conf/hci/ParkCKSK13, author = {Kyoung Shin Park and Yongjoo Cho and Minyoung Kim and Ki{-}Young Seo and Dongkeun Kim}, title = {Emotion Sharing with the Emotional Digital Picture Frame}, booktitle = {{HCI} {(5)}}, series = {Lecture Notes in Computer Science}, volume = {8008}, pages = {339--345}, publisher = {Springer}, year = {2013} }
@inproceedings{DBLP:conf/hci/ShinJ13, author = {Min Shin and Da Young Ju}, title = {Online Advertising as a New Story: Effects of User-Driven Photo Advertisement in Social Media}, booktitle = {{HCI} {(12)}}, series = {Lecture Notes in Computer Science}, volume = {8015}, pages = {103--112}, publisher = {Springer}, year = {2013} }
@inproceedings{DBLP:conf/iccd/ShimMKS13, author = {Seongbo Shim and Minyoung Mo and Sangmin Kim and Youngsoo Shin}, title = {Analysis and minimization of short-circuit current in mesh clock network}, booktitle = {{ICCD}}, pages = {459--462}, publisher = {{IEEE} Computer Society}, year = {2013} }
@inproceedings{DBLP:conf/icoin/KoKSP13, author = {Haneul Ko and Younghyun Kim and Minsu Shin and Sangheon Pack}, title = {An interworking architecture between Internet and contents oriented networks}, booktitle = {{ICOIN}}, pages = {702--707}, publisher = {{IEEE} Computer Society}, year = {2013} }
@inproceedings{DBLP:conf/iscas/KimLPKKSKJCSS13, author = {Min{-}Su Kim and Hyoungwook Lee and Jin{-}Soo Park and Chunghee Kim and Juhyun Kang and Ken Shin and Emil Kagramanyan and Gunok Jung and Ukrae Cho and Youngmin Shin and Jae{-}Cheol Son}, title = {Scan-controlled pulse flip-flops for mobile application processors}, booktitle = {{ISCAS}}, pages = {769--772}, publisher = {{IEEE}}, year = {2013} }
@inproceedings{DBLP:conf/isscc/ShinSKKLSMKIKCBHJLCHSH13, author = {Youngmin Shin and Ken Shin and Prashant Kenkare and Rajesh Kashyap and Hoi{-}Jin Lee and Dongjoo Seo and Brian Millar and Yohan Kwon and Ravi Iyengar and Min{-}Su Kim and Ahsan Chowdhury and Sung{-}il Bae and Inpyo Hong and Wookyeong Jeong and Aaron Lindner and Ukrae Cho and Keith Hawkins and Jae{-}Cheol Son and Seung Ho Hwang}, title = {28nm high- metal-gate heterogeneous quad-core CPUs for high-performance and energy-efficient mobile application processor}, booktitle = {{ISSCC}}, pages = {154--155}, publisher = {{IEEE}}, year = {2013} }
@inproceedings{DBLP:conf/mobisys/WooJPLIP13, author = {Shinae Woo and Eunyoung Jeong and Shinjo Park and Jong Min Lee and Sunghwan Ihm and KyoungSoo Park}, title = {Comparison of caching strategies in modern cellular backhaul networks}, booktitle = {MobiSys}, pages = {319--332}, publisher = {{ACM}}, year = {2013} }
@inproceedings{DBLP:conf/sac/JangKRLSKPC13, author = {Minwoo Jang and Joongjin Kook and Samin Ryu and Kahyun Lee and Sung Shin and Ahreum Kim and Youngsu Park and Eig Hyun Cho}, title = {An efficient similarity comparison based on core {API} calls}, booktitle = {{SAC}}, pages = {1634--1638}, publisher = {{ACM}}, year = {2013} }
@incollection{DBLP:series/acvpr/ChoSL13, author = {Minsu Cho and Young Min Shin and Kyoung Mu Lee}, title = {Co-recognition of Images and Videos: Unsupervised Matching of Identical Object Patterns and Its Applications}, booktitle = {Advanced Topics in Computer Vision}, series = {Advances in Computer Vision and Pattern Recognition}, pages = {113--141}, publisher = {Springer}, year = {2013} }
@article{DBLP:journals/appml/ChoQK12, author = {Sun Young Cho and Xiaolong Qin and Shin Min Kang}, title = {Hybrid projection algorithms for treating common fixed points of a family of demicontinuous pseudocontractions}, journal = {Appl. Math. Lett.}, volume = {25}, number = {5}, pages = {854--857}, year = {2012} }
@article{DBLP:journals/mr/BudimanSKHSSCBTKJ12, author = {Arief Suriadi Budiman and H.{-}A.{-}S. Shin and B.{-}J. Kim and S.{-}H. Hwang and Ho{-}Young Son and Min{-}Suk Suh and Q.{-}H. Chung and K.{-}Y. Byun and Nobumichi Tamura and Martin Kunz and Young{-}Chang Joo}, title = {Measurement of stresses in Cu and Si around through-silicon via by synchrotron X-ray microdiffraction for 3-dimensional integrated circuits}, journal = {Microelectron. Reliab.}, volume = {52}, number = {3}, pages = {530--533}, year = {2012} }
@article{DBLP:journals/tim/LeeHHHY12, author = {Ke{-}Yu Lee and Chih{-}Feng Huang and Sin{-}San Huang and Ke{-}Nung Huang and Ming{-}Shing Young}, title = {A High-Resolution Ultrasonic Distance Measurement System Using Vernier Caliper Phase Meter}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {61}, number = {11}, pages = {2924--2931}, year = {2012} }
@article{DBLP:journals/vc/ChoKP12, author = {Yongjoo Cho and Minyoung Kim and Kyoung Shin Park}, title = {{LOTUS:} composing a multi-user interactive tiled display virtual environment}, journal = {Vis. Comput.}, volume = {28}, number = {1}, pages = {99--109}, year = {2012} }
@inproceedings{DBLP:conf/apcc/ZhangKK12, author = {Meixiang Zhang and Sooyoung Kim and Youngmin Kim}, title = {Universal soft decision demodulator for M-ary adaptive modulation systems}, booktitle = {{APCC}}, pages = {574--578}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/compsac/ShinSLL12, author = {Youngsam Shin and Minyoung Son and Seungwon Lee and Shihwa Lee}, title = {Accelerated Deterministic Multithreading for Multichannel Video Decoder}, booktitle = {{COMPSAC}}, pages = {352--353}, publisher = {{IEEE} Computer Society}, year = {2012} }
@inproceedings{DBLP:conf/iccel/ShinSLL12, author = {Youngsam Shin and Minyoung Son and Seungwon Lee and Shihwa Lee}, title = {Cycle-accurate deterministic multithreading for multicore debugging and reliability}, booktitle = {{ICCE}}, pages = {654--657}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/icecsys/ParkKCKASLYP12, author = {Young{-}min Park and Tae{-}In Kwon and Kang{-}Il Cho and Yong{-}Sik Kwak and Gil{-}Cho Ahn and Chang{-}Seob Shin and Myung{-}Jin Lee and Seung{-}Bin You and Ho{-}Jin Park}, title = {A 1.1 {V} 82.3dB audio {\(\Delta\)}{\(\Sigma\)} {ADC} using asynchronous {SAR} type quantizer}, booktitle = {{ICECS}}, pages = {637--640}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/icinco/KimKKSY12, author = {Gabsoon Kim and Hyeon{-}Min Kim and Young{-}Guk Kim and Hee{-}Suk Shin and Jungwon Yoon}, title = {Design of a Rectangular-type Finger Rehabilitation Robot}, booktitle = {{ICINCO} {(2)}}, pages = {443--446}, publisher = {SciTePress}, year = {2012} }
@inproceedings{DBLP:conf/icra/BaekTKSMSMM12, author = {Young Min Baek and Shinichi Tanaka and Kanako Harada and Naohiko Sugita and Akio Morita and Shigeo Sora and Ryo Mochizuki and Mamoru Mitsuishi}, title = {Full state visual forceps tracking under a microscope using projective contour models}, booktitle = {{ICRA}}, pages = {2919--2925}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/icves/HwangPKKPS12, author = {Suk{-}Seung Hwang and Cheol Park and Seong{-}min Kim and Goo{-}Rak Kwon and Jae{-}Young Pyun and Seokjoo Shin}, title = {{TPMS} switching beamformer based on duplex wireless communications for interference suppression}, booktitle = {{ICVES}}, pages = {228--233}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12, author = {Youngdon Choi and Ickhyun Song and Mu{-}Hui Park and Hoeju Chung and Sanghoan Chang and Beakhyoung Cho and Jinyoung Kim and Younghoon Oh and Dukmin Kwon and Jung Sunwoo and Junho Shin and Yoohwan Rho and Changsoo Lee and Min Gu Kang and Jaeyun Lee and Yongjin Kwon and Soehee Kim and Jaewhan Kim and Yong{-}jun Lee and Qi Wang and Sooho Cha and Sujin Ahn and Hideki Horii and Jaewook Lee and KiSeung Kim and Han{-}Sung Joo and KwangJin Lee and Yeong{-}Taek Lee and Jei{-}Hwan Yoo and Gitae Jeong}, title = {A 20nm 1.8V 8Gb {PRAM} with 40MB/s program bandwidth}, booktitle = {{ISSCC}}, pages = {46--48}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/isscc/KimJLPCKKSCLHKKKYSHCH12, author = {Jae{-}Hong Kim and Wun{-}ki Jung and Seung{-}hyun Lim and Yu{-}jin Park and Won{-}Ho Choi and Yun{-}Jung Kim and Chang{-}eun Kang and Jihun Shin and Kyojin Choo and Won{-}baek Lee and Jin{-}kyeong Heo and Byung{-}jo Kim and Se{-}jun Kim and Min{-}ho Kwon and Kwi{-}sung Yoo and Jin{-}Ho Seo and Seog{-}heon Ham and Chi{-}Young Choi and Gab{-}soo Han}, title = {A 14b extended counting {ADC} implemented in a 24Mpixel {APS-C} {CMOS} image sensor}, booktitle = {{ISSCC}}, pages = {390--392}, publisher = {{IEEE}}, year = {2012} }
@inproceedings{DBLP:conf/mobisys/MinHHLLSS12, author = {Chulhong Min and Wookhyun Han and Inseok Hwang and Sang Jeong Lee and Youngki Lee and Insik Shin and Junehwa Song}, title = {Poster: towards mobile GPU-accelerated context processing for continuous sensing applications on smartphones}, booktitle = {MobiSys}, pages = {505--506}, publisher = {{ACM}}, year = {2012} }
@inproceedings{DBLP:conf/sensys/JuLYMSS12, author = {Younghyun Ju and Youngki Lee and Jihyun Yu and Chulhong Min and Insik Shin and Junehwa Song}, title = {SymPhoney: a coordinated sensing flow execution engine for concurrent mobile sensing applications}, booktitle = {SenSys}, pages = {211--224}, publisher = {{ACM}}, year = {2012} }
@article{DBLP:journals/amc/LiuZKK11, author = {Zeqing Liu and Liangshi Zhao and Shin Min Kang and Young Chel Kwun}, title = {Existence of bounded positive solutions for a system of difference equations}, journal = {Appl. Math. Comput.}, volume = {218}, number = {6}, pages = {2889--2912}, year = {2011} }
@article{DBLP:journals/appml/ChoK11, author = {Sun Young Cho and Shin Min Kang}, title = {Approximation of fixed points of pseudocontraction semigroups based on a viscosity iterative process}, journal = {Appl. Math. Lett.}, volume = {24}, number = {2}, pages = {224--228}, year = {2011} }
@article{DBLP:journals/itiis/KimCCKP11, author = {Minyoung Kim and Yongjoo Cho and Hyon{-}Gon Choo and Jinwoong Kim and Kyoung Shin Park}, title = {Effects of Depth Map Quantization for Computer-Generated Multiview Images using Depth Image-Based Rendering}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {5}, number = {11}, pages = {2175--2190}, year = {2011} }
@article{DBLP:journals/jgo/QinCK11, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {An extragradient-type method for generalized equilibrium problems involving strictly pseudocontractive mappings}, journal = {J. Glob. Optim.}, volume = {49}, number = {4}, pages = {679--693}, year = {2011} }
@article{DBLP:journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7 Gb/s/pin 1 Gbit {GDDR5} {SDRAM} With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {107--118}, year = {2011} }
@article{DBLP:journals/neuroimage/ChoSSJKQILN11, author = {Young{-}Sang Cho and Joon{-}Kyung Seong and Sung Yong Shin and Yong Jeong and Jong Hun Kim and Anqi Qiu and Kiho Im and Jong{-}Min Lee and Duk L. Na}, title = {A multi-resolution scheme for distortion-minimizing mapping between human subcortical structures based on geodesic construction on Riemannian manifolds}, journal = {NeuroImage}, volume = {57}, number = {4}, pages = {1376--1392}, year = {2011} }
@inproceedings{DBLP:conf/acn/KookLP0KS11, author = {Youn{-}Gyou Kook and Joon Lee and Min{-}Woo Park and Ki{-}Seok Choi and Jaesoo Kim and Soung{-}Soo Shin}, title = {Data Quality Management Based on Data Profiling in E-Government Environments}, booktitle = {{ACN}}, series = {Communications in Computer and Information Science}, volume = {199}, pages = {286--291}, publisher = {Springer}, year = {2011} }
@inproceedings{DBLP:conf/fgit/LeeLKSYS11, author = {Wongoo Lee and Minho Lee and Kwang{-}Young Kim and Sung{-}Ho Shin and Hwa{-}Mook Yoon and Won{-}Kyung Sung}, title = {An Management of Digital Contents on Science and Technology}, booktitle = {{FGIT-UNESST}}, series = {Communications in Computer and Information Science}, volume = {264}, pages = {227--229}, publisher = {Springer}, year = {2011} }
@inproceedings{DBLP:conf/hci/KimMLJAS11, author = {Jung{-}Yong Kim and Seung{-}Nam Min and Min{-}Ho Lee and Joo{-}Hyun Jeong and Jung{-}Ho An and Young{-}Sung Shin}, title = {Measurement of User Experience to Select a Comfortable Mattress}, booktitle = {{HCI} {(10)}}, series = {Lecture Notes in Computer Science}, volume = {6770}, pages = {449--458}, publisher = {Springer}, year = {2011} }
@inproceedings{DBLP:conf/icassp/ShinJJ11, author = {Sunghwan Shin and Ho{-}Young Jung and Biing{-}Hwang Juang}, title = {Discriminative Training for direct minimization of deletion, insertion and substitution errors}, booktitle = {{ICASSP}}, pages = {5328--5331}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/iccad/PaikNS11, author = {Seungwhun Paik and Gi{-}Joon Nam and Youngsoo Shin}, title = {Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power}, booktitle = {{ICCAD}}, pages = {640--646}, publisher = {{IEEE} Computer Society}, year = {2011} }
@inproceedings{DBLP:conf/ichit/KimOKKKC11, author = {Young{-}Jun Kim and Do{-}Eun Oh and Jong{-}min Ko and Young{-}Il Kim and Shin Jae Kang and Seung{-}Hwan Choi}, title = {A Remote Firmware Upgrade Method of {NAN} and {HAN} Devices to Support AMI's Energy Services}, booktitle = {{ICHIT} {(2)}}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {303--310}, publisher = {Springer}, year = {2011} }
@inproceedings{DBLP:conf/infocom/ShinGM11, author = {Youngsang Shin and Minaxi Gupta and Steven A. Myers}, title = {Prevalence and mitigation of forum spamming}, booktitle = {{INFOCOM}}, pages = {2309--2317}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/interspeech/ShinJJ11, author = {Sunghwan Shin and Ho{-}Young Jung and Biing{-}Hwang Juang}, title = {Individual Error Minimization Learning Framework and its Applications to Speech Recognition and Utterance Verification}, booktitle = {{INTERSPEECH}}, pages = {1713--1716}, publisher = {{ISCA}}, year = {2011} }
@inproceedings{DBLP:conf/iscas/ShinYCKKLA11, author = {Chang{-}Seob Shin and Min{-}Ho Yoon and Kang{-}Il Cho and Young{-}Ju Kim and Kwang{-}Soo Kim and Seung{-}Hoon Lee and Gil{-}Cho Ahn}, title = {A 6.25 MHz {BW} 8-OSR fifth-order single-stage sigma-delta {ADC}}, booktitle = {{ISCAS}}, pages = {1117--1120}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{ISSCC}}, pages = {498--500}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{ISSCC}}, pages = {500--502}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{ISSCC}}, pages = {212--213}, publisher = {{IEEE}}, year = {2011} }
@inproceedings{DBLP:conf/iwec/KimPKC11, author = {Minyoung Kim and Kyoung Shin Park and Dongkeun Kim and Yongjoo Cho}, title = {Emotional Intelligent Contents: Expressing User's Own Emotion within Contents}, booktitle = {{ICEC}}, series = {Lecture Notes in Computer Science}, volume = {6972}, pages = {391--394}, publisher = {Springer}, year = {2011} }
@inproceedings{DBLP:conf/leet/ShinGM11, author = {Youngsang Shin and Minaxi Gupta and Steven A. Myers}, title = {The Nuts and Bolts of a Forum Spam Automator}, booktitle = {{LEET}}, publisher = {{USENIX} Association}, year = {2011} }
@inproceedings{DBLP:conf/mtsummit/LeeLKRSH11, author = {Hyoung{-}Gyu Lee and Joo{-}Young Lee and Min{-}Jeong Kim and Hae{-}Chang Rim and Joong{-}Hwi Shin and Young{-}Sook Hwang}, title = {Phrase Segmentation Model using Collocation and Translational Entropy}, booktitle = {MTSummit}, year = {2011} }
@inproceedings{DBLP:conf/rvsp/WangLSY11, author = {Shih{-}Feng Wang and Yu{-}Hao Lee and Yung{-}Jong Shiah and Ming{-}Shing Young}, title = {Time-Frequency Analysis of EEGs Recorded during Meditation}, booktitle = {{RVSP}}, pages = {73--76}, publisher = {{IEEE} Computer Society}, year = {2011} }
@inproceedings{DBLP:conf/sac/ShinLSL11, author = {Youngsam Shin and Seungwon Lee and Minyoung Son and Shihwa Lee}, title = {Predictable multithread scheduling with cycle-accurate thread progress monitor}, booktitle = {{SAC}}, pages = {627--628}, publisher = {{ACM}}, year = {2011} }
@article{DBLP:journals/amc/QinCK10, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {On hybrid projection methods for asymptotically quasi-phi-nonexpansive mappings}, journal = {Appl. Math. Comput.}, volume = {215}, number = {11}, pages = {3874--3883}, year = {2010} }
@article{DBLP:journals/amc/QinCK10a, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {Some results on generalized equilibrium problems involving a family of nonexpansive mappings}, journal = {Appl. Math. Comput.}, volume = {217}, number = {7}, pages = {3113--3126}, year = {2010} }
@article{DBLP:journals/geoinformatica/WhangLKLLHK10, author = {Kyu{-}Young Whang and Jae{-}Gil Lee and Min{-}Soo Kim and Min{-}Jae Lee and Ki{-}Hoon Lee and Wook{-}Shin Han and Jun{-}Sung Kim}, title = {Tightly-coupled spatial database features in the Odysseus/OpenGIS {DBMS} for high-performance}, journal = {GeoInformatica}, volume = {14}, number = {4}, pages = {425--446}, year = {2010} }
@article{DBLP:journals/icl/ParkKK10, author = {Unhee Park and Youngmin Kim and Sooyoung Kim}, title = {A new result on turbo coded {QO-STBC} schemes}, journal = {{IEEE} Commun. Lett.}, volume = {14}, number = {3}, pages = {199--201}, year = {2010} }
@article{DBLP:journals/jcam/QinCK10, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {Strong convergence of shrinking projection methods for quasi-{\(\phi\)}-nonexpansive mappings and equilibrium problems}, journal = {J. Comput. Appl. Math.}, volume = {234}, number = {3}, pages = {750--760}, year = {2010} }
@article{DBLP:journals/jgo/QinCK10, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {Iterative algorithms for variational inequality and equilibrium problems with applications}, journal = {J. Glob. Optim.}, volume = {48}, number = {3}, pages = {423--445}, year = {2010} }
@article{DBLP:journals/vldb/LeeWHK10, author = {Ki{-}Hoon Lee and Kyu{-}Young Whang and Wook{-}Shin Han and Min{-}Soo Kim}, title = {Structural consistency: enabling {XML} keyword search to eliminate spurious results consistently}, journal = {{VLDB} J.}, volume = {19}, number = {4}, pages = {503--529}, year = {2010} }
@article{DBLP:journals/www/LeeWH10, author = {Ki{-}Hoon Lee and Kyu{-}Young Whang and Wook{-}Shin Han}, title = {XMin: Minimizing Tree Pattern Queries with Minimality Guarantee}, journal = {World Wide Web}, volume = {13}, number = {3}, pages = {343--371}, year = {2010} }
@inproceedings{DBLP:conf/bibm/HongKMSGLC10, author = {Chang Bum Hong and Young Jin Kim and Sanghoon Moon and Young{-}Ah Shin and Min Jin Go and Jong{-}Young Lee and Yoon Shin Cho}, title = {BioSMACK: {A} linux Live {CD} for analysis of genome-wide association}, booktitle = {{BIBM} Workshops}, pages = {362--365}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/bibm/ShinJSKKKCKPEC10, author = {Min Jea Shin and Hoon Jae Jeong and Eun Jeong Sohn and Hye Ri Kim and Duk{-}Soo Kim and Tae{-}Cheon Kang and Sung{-}Woo Cho and Dae Won Kim and Jinseu Park and Won Sik Eum and Soo Young Choi}, title = {Transduced Tat-DJ-1 protein protects against oxidative stress-induced {SH-SY5Y} cells death and Parkinson disease mouse model}, booktitle = {{BIBM} Workshops}, pages = {805}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/cvpr/ChoSL10, author = {Minsu Cho and Young Min Shin and Kyoung Mu Lee}, title = {Unsupervised detection and segmentation of identical objects}, booktitle = {{CVPR}}, pages = {1617--1624}, publisher = {{IEEE} Computer Society}, year = {2010} }
@inproceedings{DBLP:conf/eccv/ShinPJMLY10, author = {Yong{-}Ho Shin and Min{-}Gyu Park and Young{-}Sun Jeon and Young{-}Su Moon and Shi{-}Hwa Lee and Kuk{-}Jin Yoon}, title = {Tone Correction with Dynamic Objects for Seamless Image Mosaic}, booktitle = {{ECCV} Workshops {(1)}}, series = {Lecture Notes in Computer Science}, volume = {6554}, pages = {104--117}, publisher = {Springer}, year = {2010} }
@inproceedings{DBLP:conf/icassp/ShinJKJ10, author = {Sunghwan Shin and Ho{-}Young Jung and Tae{-}Yoon Kim and Biing{-}Hwang Juang}, title = {Discriminative linear-transform based adaptation using minimum verification error}, booktitle = {{ICASSP}}, pages = {4318--4321}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/iccsa/LeePSKKK10, author = {Junghoon Lee and Gyung{-}Leen Park and In{-}Hye Shin and Ji{-}Ae Kang and Min{-}Jae Kang and Ho{-}Young Kwak}, title = {Performance Measurement of a Dual-Channel Intersection Switch on the Vehicular Network}, booktitle = {{ICCSA} {(3)}}, series = {Lecture Notes in Computer Science}, volume = {6018}, pages = {11--20}, publisher = {Springer}, year = {2010} }
@inproceedings{DBLP:conf/icpr/ShinCL10, author = {Young Min Shin and Minsu Cho and Kyoung Mu Lee}, title = {Co-recognition of Actions in Video Pairs}, booktitle = {{ICPR}}, pages = {456--459}, publisher = {{IEEE} Computer Society}, year = {2010} }
@inproceedings{DBLP:conf/ictc/KimKYS10, author = {Ki{-}Joon Kim and Jaesik Kim and Young Joong Yoon and Chul{-}Min Shin}, title = {Flexible antenna for {UWB} high-band in sensor network}, booktitle = {{ICTC}}, pages = {164--165}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/ictc/KimLSYSLCC10, author = {Woo{-}Chan Kim and Kang{-}Hoon Lee and Kyung{-}Seop Shin and Ri{-}Na You and Hyeon{-}Seok Shin and Young{-}Kwan Lee and Kiho Cho and Dong{-}Ho Cho}, title = {Unbiased mining tool of repetitive elements and their arrangement structure for large size genomes}, booktitle = {{ICTC}}, pages = {513--514}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7Gb/s/pin {GDDR5} {SDRAM} with 2.5ns bank-to-bank active time and no bank-group restriction}, booktitle = {{ISSCC}}, pages = {434--435}, publisher = {{IEEE}}, year = {2010} }
@inproceedings{DBLP:conf/medinfo/KimSJPHKC10, author = {Young{-}Ah Kim and Soo{-}Yong Shin and Eun{-}Mi Jo and Chan{-}Hee Park and Min{-}A. Hwang and Kyung Hwan Kim and Chun Kee Chung}, title = {Case Study: Analysis of End-User Requests on Electronic Medical Record and Computerized Physician Order Entry System of Seoul National University Hospital in Korea}, booktitle = {MedInfo}, series = {Studies in Health Technology and Informatics}, volume = {160}, pages = {169--172}, publisher = {{IOS} Press}, year = {2010} }
@inproceedings{DBLP:conf/securecomm/ShinMG10, author = {Youngsang Shin and Steven A. Myers and Minaxi Gupta}, title = {Saving Energy on WiFi with Required IPsec}, booktitle = {SecureComm}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {50}, pages = {144--161}, publisher = {Springer}, year = {2010} }
@inproceedings{DBLP:conf/wimob/KimK10, author = {Youngmin Kim and Sooyoung Kim}, title = {Evaluation of soft detection of {STBC} schemes for turbo codes}, booktitle = {WiMob}, pages = {744--748}, publisher = {{IEEE} Computer Society}, year = {2010} }
@inproceedings{DBLP:conf/wimob/ParkOKKK10, author = {Unhee Park and Dae{-}Sub Oh and Bon Jun Ku and Youngmin Kim and Sooyoung Kim}, title = {Parallel soft {ZF} detection for turbo-coded {QO-STBC} scheme}, booktitle = {WiMob}, pages = {252--255}, publisher = {{IEEE} Computer Society}, year = {2010} }
@article{DBLP:journals/cad/ParkSHDK09, author = {Jung Seo Park and Jong Gye Shin and Chung Min Hyun and Young Chil Doh and Kwang Hee Ko}, title = {Application of localization for the fabrication of large curved plates in shipbuilding}, journal = {Comput. Aided Des.}, volume = {41}, number = {12}, pages = {907--917}, year = {2009} }
@article{DBLP:journals/jcam/QinCK09, author = {Xiaolong Qin and Sun Young Cho and Shin Min Kang}, title = {Convergence of an iterative algorithm for systems of variational inequalities and nonexpansive mappings with applications}, journal = {J. Comput. Appl. Math.}, volume = {233}, number = {2}, pages = {231--240}, year = {2009} }
@article{DBLP:journals/pieee/ParkCSHCKHC09, author = {Jin Seo Park and Min Suk Chung and Dong Sun Shin and Dong{-}Hwan Har and Zang{-}Hee Cho and Young{-}Bo Kim and Jae{-}Yong Han and Je{-}Geun Chi}, title = {Sectioned Images of the Cadaver Head Including the Brain and Correspondences With Ultrahigh Field 7.0 {T} MRIs}, journal = {Proc. {IEEE}}, volume = {97}, number = {12}, pages = {1988--1996}, year = {2009} }
@article{DBLP:journals/todaes/KimOS09, author = {Jaehyun Kim and Chungki Oh and Youngsoo Shin}, title = {Minimizing leakage power of sequential circuits through mixed-\emph{V\({}_{\mbox{t}}\)} flip-flops and multi-\emph{V\({}_{\mbox{t}}\)} combinational gates}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {15}, number = {1}, pages = {4:1--4:22}, year = {2009} }
@article{DBLP:journals/tsmc/ShinLCYZ09, author = {Soo{-}Yong Shin and In{-}Hee Lee and Young{-}Min Cho and Kyung{-}Ae Yang and Byoung{-}Tak Zhang}, title = {EvoOligo: Oligonucleotide Probe Design With Multiobjective Evolutionary Algorithms}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {39}, number = {6}, pages = {1606--1616}, year = {2009} }
@inproceedings{DBLP:conf/dimva/ShinMG09, author = {Youngsang Shin and Steven A. Myers and Minaxi Gupta}, title = {A Case Study on Asprox Infection Dynamics}, booktitle = {{DIMVA}}, series = {Lecture Notes in Computer Science}, volume = {5587}, pages = {1--20}, publisher = {Springer}, year = {2009} }
@inproceedings{DBLP:conf/grapp/KimKCP09, author = {Seokhwan Kim and Minyoung Kim and Yongjoo Cho and Kyoung Shin Park}, title = {iTILE Framework for Constructing Interactive Tiled Display Applications}, booktitle = {{GRAPP}}, pages = {367--372}, publisher = {{INSTICC} Press}, year = {2009} }
@inproceedings{DBLP:conf/icara/ChuJCHLPLLKK09, author = {Baeksuk Chu and Kyungmo Jung and Youngsu Chu and Daehie Hong and Myo{-}Taeg Lim and Shinsuk Park and Yongkwun Lee and Sung{-}Uk Lee and Min Chul Kim and Kang Ho Ko}, title = {Robotic automation system for steel beam assembly in building construction}, booktitle = {{ICARA}}, pages = {38--43}, publisher = {{IEEE}}, year = {2009} }
@inproceedings{DBLP:conf/iih-msp/LiTY09, author = {Lung{-}Tsai Li and Chin{-}Fu Tsai and Ming{-}Shing Young}, title = {Design of a System with a Multielement Thermopile for Monitoring the Temperature of Indoor Objects}, booktitle = {{IIH-MSP}}, pages = {526--529}, publisher = {{IEEE} Computer Society}, year = {2009} }
@inproceedings{DBLP:conf/iih-msp/TsaiLLY09, author = {Chin{-}Fu Tsai and Lung{-}Tsai Li and Chin{-}Hao Li and Ming{-}Shing Young}, title = {Implementation of Thermistor Linearization Using LabVIEW}, booktitle = {{IIH-MSP}}, pages = {530--533}, publisher = {{IEEE} Computer Society}, year = {2009} }
@inproceedings{DBLP:conf/ncm/ParkSBY09, author = {Peom Park and You Min Shin and Ho{-}Young Byun and JaeSoo Yang}, title = {Preliminary Children Health Care Service Using Ubiquitous Technology}, booktitle = {{NCM}}, pages = {1053--1057}, publisher = {{IEEE} Computer Society}, year = {2009} }
@article{DBLP:journals/corr/abs-0911-4329, author = {Ki{-}Hoon Lee and Kyu{-}Young Whang and Wook{-}Shin Han and Min{-}Soo Kim}, title = {Structural Consistency: Enabling {XML} Keyword Search to Eliminate Spurious Results Consistently}, journal = {CoRR}, volume = {abs/0911.4329}, year = {2009} }
@article{DBLP:journals/jssc/BaePISLKKPPLBMH08, author = {Seung{-}Jun Bae and Kwang{-}Il Park and Jeong{-}Don Ihm and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Yoon{-}Sik Park and Min{-}Sang Park and Hong{-}Kyong Lee and Sam{-}Young Bang and Gil{-}Shin Moon and Seokwon Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Sunghoon Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim and Soo{-}In Cho}, title = {An 80 nm 4 Gb/s/pin 32 bit 512 Mb {GDDR4} Graphics {DRAM} With Low Power and Low Noise Data Bus Inversion}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {121--131}, year = {2008} }
@article{DBLP:journals/jssc/LeeCCKCOLKPWPRC08, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Yong{-}Jin Yoon and Kwang{-}Suk Yu and Gi{-}Tae Jeong and Hong{-}Sik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90 nm 1.8 {V} 512 Mb Diode-Switch {PRAM} With 266 MB/s Read Throughput}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {150--162}, year = {2008} }
@article{DBLP:journals/tcad/SeomunKS08, author = {Jun Seomun and Jae{-}Hyun Kim and Youngsoo Shin}, title = {Skewed Flip-Flop and Mixed-V\({}_{\mbox{t}}\) Gates for Minimizing Leakage in Sequential Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {11}, pages = {1956--1968}, year = {2008} }
@inproceedings{DBLP:conf/cicc/KimKSKMKS08, author = {Jongsik Kim and Seungsoo Kim and Jaewook Shin and Youngcho Kim and Junki Min and Kihong Kim and Hyunchol Shin}, title = {A {CMOS} direct conversion transmitter with integrated in-band harmonic suppression for {IEEE} 802.22 cognitive radio applications}, booktitle = {{CICC}}, pages = {603--606}, publisher = {{IEEE}}, year = {2008} }
@inproceedings{DBLP:conf/eccv/ChoSL08, author = {Minsu Cho and Young Min Shin and Kyoung Mu Lee}, title = {Co-recognition of Image Pairs by Data-Driven Monte Carlo Image Exploration}, booktitle = {{ECCV} {(4)}}, series = {Lecture Notes in Computer Science}, volume = {5305}, pages = {144--157}, publisher = {Springer}, year = {2008} }
@inproceedings{DBLP:conf/ipsn/KimSC08, author = {Youngmin Kim and Hyojeong Shin and Hojung Cha}, title = {{Y-MAC:} An Energy-Efficient Multi-channel {MAC} Protocol for Dense Wireless Sensor Networks}, booktitle = {{IPSN}}, pages = {53--63}, publisher = {{IEEE} Computer Society}, year = {2008} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {{ISSCC}}, pages = {278--279}, publisher = {{IEEE}}, year = {2008} }
@inproceedings{DBLP:conf/ncm/AnBSCP08, author = {Youngeun An and Junguk Beak and Sangwook Shin and Minhyuk Chang and Jong{-}An Park}, title = {Classification of Feature Set Using K-means Clustering from Histogram Refinement Method}, booktitle = {{NCM} {(2)}}, pages = {320--324}, publisher = {{IEEE} Computer Society}, year = {2008} }
@article{DBLP:journals/ieicet/JungSPL07, author = {Sunghun Jung and Myeongcheol Shin and Hee{-}Young Park and Chungyong Lee}, title = {A New Approximation of the Receive Minimum Distance and Its Application to {MIMO} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {2}, pages = {385--387}, year = {2007} }
@article{DBLP:journals/mbec/ChoiSCSLJP07, author = {Byoung Hoon Choi and Jin Woo Seo and Jong Min Choi and Hong Bum Shin and Joo Young Lee and Do{-}Un Jeong and Kwang Suk Park}, title = {Non-constraining sleep/wake monitoring system using bed actigraphy}, journal = {Medical Biol. Eng. Comput.}, volume = {45}, number = {1}, pages = {107--114}, year = {2007} }
@article{DBLP:journals/neuroimage/JoLKSKKK07, author = {Hang Joon Jo and Jong{-}Min Lee and Jae{-}Hun Kim and Yong{-}Wook Shin and In{-}Young Kim and Jun Soo Kwon and Sun I. Kim}, title = {Spatial accuracy of fMRI activation influenced by volume- and surface-based spatial smoothing techniques}, journal = {NeuroImage}, volume = {34}, number = {2}, pages = {550--564}, year = {2007} }
@article{DBLP:journals/neuroimage/YoonLISCKKK07, author = {Uicheul Yoon and Jong{-}Min Lee and Kiho Im and Yong{-}Wook Shin and Baek Hwan Cho and In{-}Young Kim and Jun Soo Kwon and Sun I. Kim}, title = {Pattern classification using principal components of cortical thickness and its discriminative pattern in schizophrenia}, journal = {NeuroImage}, volume = {34}, number = {4}, pages = {1405--1415}, year = {2007} }
@inproceedings{DBLP:conf/csreaSAM/KimJKSY07, author = {Se{-}Min Kim and Jun{-}Cheol Jeon and Byung{-}Heon Kang and Sang{-}Ho Shin and Kee{-}Young Yoo}, title = {Non-linear and Non-group Cellular Automata for Cryptographic Applications}, booktitle = {Security and Management}, pages = {432--438}, publisher = {{CSREA} Press}, year = {2007} }
@inproceedings{DBLP:conf/dac/SeomunKS07, author = {Jun Seomun and Jaehyun Kim and Youngsoo Shin}, title = {Skewed Flip-Flop Transformation for Minimizing Leakage in Sequential Circuits}, booktitle = {{DAC}}, pages = {103--106}, publisher = {{IEEE}}, year = {2007} }
@inproceedings{DBLP:conf/hci/ShinPCC07, author = {Kwonseung Shin and Kwangjin Park and Min Young Chung and Hyunseung Choo}, title = {Energy Efficient Route Discovery for Mobile {HCI} in Ad-Hoc Networks}, booktitle = {{HCI} {(9)}}, series = {Lecture Notes in Computer Science}, volume = {4558}, pages = {635--644}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/iccS/AhnSPJS07, author = {Young{-}Min Ahn and Seung{-}Eun Shin and Hee{-}Geun Park and Hyungsuk Ji and Young{-}Hoon Seo}, title = {A Korean Part-of-Speech Tagging System Using Resolution Rules for Individual Ambiguous Word}, booktitle = {International Conference on Computational Science {(2)}}, series = {Lecture Notes in Computer Science}, volume = {4488}, pages = {1222--1225}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/iccS/ParkPSLKKLK07, author = {So{-}Jeong Park and Gyung{-}Leen Park and In{-}Hye Shin and Junghoon Lee and Ho{-}Young Kwak and Do{-}Hyeon Kim and Sang Joon Lee and Min{-}Soo Kang}, title = {Performance Evaluation of the Optimal Hierarchy for Cellular Networks}, booktitle = {International Conference on Computational Science {(4)}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {449--456}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/iccS/ShinAS07, author = {Seung{-}Eun Shin and Young{-}Min Ahn and Young{-}Hoon Seo}, title = {Concept-Based Question Analysis for an Efficient Document Ranking}, booktitle = {International Conference on Computational Science {(2)}}, series = {Lecture Notes in Computer Science}, volume = {4488}, pages = {1202--1209}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/iccS/ShinCC07, author = {Kwonseung Shin and Min Young Chung and Hyunseung Choo}, title = {Energy Efficient Forwarding Scheme for Secure Wireless Ad Hoc Routing Protocols}, booktitle = {International Conference on Computational Science {(4)}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {534--537}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/iccad/KimS07a, author = {Jaehyun Kim and Youngsoo Shin}, title = {Minimizing leakage power in sequential circuits by using mixed \emph{V\({}_{\mbox{t}}\)} flip-flops}, booktitle = {{ICCAD}}, pages = {797--802}, publisher = {{IEEE} Computer Society}, year = {2007} }
@inproceedings{DBLP:conf/icfie/NaSKBM07, author = {Seung You Na and Daejung Shin and Jin Young Kim and Seong{-}Joon Baek and So Hee Min}, title = {Obstacle Recognition and Collision Avoidance of a Fish Robot Based on Fuzzy Neural Networks}, booktitle = {{ICFIE}}, series = {Advances in Soft Computing}, volume = {40}, pages = {337--344}, publisher = {Springer}, year = {2007} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {{ISSCC}}, pages = {492--617}, publisher = {{IEEE}}, year = {2007} }
@inproceedings{DBLP:conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Kwang{-}Suk Yu and Gitae Jeong and Hongsik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90nm 1.8V 512Mb Diode-Switch {PRAM} with 266MB/s Read Throughput}, booktitle = {{ISSCC}}, pages = {472--616}, publisher = {{IEEE}}, year = {2007} }
@inproceedings{DBLP:conf/sensys/KimSC07, author = {Youngmin Kim and Hyojeong Shin and Hojung Cha}, title = {A multi-channel {MAC} implementation for wireless sensor networks}, booktitle = {SenSys}, pages = {371--372}, publisher = {{ACM}}, year = {2007} }
@article{DBLP:journals/jssc/ParkCLKLCJCSPSC06, author = {Churoo Park and Hoeju Chung and Yun{-}Sang Lee and Jaekwan Kim and JaeJun Lee and Moo Sung Chae and Dae{-}Hee Jung and Sung{-}Ho Choi and Seung{-}young Seo and Taek{-}Seon Park and Jun{-}Ho Shin and Jin{-}Hyung Cho and Seunghoon Lee and Ki{-}Whan Song and Kyu{-}Hyoun Kim and Jung{-}Bae Lee and Changhyun Kim and Soo{-}In Cho}, title = {A 512-mb {DDR3} {SDRAM} prototype with C\({}_{\mbox{IO}}\) minimization and self-calibration techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {831--838}, year = {2006} }
@article{DBLP:journals/neuroimage/ImLLSKKK06, author = {Kiho Im and Jong{-}Min Lee and Junki Lee and Yong{-}Wook Shin and In{-}Young Kim and Jun Soo Kwon and Sun I. Kim}, title = {Gender difference analysis of cortical thickness in healthy young adults with surface-based methods}, journal = {NeuroImage}, volume = {31}, number = {1}, pages = {31--38}, year = {2006} }
@article{DBLP:journals/neuroimage/ShinKHPKHMLKKC06, author = {Yong{-}Wook Shin and Jun Soo Kwon and Tae Hyon Ha and Hae{-}Jeong Park and Dae Jin Kim and Soon Beom Hong and Won{-}Jin Moon and Jong{-}Min Lee and In{-}Young Kim and Sun I. Kim and Eun Chul Chung}, title = {Increased water diffusivity in the frontal and temporal cortices of schizophrenic patients}, journal = {NeuroImage}, volume = {30}, number = {4}, pages = {1285--1291}, year = {2006} }
@article{DBLP:journals/tkde/LeeWHS06, author = {Min{-}Jae Lee and Kyu{-}Young Whang and Wook{-}Shin Han and Il{-}Yeol Song}, title = {Transform-Space View: Performing Spatial Join in the Transform Space Using Original-Space Indexes}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {18}, number = {2}, pages = {245--260}, year = {2006} }
@inproceedings{DBLP:conf/icra/ArataTPWKTISNOKKHHM06, author = {Jumpei Arata and Hiroki Takahashi and Phongsaen Pitakwatchara and Shin'ichi Warisawa and Kozo Konishi and Kazuo Tanoue and Satoshi Ieiri and Shuji Shimizu and Naoki Nakashima and Koji Okamura and Young Soo Kim and Sungmin Kim and Joon{-}Soo Hahm and Makoto Hashizume and Mamoru Mitsuishi}, title = {A Remote Surgery Experiment between Japan-Korea using the Minimally Invasive Surgical System}, booktitle = {{ICRA}}, pages = {257--262}, publisher = {{IEEE}}, year = {2006} }
@inproceedings{DBLP:conf/icvgip/KoCKCSR06, author = {Kyong{-}Cheol Ko and Young Min Cheon and Gye{-}Young Kim and Hyung{-}Il Choi and Seong{-}Yoon Shin and Yang{-}Won Rhee}, title = {Video Shot Boundary Detection Algorithm}, booktitle = {{ICVGIP}}, series = {Lecture Notes in Computer Science}, volume = {4338}, pages = {388--396}, publisher = {Springer}, year = {2006} }
@inproceedings{DBLP:conf/isorc/ParkKLSK06, author = {Seungmin Park and Jin Won Kim and Kwangyong Lee and Kee{-}Young Shin and Daeyoung Kim}, title = {Embedded Sensor Networked Operating System}, booktitle = {{ISORC}}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2006} }
@inproceedings{DBLP:conf/isorc/ShinLKMPLK06, author = {Kee{-}Young Shin and Kwangyong Lee and Haeyong Kim and Pyeong Soo Mah and Seungmin Park and Chaedeok Lim and Heung{-}Nam Kim}, title = {A Flexible, High-Precise Time Synchronization for Multi-hop Sensor Networks}, booktitle = {{ISORC}}, pages = {169--173}, publisher = {{IEEE} Computer Society}, year = {2006} }
@inproceedings{DBLP:conf/ispa/ShinCWC06, author = {Kwonseung Shin and Min Young Chung and Jongho Won and Hyunseung Choo}, title = {Routing Based on Ad Hoc Link Reliability}, booktitle = {{ISPA} Workshops}, series = {Lecture Notes in Computer Science}, volume = {4331}, pages = {341--350}, publisher = {Springer}, year = {2006} }
@inproceedings{DBLP:conf/iwec/ChoLLKCKPPH06, author = {Hyung{-}Sang Cho and Binara Lee and Sora Lee and Youngjae Kim and Yongjoo Cho and Seung{-}Mook Kang and Soyon Park and Kyoung Shin Park and Minsoo Hahn}, title = {The Development of a Collaborative Virtual Heritage Edutainment System with Tangible Interfaces}, booktitle = {{ICEC}}, series = {Lecture Notes in Computer Science}, volume = {4161}, pages = {362--365}, publisher = {Springer}, year = {2006} }
@inproceedings{DBLP:conf/sruti/ShinGHE06, author = {Youngsang Shin and Minaxi Gupta and Rob Henderson and Aaron Emigh}, title = {Separating Wheat from the Chaff: {A} Deployable Approach to Counter Spam}, booktitle = {{SRUTI}}, publisher = {{USENIX} Association}, year = {2006} }
@inproceedings{DBLP:conf/vtc/ShinLLMPK06, author = {Kee{-}Young Shin and Kang Yong Lee and Kwangyong Lee and Pyeong Soo Mah and Seungmin Park and Heung{-}Nam Kim}, title = {Enhanced Time-Sync Protocol for Embedded Sensor Networks}, booktitle = {{VTC} Spring}, pages = {1027--1032}, publisher = {{IEEE}}, year = {2006} }
@article{DBLP:journals/jss/LeeWHS05, author = {Min{-}Jae Lee and Kyu{-}Young Whang and Wook{-}Shin Han and Il{-}Yeol Song}, title = {Adaptive row major order: a new space filling curve for efficient spatial join processing in the transform space}, journal = {J. Syst. Softw.}, volume = {78}, number = {3}, pages = {257--269}, year = {2005} }
@article{DBLP:journals/neuroimage/YoonLKSLKKK05, author = {Uicheul Yoon and Jong{-}Min Lee and Bang{-}Bon Koo and Yong{-}Wook Shin and Kyung Jin Lee and In{-}Young Kim and Jun Soo Kwon and Sun I. Kim}, title = {Quantitative analysis of group-specific brain tissue probability map for schizophrenic patients}, journal = {NeuroImage}, volume = {26}, number = {2}, pages = {502--512}, year = {2005} }
@article{DBLP:journals/pieee/HanLSPH05, author = {Sang{-}Myeon Han and Min{-}Cheol Lee and Moon{-}Young Shin and Joong{-}Hyun Park and Min{-}Koo Han}, title = {Poly-Si {TFT} Fabricated at 150 deg {C} Using {ICP-CVD} and Excimer Laser Annealing}, journal = {Proc. {IEEE}}, volume = {93}, number = {7}, pages = {1297--1305}, year = {2005} }
@article{DBLP:journals/tcad/LeeLSPM05, author = {Kyu{-}Il Lee and Chanho Lee and Hyungsoon Shin and Young June Park and Hong{-}Shick Min}, title = {Efficient frequency-domain simulation technique for short-channel {MOSFET}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {6}, pages = {862--868}, year = {2005} }
@inproceedings{DBLP:conf/iccS/KimCS05, author = {Jang{-}Sub Kim and Min Young Chung and Dong Ryeol Shin}, title = {A Vertical Handoff Decision Process and Algorithm Based on Context Information in {CDMA-WLAN} Interworking}, booktitle = {International Conference on Computational Science {(2)}}, series = {Lecture Notes in Computer Science}, volume = {3515}, pages = {601--609}, publisher = {Springer}, year = {2005} }
@inproceedings{DBLP:conf/iccsa/KimCS05, author = {Jang{-}Sub Kim and Min Young Chung and Dong Ryeol Shin}, title = {A Vertical Handoff Algorithm Based on Context Information in {CDMA-WLAN} Integrated Networks}, booktitle = {{ICCSA} {(1)}}, series = {Lecture Notes in Computer Science}, volume = {3480}, pages = {348--358}, publisher = {Springer}, year = {2005} }
@inproceedings{DBLP:conf/icde/WhangLLKH05, author = {Kyu{-}Young Whang and Min{-}Jae Lee and Jae{-}Gil Lee and Min{-}Soo Kim and Wook{-}Shin Han}, title = {Odysseus: {A} High-Performance {ORDBMS} Tightly-Coupled with {IR} Features}, booktitle = {{ICDE}}, pages = {1104--1005}, publisher = {{IEEE} Computer Society}, year = {2005} }
@inproceedings{DBLP:conf/ike/LeeCMS05, author = {Younghee Lee and Tae{-}Sun Choi and Rashid Minhas and Vladimir I. Shin}, title = {Fusion of Local Kalman Filters for Dynamic Systems with Multisensor Environment}, booktitle = {{IKE}}, pages = {269--273}, publisher = {{CSREA} Press}, year = {2005} }
@article{DBLP:journals/cbsn/ChoKSLLKK04, author = {Baek Hwan Cho and Saebyul Kim and Dong Ik Shin and Jang{-}Han Lee and Sang Min Lee and In{-}Young Kim and Sun I. Kim}, title = {Neurofeedback Training with Virtual Reality for Inattention and Impulsiveness}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {7}, number = {5}, pages = {519--526}, year = {2004} }
@article{DBLP:journals/csse/LeeHW04, author = {Min{-}Jae Lee and Wook{-}Shin Han and Kyu{-}Young Whang}, title = {Transformation-based spatial partition join}, journal = {Comput. Syst. Sci. Eng.}, volume = {19}, number = {6}, year = {2004} }
@article{DBLP:journals/tip/SuHC04, author = {Ming{-}Shing Su and Wen{-}Liang Hwang and Kuo{-}Young Cheng}, title = {Analysis on multiresolution mosaic images}, journal = {{IEEE} Trans. Image Process.}, volume = {13}, number = {7}, pages = {952--959}, year = {2004} }
@inproceedings{DBLP:conf/noms/ShinSK04, author = {Sang{-}Heon Shin and Hae{-}Joon Shin and Young{-}Tak Kim}, title = {Packet lossless fast rerouting scheme with minimized buffer delay}, booktitle = {{NOMS} {(1)}}, pages = {219--231}, publisher = {{IEEE}}, year = {2004} }
@inproceedings{DBLP:conf/wstfeus/HanCAKYLSLYJCLSBLSKK04, author = {Tack{-}Don Han and Cheolho Cheong and Jae{-}Won Ahn and Jong{-}Young Kim and Hyung{-}Min Yoon and Chang{-}Su Lee and Hyon{-}Gu Shin and Young{-}Jin Lee and Hyoung{-}Min Yook and Myoung{-}Hoon Jeon and Jung Soo Choi and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and Eun{-}Dong Shin and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Campus Life}, booktitle = {{WSTFEUS}}, pages = {8--13}, publisher = {{IEEE} Computer Society}, year = {2004} }
@article{DBLP:journals/jfr/ShinCL03, author = {Dong{-}Min Shin and Joon{-}Young Choi and Jin Soo Lee}, title = {A P-type Iterative Learning Controller for Uncertain Robotic Systems with Exponentially Decaying Error Bounds}, journal = {J. Field Robotics}, volume = {20}, number = {2}, pages = {79--91}, year = {2003} }
@inproceedings{DBLP:conf/appinf/LeeCSLYLY03, author = {Hwa{-}Min Lee and Kwang{-}Sik Chung and Sang{-}Chul Shin and Young{-}Jun Lee and TaeMyoung Yoon and Won{-}Gyu Lee and Heon{-}Chang Yu}, title = {A Recovery Technique of Agents in Multi-agent based Fault-tolerant Systems}, booktitle = {Applied Informatics}, pages = {893--898}, publisher = {{IASTED/ACTA} Press}, year = {2003} }
@article{DBLP:journals/infsof/SongWLLHP02, author = {Ju{-}Won Song and Kyu{-}Young Whang and Young{-}Koo Lee and Min{-}Jae Lee and Wook{-}Shin Han and Byung{-}Kwon Park}, title = {The clustering property of corner transformation for spatial database applications}, journal = {Inf. Softw. Technol.}, volume = {44}, number = {7}, pages = {419--429}, year = {2002} }
@inproceedings{DBLP:conf/cdma/ChoiS02, author = {Kwon Hue Choi and Soo Young Kim Shin}, title = {Optimum Power/Rate Allocation for Minimum Delay in {CDMA} Downlink}, booktitle = {{CDMA} International Conference}, series = {Lecture Notes in Computer Science}, volume = {2524}, pages = {294--303}, publisher = {Springer}, year = {2002} }
@inproceedings{DBLP:conf/gmp/SuCC02, author = {Ming{-}Shing Su and Chun{-}Yen Chen and Kuo{-}Young Cheng}, title = {An Automatic Construction of a Person's Face Model from the Person?s Two Orthogonal Views}, booktitle = {{GMP}}, pages = {179--186}, publisher = {{IEEE} Computer Society}, year = {2002} }
@article{DBLP:journals/cbsn/ChoiJKSK01, author = {Young Hee Choi and Dong Pyo Jang and Jeong H. Ku and Min B. Shin and Sun I. Kim}, title = {Short-Term Treatment of Acrophobia with Virtual Reality Therapy {(VRT):} {A} Case Report}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {4}, number = {3}, pages = {349--354}, year = {2001} }
@article{DBLP:journals/cgf/SuKC01, author = {Ming{-}Shing Su and Ming{-}Tat Ko and Kuo{-}Young Cheng}, title = {Control of Feature-point-driven Facial Animation Using a Hypothetical Face}, journal = {Comput. Graph. Forum}, volume = {20}, number = {4}, pages = {179--188}, year = {2001} }
@inproceedings{DBLP:conf/etfa/LeePKSCKLS01, author = {Jae{-}Min Lee and Tae Rim Park and Hyung Seok Kim and Soo Young Shin and Jae Young Choi and Wook Hyun Kwon and Sung Woo Lee and Sung Il Song}, title = {A communication network with high safety, maintainability, and user convenience for digital {I} and {C} systems of nuclear power plants}, booktitle = {{ETFA} {(1)}}, pages = {353--358}, publisher = {{IEEE}}, year = {2001} }
@inproceedings{DBLP:conf/icip/SuHC01, author = {Ming{-}Shing Su and Wen{-}Liang Hwang and Kuo{-}Young Cheng}, title = {Variational calculus approach to multiresolution image mosaic}, booktitle = {{ICIP} {(2)}}, pages = {245--248}, publisher = {{IEEE}}, year = {2001} }
@article{DBLP:journals/cbsn/JangKSCK00, author = {Dong Pyo Jang and Jeong H. Ku and Min B. Shin and Young Hee Choi and Sun I. Kim}, title = {Objective Validation of the Effectiveness of Virtual Reality Psychotherapy}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {3}, number = {3}, pages = {369--374}, year = {2000} }
@article{DBLP:journals/jssc/ShinCPM00, author = {Jongshin Shin and In{-}Young Chung and Young June Park and Hong{-}Shick Min}, title = {A new charge pump without degradation in threshold voltage due to body effect [memory applications]}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {8}, pages = {1227--1230}, year = {2000} }
@article{DBLP:journals/tce/LeeTMK00, author = {Young{-}Min Lee and Byung{-}Chul Tak and Hye{-}Seon Maeng and Shin{-}Dug Kim}, title = {Real-time Java virtual machine for information appliances}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {46}, number = {4}, pages = {949--957}, year = {2000} }
@article{DBLP:journals/tvt/ChoCKS00, author = {Ho{-}Shin Cho and Min Young Chung and Sang Hyuk Kang and Dan Keun Sung}, title = {Performance analysis of cross- and cigar-shaped urban microcells considering user mobility characteristics}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {49}, number = {1}, pages = {105--116}, year = {2000} }
@inproceedings{DBLP:conf/pdpta/LeeTK00, author = {Young{-}Min Lee and Byung{-}Chul Tak and Shin{-}Dug Kim}, title = {Designing a Real-time Java Virtual Machine on Embedded Systems for Web Computing}, booktitle = {{PDPTA}}, publisher = {{CSREA} Press}, year = {2000} }
@inproceedings{DBLP:conf/pg/SuCK00, author = {Ming{-}Shing Su and Kuo{-}Young Cheng and Ming{-}Tat Ko}, title = {Control of Feature-Point-Driven Facial Animation Using a Hypothetical Face}, booktitle = {{PG}}, pages = {359}, publisher = {{IEEE} Computer Society}, year = {2000} }
@article{DBLP:journals/jssc/ShinLKL99, author = {Dong{-}Ho Shin and Young{-}Min Lee and Kyu{-}Hyoun Kim and Kwyro Lee}, title = {Low-power dynamic termination scheme using {NMOS} diode clamping}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {8}, pages = {1171--1175}, year = {1999} }
@article{DBLP:journals/ijprai/KimKKHY98, author = {Jeong{-}Min Kim and Young{-}Sik Kim and Shin{-}Dug Kim and Tack{-}Don Han and Sung{-}Bong Yang}, title = {An Adaptive Parallel Computer Vision System}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {12}, number = {3}, pages = {311--334}, year = {1998} }
@inproceedings{DBLP:conf/icc/ChungKSS97, author = {Min Young Chung and Tai Suk Kim and Ho Shin Sho and Dan Keun Sung}, title = {Modeling of Terminal Mobility to Evaluate the Number of Location Updates}, booktitle = {{ICC} {(3)}}, pages = {1266--1270}, publisher = {{IEEE}}, year = {1997} }
@inproceedings{DBLP:conf/icra/HongKCS97, author = {Keum Shik Hong and Young{-}Min Kim and Chintae Choi and Kitae Shin}, title = {Inverse kinematics of a serial manipulator: kinematic redundancy and two approaches for closed-form solutions}, booktitle = {{ICRA}}, pages = {780--785}, publisher = {{IEEE}}, year = {1997} }
@article{DBLP:journals/tse/LimBJRMPSPMK95, author = {Sung{-}Soo Lim and Young Hyun Bae and Gyu Tae Jang and Byung{-}Do Rhee and Sang Lyul Min and Chang Yun Park and Heonshik Shin and Kunsoo Park and Soo{-}Mook Moon and Chong{-}Sang Kim}, title = {An Accurate Worst Case Timing Analysis for {RISC} Processors}, journal = {{IEEE} Trans. Software Eng.}, volume = {21}, number = {7}, pages = {593--604}, year = {1995} }
@inproceedings{DBLP:conf/rtss/HurBLKRMPSK95, author = {Yerang Hur and Young Hyun Bae and Sung{-}Soo Lim and Sung{-}Kwan Kim and Byung{-}Do Rhee and Sang Lyul Min and Chang Yun Park and Heonshik Shin and Chong{-}Sang Kim}, title = {Worst Case Timing Analysis of {RISC} Processors: {R3000/R3010} Case Study}, booktitle = {{RTSS}}, pages = {308--319}, publisher = {{IEEE} Computer Society}, year = {1995} }
@inproceedings{DBLP:conf/rtss/LimBJRMPSPK94, author = {Sung{-}Soo Lim and Young Hyun Bae and Gyu Tae Jang and Byung{-}Do Rhee and Sang Lyul Min and Chang Yun Park and Heonshik Shin and Kunsoo Park and Chong{-}Sang Kim}, title = {An Accurate Worst Case Timing Analysis Technique for {RISC} Processors}, booktitle = {{RTSS}}, pages = {97--108}, publisher = {{IEEE} Computer Society}, year = {1994} }
@inproceedings{DBLP:conf/rtss/LeeMPBSK93, author = {Minsuk Lee and Sang Lyul Min and Chang Yun Park and Young Hyun Bae and Heonshik Shin and Chong{-}Sang Kim}, title = {A Dual-Mode Instruction Prefetch Scheme for Improved Worst Case and Average Case Program Execution Times}, booktitle = {{RTSS}}, pages = {98--105}, publisher = {{IEEE} Computer Society}, year = {1993} }
@inproceedings{DBLP:conf/mva/LiaoY92, author = {Bin{-}Yih Liao and Ming{-}Shing Young}, title = {Design of a Fuzzy Inspection and Recognition System for Binary Image}, booktitle = {{MVA}}, pages = {309--312}, year = {1992} }
@inproceedings{DBLP:conf/iros/ShinC91, author = {Young June Shin and Myung Jin Chung}, title = {Optimal force distribution by weak point force minimization in cooperating multiple robots}, booktitle = {{IROS}}, pages = {767--772}, publisher = {{IEEE}}, year = {1991} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.