Search dblp for Publications

export results for "toc:db/conf/sbcci/sbcci2018.bht:"

 download as .bib file

@inproceedings{DBLP:conf/sbcci/AbreuSGPRCB18,
  author       = {Brunno Abreu and
                  Gustavo M. Santana and
                  Mateus Grellert and
                  Guilherme Paim and
                  Leandro M. G. Rocha and
                  Eduardo A. C. da Costa and
                  Sergio Bampi},
  title        = {Exploiting Partial Distortion Elimination in the Sum of Absolute Differences
                  for Energy-Efficient {HEVC} Integer Motion Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533241},
  doi          = {10.1109/SBCCI.2018.8533241},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbreuSGPRCB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlmeidaBM18,
  author       = {Roberto B. Almeida and
                  Paulo F. Butzen and
                  Cristina Meinhardt},
  title        = {16NM 6T and 8T {CMOS} {SRAM} Cell Robustness Against Process Variability
                  and Aging Effects},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533253},
  doi          = {10.1109/SBCCI.2018.8533253},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlmeidaBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Anacona-Mosquera18,
  author       = {Oscar Anacona{-}Mosquera and
                  Felipe R. G. Cabral and
                  Renato Coral Sampaio and
                  George Teodoro and
                  Ricardo P. Jacobi and
                  Carlos H. Llanos},
  title        = {Efficient Hardware Implementation of the Fast Hybrid Morphological
                  Reconstruction Algorithm},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533237},
  doi          = {10.1109/SBCCI.2018.8533237},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Anacona-Mosquera18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BarrosSL18,
  author       = {Jeferson Santos Barros and
                  Victor Hugo Schulz and
                  Djones Vinicius Lettnin},
  title        = {An Adaptive Closed-Loop Verification Approach in UVM-SystemC for {AMS}
                  Circuits},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533229},
  doi          = {10.1109/SBCCI.2018.8533229},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BarrosSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BaumgratzFSBT18,
  author       = {Filipe D. Baumgratz and
                  Sandro B. Ferreira and
                  Michiel Steyaert and
                  Sergio Bampi and
                  Filip Tavernier},
  title        = {A Charge-Sharing Bandpass Filter Topology with Boosted Q-Factor in
                  40-NM {CMOS}},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533233},
  doi          = {10.1109/SBCCI.2018.8533233},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BaumgratzFSBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BenevenutiLPKR18,
  author       = {Fabio Benevenuti and
                  Fabiano Libano and
                  Vincent Pouget and
                  Fernanda Lima Kastensmidt and
                  Paolo Rech},
  title        = {Comparative Analysis of Inference Errors in a Neural Network Implemented
                  in SRAM-Based {FPGA} Induced by Neutron Irradiation and Fault Injection
                  Methods},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533235},
  doi          = {10.1109/SBCCI.2018.8533235},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BenevenutiLPKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BetempsPZ18,
  author       = {Carlos Michel Betemps and
                  Maur{\'{\i}}cio Lima Pilla and
                  Bruno Zatt},
  title        = {Hybrid Memory Cube in Embedded Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533260},
  doi          = {10.1109/SBCCI.2018.8533260},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BetempsPZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BraatzPAZP18,
  author       = {Luciano A. Braatz and
                  Daniel Palomino and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {Low-Power {HEVC} 1-D {IDCT} Hardware Architecture},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533236},
  doi          = {10.1109/SBCCI.2018.8533236},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BraatzPAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CantaliceBMC18,
  author       = {Rafael Cantalice and
                  Daniel Barcelos and
                  Fabricio Mattos and
                  Fernando Paix{\~{a}}o Cortes},
  title        = {A Differential Low Power Wake-Up Circuit Based on Systematic Offset
                  for {RFID} Applications},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533227},
  doi          = {10.1109/SBCCI.2018.8533227},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CantaliceBMC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaLL18,
  author       = {Weslley N. Costa and
                  Lucas P. Lima and
                  Ot{\'{a}}vio Alc{\^{a}}ntara de Lima Jr.},
  title        = {Extracting Packet Dependence from NoC Simulation Traces Using Association
                  Rule Mining},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533244},
  doi          = {10.1109/SBCCI.2018.8533244},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DiasB18,
  author       = {Cesar de S. Dias and
                  Paulo F. Butzen},
  title        = {A Novel {SPICE} Model of Memristive Devices with Threshold Current
                  Based Control},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533256},
  doi          = {10.1109/SBCCI.2018.8533256},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DiasB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DominguesHA18,
  author       = {Anderson R. P. Domingues and
                  Jean Carlo Hamerski and
                  Alexandre M. Amory},
  title        = {Broker Fault Recovery for a Multiprocessor System-an-Chip Middleware},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533254},
  doi          = {10.1109/SBCCI.2018.8533254},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DominguesHA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FabreGPNFL18,
  author       = {Sheiny Fabre and
                  Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and
                  La{\'{e}}rcio Lima Pilla and
                  Renan Netto and
                  Tiago Fontana and
                  Vinicius S. Livramento},
  title        = {Enhancing Multi-Threaded Legalization Through k-d Tree Circuit Partitioning},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533264},
  doi          = {10.1109/SBCCI.2018.8533264},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FabreGPNFL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FerreiraSMPLC18,
  author       = {Pietro Maris Ferreira and
                  Martin Schaeffer and
                  Adel Mezaour and
                  Olivier Petit and
                  Caroline Lelandais{-}Perrault and
                  Gerald Charbonnier},
  title        = {A -40 to 250{\textdegree}C Triple Modular Redundancy Temperature Sensor
                  for Turbofan Engines},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533226},
  doi          = {10.1109/SBCCI.2018.8533226},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FerreiraSMPLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FierroS18,
  author       = {German Fierro and
                  Fernando Silveira},
  title        = {Ultra Low Power Tunable Filter for a Low Phase Shift on Electrocardiogram
                  QRS-Complex Acquisition},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533224},
  doi          = {10.1109/SBCCI.2018.8533224},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FierroS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FochiCSM18,
  author       = {Vinicius Fochi and
                  Luciano L. Caimi and
                  Marcelo H. da Silva and
                  Fernando Gehm Moraes},
  title        = {Fault-Tolerance at the Management Level in Many-Core Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533249},
  doi          = {10.1109/SBCCI.2018.8533249},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FochiCSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FontanaPAPVMFD18,
  author       = {Andr{\'{e}}s Fontana and
                  Sebasti{\'{a}}n Mat{\'{\i}}as Pazos and
                  Fernando L. Aguirre and
                  Felix Palumbo and
                  Nahuel Vega and
                  Nahuel A. M{\"{u}}ller and
                  Emanuel de la Fourniere and
                  Mario Debray},
  title        = {Heavy Ion Microbeam Experimental Study of {ASET} on a Full-Custom
                  {CMOS} OpAmp},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533232},
  doi          = {10.1109/SBCCI.2018.8533232},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FontanaPAPVMFD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FormigoniNN18,
  author       = {Ruan Evangelista Formigoni and
                  Omar P. Vilela Neto and
                  Jos{\'{e}} Augusto Miranda Nacif},
  title        = {{BANCS:} Bidirectional Alternating Nanomagnetic Clocking Scheme},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533251},
  doi          = {10.1109/SBCCI.2018.8533251},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/FormigoniNN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FortesSG18,
  author       = {Anderson Fortes and
                  Luiz A. da Silva and
                  Alessandro Girardi},
  title        = {Low Power Bulk-Driven {OTA} Design Optimization Using Cuckoo Search
                  Algorithm},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533225},
  doi          = {10.1109/SBCCI.2018.8533225},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FortesSG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GalvaoMCCM18,
  author       = {Lucas C. Galvao and
                  Candice M{\"{u}}ller and
                  Maria Cristina Felippetto de Castro and
                  Fernando C{\'{e}}sar Comparsi de Castro and
                  Kayol Soares Mayer},
  title        = {Bandwidth Efficient Gaussian Minimum Frequency-Shift Keying Approach
                  for Software Defined Radio},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533245},
  doi          = {10.1109/SBCCI.2018.8533245},
  timestamp    = {Mon, 10 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GalvaoMCCM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoebelAAZP18,
  author       = {Jones Goebel and
                  Lucas Barreiro Agostini and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {High Throughput Multiplierless Architecture for {VP9} Fractional Motion
                  Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533255},
  doi          = {10.1109/SBCCI.2018.8533255},
  timestamp    = {Sat, 11 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoebelAAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HamerskiAROA18,
  author       = {Jean Carlo Hamerski and
                  Geancarlo Abich and
                  Ricardo Reis and
                  Luciano Ost and
                  Alexandre M. Amory},
  title        = {A Design Patterns-Based Middleware for Multiprocessor Systems-on-Chip},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533250},
  doi          = {10.1109/SBCCI.2018.8533250},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HamerskiAROA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/IshimaruFOMB18,
  author       = {Pedro J. A. Ishimaru and
                  Antonyus P. A. Ferreira and
                  Vanessa O. Ogg and
                  Cecil Accetti R. de A. Melo and
                  Edna Natividade da Silva Barros},
  title        = {An FPGA-Based {RFID} Baseband Processor Using a {RISC-V} Platform},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533242},
  doi          = {10.1109/SBCCI.2018.8533242},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/IshimaruFOMB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/JordanKR18,
  author       = {Michael Guilherme Jordan and
                  Tiago Knorst and
                  Mateus Beck Rutzig},
  title        = {Improving Software Productivity and Performance Through a Transparent
                  {SIMD} Execution},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533261},
  doi          = {10.1109/SBCCI.2018.8533261},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/JordanKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KuentzerJMA18,
  author       = {Felipe A. Kuentzer and
                  Leonardo Rezende Juracy and
                  Matheus T. Moreira and
                  Alexandre M. Amory},
  title        = {Testable Error Detection Logic Design Applied to an Asynchronous Timing
                  Resilient Template},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533263},
  doi          = {10.1109/SBCCI.2018.8533263},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/KuentzerJMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsSN18,
  author       = {Tarciso A. Martins and
                  Julio Saldana and
                  Wilhelmus A. M. Van Noije},
  title        = {A Programmable Gain Amplifier for Load Demodulation Channel in an
                  {NFC} Reader Chip},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533230},
  doi          = {10.1109/SBCCI.2018.8533230},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsSN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MedeirosBRO18,
  author       = {Guilherme E. Medeiros and
                  Felipe T. Bortolon and
                  Ricardo Reis and
                  Luciano Ost},
  title        = {Evaluation of Compiler Optimization Flags Effects on Soft Error Resiliency},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533246},
  doi          = {10.1109/SBCCI.2018.8533246},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MedeirosBRO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoraesGP18,
  author       = {Robson F. de Moraes and
                  Juraci F. Galdino and
                  Ernesto L. Pinto},
  title        = {Energy Aware Demodulation Implementation with Fixed Point Adaptive
                  Precision for {OFDM} Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533259},
  doi          = {10.1109/SBCCI.2018.8533259},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoraesGP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoretoTG18,
  author       = {Rodrigo Alves De Lima Moreto and
                  Carlos Eduardo Thomaz and
                  Salvador Pinillos Gimenez},
  title        = {Automatic Optimization of Robust Analog {CMOS} ICs: An Interactive
                  Genetic Algorithm Driven by Human Knowledge},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533268},
  doi          = {10.1109/SBCCI.2018.8533268},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoretoTG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NetoPMMRR18,
  author       = {Walter Lau Neto and
                  Vinicius N. Possani and
                  Felipe S. Marranghello and
                  Jody Maick Matos and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Exact Multi-Level Benchmark Circuit Generation for Logic Synthesis
                  Evaluation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533248},
  doi          = {10.1109/SBCCI.2018.8533248},
  timestamp    = {Thu, 25 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/NetoPMMRR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NunesO18,
  author       = {Rafael O. Nunes and
                  R. L. de Orio},
  title        = {Operational Amplifier Performance Degradation and Time-to-Failure
                  due to Electromigration},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533265},
  doi          = {10.1109/SBCCI.2018.8533265},
  timestamp    = {Tue, 28 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NunesO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraMSM18,
  author       = {Bruno Scherer Oliveira and
                  Henrique Martins Medina and
                  Anderson C. Sant'Ana and
                  Fernando Gehm Moraes},
  title        = {Secure Environment Architecture for MPSoCs},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533238},
  doi          = {10.1109/SBCCI.2018.8533238},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraMSM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PerlebergACSAZP18,
  author       = {Murilo R. Perleberg and
                  Vladimir Afonso and
                  Ruhan A. Concei{\c{c}}{\~{a}}o and
                  Altamiro Amadeu Susin and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {A Power-Efficient and High-Throughput Hardware Design for 3D-HEVC
                  Disparity Estimation},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533257},
  doi          = {10.1109/SBCCI.2018.8533257},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PerlebergACSAZP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PertuzLPM18,
  author       = {Sergio A. Pertuz and
                  Carlos H. Llanos and
                  Cesar A. Pena and
                  Daniel M. Mu{\~{n}}oz},
  title        = {A Modular and Distributed Impedance Control Architecture on a Chip
                  for a Robotic Hand},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533266},
  doi          = {10.1109/SBCCI.2018.8533266},
  timestamp    = {Sat, 28 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PertuzLPM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RahmeierCGG18,
  author       = {Jo{\~{a}}o G. Nizer Rahmeier and
                  Eduardo A. C. da Costa and
                  Alessandro Girardi and
                  Sidinei Ghissoni},
  title        = {Optimization of Single-Stage {FFT} Architectures Using Multiple Constant
                  Multiplication},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533258},
  doi          = {10.1109/SBCCI.2018.8533258},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RahmeierCGG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RamirezF18,
  author       = {Jose L. Ramirez and
                  Fabiano Fruett},
  title        = {Multi-Terminal PiezoMOSFET Sensor for Stress Measurements in Silicon},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533243},
  doi          = {10.1109/SBCCI.2018.8533243},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RamirezF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RibeiroCCN18,
  author       = {Marco A. Ribeiro and
                  Iago A. Carvalho and
                  Jeferson F. Chaves and
                  Omar P. Vilela Neto},
  title        = {Improving Energy Efficiency on Partially Reversible Pipelined {QCA}
                  Circuits},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533240},
  doi          = {10.1109/SBCCI.2018.8533240},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RibeiroCCN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Rodovalho18,
  author       = {Luis Henrique Rodovalho},
  title        = {Push-Pull Based Operational Transconductor Amplifier Topologies for
                  Ultra Low Voltage Supplies},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533223},
  doi          = {10.1109/SBCCI.2018.8533223},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Rodovalho18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezASM18,
  author       = {Gustavo Sanchez and
                  Luciano Agostini and
                  Leonel Sousa and
                  C{\'{e}}sar A. M. Marcon},
  title        = {3D-HEVC {DMM-1} Parallelism Exploration Targeting Multicore Systems},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533252},
  doi          = {10.1109/SBCCI.2018.8533252},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezASM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezSAM18,
  author       = {Gustavo Sanchez and
                  M{\'{a}}rio Saldanha and
                  Luciano Volcan Agostini and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Hardware-Oriented Wedgelet Evaluation Skip for {DMM-1} in 3D-HEVC},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533231},
  doi          = {10.1109/SBCCI.2018.8533231},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezSAM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaL18,
  author       = {Leandro Dias da Silva and
                  Eduardo Gon{\c{c}}alves de Lima},
  title        = {A Novel Limiter with Application in Crest Factor Reduction Techniques
                  for Wireless Communications},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533234},
  doi          = {10.1109/SBCCI.2018.8533234},
  timestamp    = {Wed, 19 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaMANMLB18,
  author       = {Thiago Werlley B. Silva and
                  Daniel C. Morais and
                  Halamo G. R. Andrade and
                  Felipe C. A. Nunes and
                  Elmar Uwe Kurt Melcher and
                  Antonio Marcus Nogueira de Lima and
                  Alisson V. Brito},
  title        = {A Distributed Functional Verification Environment for the Design of
                  System-on-Chip in Heterogeneous Architectures},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {4849--4854},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533247},
  doi          = {10.1109/SBCCI.2018.8533247},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaMANMLB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TaruiSSLM18,
  author       = {Bruno Tarui and
                  F{\'{a}}vero Guilherme Santos and
                  Edson Leonardo dos Santos and
                  Bernardo Leite and
                  Andr{\'{e}} Augusto Mariano},
  title        = {Design of an {RF} Six-Mode {CMOS} Power Amplifier for Efficiency Improvement
                  at Power Backoff},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533222},
  doi          = {10.1109/SBCCI.2018.8533222},
  timestamp    = {Tue, 16 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TaruiSSLM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/UckerAASZPA18,
  author       = {Mariana Ucker and
                  Vladimir Afonso and
                  Luan Audibert and
                  Altamiro Amadeu Susin and
                  Bruno Zatt and
                  Marcelo Schiavon Porto and
                  Luciano Volcan Agostini},
  title        = {Low-Power and High-Throughput Architecture for 3D-HEVC Depth Modeling
                  Mode 4},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533262},
  doi          = {10.1109/SBCCI.2018.8533262},
  timestamp    = {Tue, 20 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/UckerAASZPA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WeberMOC18,
  author       = {Ia{\c{c}}an{\~{a}} I. Weber and
                  Fernando Gehm Moraes and
                  Leonardo L. de Oliveira and
                  Everton Alceu Carara},
  title        = {Exploring Asynchronous End-to-End Communication Through a Synchronous
                  NoC},
  booktitle    = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBCCI.2018.8533228},
  doi          = {10.1109/SBCCI.2018.8533228},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/WeberMOC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2018,
  title        = {31st Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2018, Bento Gon{\c{c}}alves, RS, Brazil, August 27-31, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8513831/proceeding},
  isbn         = {978-1-5386-7431-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics