Search dblp for Publications

export results for "toc:db/conf/sbcci/sbcci2012.bht:"

 download as .bib file

@inproceedings{DBLP:conf/sbcci/AbbasYORS12,
  author       = {Zia Abbas and
                  Marat Yakupov and
                  Mauro Olivieri and
                  Andreas Ripp and
                  Gunter Strube},
  title        = {Yield optimization for low power current controlled current conveyor},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344426},
  doi          = {10.1109/SBCCI.2012.6344426},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AbbasYORS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlhussienBVGS12,
  author       = {Abdulaziz Alhussien and
                  Nader Bagherzadeh and
                  Freek Verbeek and
                  Bernard van Gastel and
                  Julien Schmaltz},
  title        = {A formally verified deadlock-free routing function in a fault-tolerant
                  NoC architecture},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344433},
  doi          = {10.1109/SBCCI.2012.6344433},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlhussienBVGS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BatistaAS12,
  author       = {Ygo N. Batista and
                  Cristiano C. de Ara{\'{u}}jo and
                  Abel G. Silva{-}Filho},
  title        = {{FPGA} design for real time flaw detection on edges using the LEDges
                  technique},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344420},
  doi          = {10.1109/SBCCI.2012.6344420},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BatistaAS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BhattiMPWBC12,
  author       = {Zubair Wadood Bhatti and
                  Narasinga Rao Miniskar and
                  Davy Preuveneers and
                  Roel Wuyts and
                  Yolande Berbers and
                  Francky Catthoor},
  title        = {Memory and communication driven spatio-temporal scheduling on MPSoCs},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344423},
  doi          = {10.1109/SBCCI.2012.6344423},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BhattiMPWBC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BispoCM12,
  author       = {Jo{\~{a}}o Bispo and
                  Jo{\~{a}}o M. P. Cardoso and
                  Jos{\'{e}} Monteiro},
  title        = {Hardware pipelining of runtime-detected loops},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344443},
  doi          = {10.1109/SBCCI.2012.6344443},
  timestamp    = {Wed, 23 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/BispoCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Camacho-GaleanoOB12,
  author       = {Edgar Mauricio Camacho{-}Galeano and
                  Alfredo Olmos and
                  Andre Vilas Boas},
  title        = {A very low power area efficient {CMOS} only bandgap reference},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344437},
  doi          = {10.1109/SBCCI.2012.6344437},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Camacho-GaleanoOB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CardosoBPA12,
  author       = {Thiago N. C. Cardoso and
                  Edna Barros and
                  Bruno O. Prado and
                  Andre Aziz},
  title        = {Communication software synthesis from {UML-ESL} models},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344449},
  doi          = {10.1109/SBCCI.2012.6344449},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CardosoBPA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CostaLN12,
  author       = {Yuri Gonzaga Gon{\c{c}}alves da Costa and
                  Jos{\'{e}} Ant{\^{o}}nio Gomes de Lima and
                  Guilherme Navarro},
  title        = {A low complexity lossless data compressor IP-core for satellite images},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344431},
  doi          = {10.1109/SBCCI.2012.6344431},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CostaLN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CruzMM12,
  author       = {Carlos Augusto de Moraes Cruz and
                  Israel L. Marinho and
                  Davies William de Lima Monteiro},
  title        = {Extended use of pseudo-flash reset technique for an active pixel with
                  logarithmic compressed response},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344425},
  doi          = {10.1109/SBCCI.2012.6344425},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CruzMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CubasS12,
  author       = {Heiner Alarcon Cubas and
                  Joao Navarro Soares Jr.},
  title        = {Top-down design for Low power Multi-bit Sigma-Delta Modulator},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344448},
  doi          = {10.1109/SBCCI.2012.6344448},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/CubasS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DallasenWB12,
  author       = {Ricardo Vanni Dallasen and
                  Gilson In{\'{a}}cio Wirth and
                  Thiago Hanna Both},
  title        = {A {PLL} for clock generation with automatic frequency control under
                  {TID} effects},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344441},
  doi          = {10.1109/SBCCI.2012.6344441},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DallasenWB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DreanDKMB12,
  author       = {Sophie Drean and
                  Nathalie Deltimple and
                  Eric Kerherve and
                  Baudouin Martineau and
                  Didier Belot},
  title        = {A 65nm {CMOS} 60 GHz class {F-E} power amplifier for {WPAN} applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344451},
  doi          = {10.1109/SBCCI.2012.6344451},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/DreanDKMB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GhidiniWMQFM12,
  author       = {Yan Ghidini and
                  Thais Webber and
                  Edson I. Moreno and
                  Ivan Quadros and
                  Rubem Dutra Ribeiro Fagundes and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Topological impact on latency and throughput: 2D versus 3D NoC comparison},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344439},
  doi          = {10.1109/SBCCI.2012.6344439},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/GhidiniWMQFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HernandezSN12,
  author       = {Hugo Daniel Hern{\'{a}}ndez and
                  Jonathan Scott and
                  Wilhelmus A. M. Van Noije},
  title        = {{DPA} insensitive voltage regulator for contact smart cards},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344432},
  doi          = {10.1109/SBCCI.2012.6344432},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HernandezSN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HuangCZY12,
  author       = {Bo Huang and
                  Song Chen and
                  Wei Zhong and
                  Takeshi Yoshimura},
  title        = {Application-Specific Network-on-Chip synthesis with topology-aware
                  floorplanning},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344421},
  doi          = {10.1109/SBCCI.2012.6344421},
  timestamp    = {Thu, 30 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HuangCZY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MalikH12,
  author       = {Omer Malik and
                  Ahmed Hemani},
  title        = {A pragma based approach for mapping {MATLAB} applications on a coarse
                  grained reconfigurable architecture},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344445},
  doi          = {10.1109/SBCCI.2012.6344445},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MalikH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarranghelloRR12,
  author       = {Felipe S. Marranghello and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {Design-oriented delay model for {CMOS} inverter},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344424},
  doi          = {10.1109/SBCCI.2012.6344424},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarranghelloRR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MartinsAF12,
  author       = {Everson Martins and
                  Matheus A. Alejandro and
                  Thais V. Fogaca},
  title        = {Differential mixer with {NMOS/PMOS} stack at switching stage},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344440},
  doi          = {10.1109/SBCCI.2012.6344440},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MartinsAF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MeloS12,
  author       = {Cecil Accetti R. de A. Melo and
                  Ricardo E. de Souza},
  title        = {FPGA-based digital direct-conversion transceiver for Nuclear Magnetic
                  Resonance Systems},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344427},
  doi          = {10.1109/SBCCI.2012.6344427},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MeloS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraGC12,
  author       = {Matheus T. Moreira and
                  Ricardo A. Guazzelli and
                  Ney Laert Vilar Calazans},
  title        = {Return-to-one protocol for reducing static power in C-elements of
                  {QDI} circuits employing m-of-n codes},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344444},
  doi          = {10.1109/SBCCI.2012.6344444},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraGC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoriLB12,
  author       = {Jones Yudi Mori and
                  Carlos H. Llanos and
                  Pedro A. Berger},
  title        = {Kernel analysis for architecture design trade off in convolution-based
                  image filtering},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344453},
  doi          = {10.1109/SBCCI.2012.6344453},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoriLB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoNSD12,
  author       = {Paulo S{\'{e}}rgio B. do Nascimento and
                  Francisco A. S. Neves and
                  Helber E. P. de Souza and
                  Marco A. O. Domingues},
  title        = {{FPGA} design methodology for {DSP} industrial applications - {A}
                  case study of a three-phase positive-sequence detector},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344430},
  doi          = {10.1109/SBCCI.2012.6344430},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoNSD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NiakiBAVS12,
  author       = {Seyed{-}Hosein Attarzadeh{-}Niaki and
                  Gilmar S. Beserra and
                  Nikolaj Andersen and
                  Mathias Verdon and
                  Ingo Sander},
  title        = {Heterogeneous system-level modeling for small and medium enterprises},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344450},
  doi          = {10.1109/SBCCI.2012.6344450},
  timestamp    = {Fri, 03 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NiakiBAVS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraHGP12,
  author       = {Fernanda D. V. R. Oliveira and
                  Hugo de Lemos Haas and
                  Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and
                  Antonio Petraglia},
  title        = {Current-mode analog integrated circuit for focal-plane image compression},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344438},
  doi          = {10.1109/SBCCI.2012.6344438},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraHGP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PimentelB12,
  author       = {Henrique Luiz Andrade Pimentel and
                  Sergio Bampi},
  title        = {A 50MHz-lGHz wideband low noise amplifier in 130nm {CMOS} technology},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344428},
  doi          = {10.1109/SBCCI.2012.6344428},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/PimentelB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PossaniMRCRR12,
  author       = {Vinicius N. Possani and
                  Felipe S. Marques and
                  Leomar S. da Rosa Jr. and
                  Vinicius Callegaro and
                  Andr{\'{e}} In{\'{a}}cio Reis and
                  Renato P. Ribas},
  title        = {{NSP} kernel finder - {A} methodology to find and to build non-series-parallel
                  transistor arrangements},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344452},
  doi          = {10.1109/SBCCI.2012.6344452},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PossaniMRCRR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RediessACDP12,
  author       = {Fabiane Rediess and
                  Luciano Volcan Agostini and
                  Cassio Cristani and
                  Pargles Dall'Oglio and
                  Marcelo Schiavon Porto},
  title        = {High throughput hardware design for the Adaptive Loop Filter of the
                  emerging {HEVC} video coding},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344446},
  doi          = {10.1109/SBCCI.2012.6344446},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/RediessACDP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RosaLCM12,
  author       = {Thiago R. da Rosa and
                  Vivian Larrea and
                  Ney Calazans and
                  Fernando Gehm Moraes},
  title        = {Power consumption reduction in MPSoCs through {DFS}},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344429},
  doi          = {10.1109/SBCCI.2012.6344429},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RosaLCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SamantaRD12,
  author       = {Tuhina Samanta and
                  Hafizur Rahaman and
                  Parthasarathi Dasgupta},
  title        = {Partitioning-based wirelength estimation technique for Y-routing},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344436},
  doi          = {10.1109/SBCCI.2012.6344436},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SamantaRD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SampaioBJ12,
  author       = {Renato Coral Sampaio and
                  Pedro de Azevedo Berger and
                  Ricardo Pezzuol Jacobi},
  title        = {Hardware and software co-design for the {AAC} audio decoder},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344447},
  doi          = {10.1109/SBCCI.2012.6344447},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SampaioBJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SantosRGBD12,
  author       = {Cristiano Santos and
                  Ricardo Reis and
                  Guilherme Godoi and
                  Marcos Barros and
                  Fabio Duarte},
  title        = {Multi-bit flip-flop usage impact on physical synthesis},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344435},
  doi          = {10.1109/SBCCI.2012.6344435},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SantosRGBD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SepulvedaGPCS12,
  author       = {Johanna Sep{\'{u}}lveda and
                  Guy Gogniat and
                  Ricardo Pires and
                  Jiang Chau Wang and
                  Marius Strum},
  title        = {Hybrid-on-chip communication architecture for dynamic MP-SoC protection},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344419},
  doi          = {10.1109/SBCCI.2012.6344419},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SepulvedaGPCS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SolderaBO12,
  author       = {Jefferson B. D. Soldera and
                  Michael Todd Berens and
                  Alfredo Olmos},
  title        = {A temperature compensated {CMOS} relaxation oscillator for low power
                  applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344442},
  doi          = {10.1109/SBCCI.2012.6344442},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SolderaBO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SolderaSPHHPVAT12,
  author       = {Jefferson Daniel de Barros Soldera and
                  Julio Cesar Saldana and
                  Cesar Giacomini Penteado and
                  Hugo Daniel Hern{\'{a}}ndez and
                  Raul Acosta Hernandez and
                  Fernando Chavez Porras and
                  Marcos A. Valerio and
                  Angelica dos Anjos and
                  Paulo H. Trevisan},
  title        = {On-chip 4to20mA reconfigurable current loop transmitter for smart
                  sensor applications},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344434},
  doi          = {10.1109/SBCCI.2012.6344434},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SolderaSPHHPVAT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TorresB12,
  author       = {Frank Sill Torres and
                  Rodrigo Possamai Bastos},
  title        = {Robust modular Bulk Built-in Current Sensors for detection of transient
                  faults},
  booktitle    = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SBCCI.2012.6344422},
  doi          = {10.1109/SBCCI.2012.6344422},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TorresB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2012,
  title        = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI}
                  2012, Brasilia, Brazil, August 30 - September 2, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6337025/proceeding},
  isbn         = {978-1-4673-2606-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/2012.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics