Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/mwscas/mwscas2014.bht:"
@inproceedings{DBLP:conf/mwscas/0002DZ14, author = {Jiajia Chen and Weiao Ding and Juan Helen Zhou}, title = {Design of hardware efficient modulated filter bank for {EEG} signals feature extraction}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {793--796}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908534}, doi = {10.1109/MWSCAS.2014.6908534}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/0002DZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/0004B14, author = {Xiao Li and Robert S. Balog}, title = {Analysis and comparison of two active anti-islanding detection methods}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {443--446}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908447}, doi = {10.1109/MWSCAS.2014.6908447}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/0004B14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/0006M14, author = {Fan Yang and Philip K. T. Mok}, title = {Switch-less adaptive feed-forward supply noise cancellation technique for capacitor-less {LDR}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {777--780}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908530}, doi = {10.1109/MWSCAS.2014.6908530}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/0006M14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/0023XSS14, author = {Jie Wu and Jinjun Xiong and Prasenjit Shil and Yiyu Shi}, title = {Optimal {PMU} placement for identification of multiple power line outages in smart grids}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {354--357}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908425}, doi = {10.1109/MWSCAS.2014.6908425}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/0023XSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AbdelwahabA14, author = {Mohamed A. Abdelwahab and Moataz M. Abdelwahab}, title = {Human action recognition based on two-view optical flow in the transformed domain}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {805--808}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908537}, doi = {10.1109/MWSCAS.2014.6908537}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AbdelwahabA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AgarwalP14, author = {Somanshu Agarwal and Vijaya Sankara Rao Pasupureddi}, title = {A 5-Gb/s adaptive {CTLE} with eye-monitoring for multi-drop bus applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {410--413}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908439}, doi = {10.1109/MWSCAS.2014.6908439}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AgarwalP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Aguilar-LoboGLO14, author = {Lina M. Aguilar{-}Lobo and Alberto Garcia{-}Osorio and Jos{\'{e}} Ra{\'{u}}l Loo{-}Yau and Susana Ortega{-}Cisneros and Pablo Moreno and Jos{\'{e}} Ernesto Rayas{-}S{\'{a}}nchez and J. Apolinar Reynoso{-}Hern{\'{a}}ndez}, title = {A digital predistortion technique based on a {NARX} network to linearize GaN class {F} power amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {717--720}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908515}, doi = {10.1109/MWSCAS.2014.6908515}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Aguilar-LoboGLO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AhmadiTBM14, author = {Peyman Ahmadi and Mohammad Hossein Taghavi and Leonid Belostotski and Arjuna Madanayake}, title = {6-GHz all-pass-filter-based delay-and-sum beamformer in 130nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {837--840}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908545}, doi = {10.1109/MWSCAS.2014.6908545}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AhmadiTBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Akhtar14, author = {Muhammad Tahir Akhtar}, title = {Binormalized data-reusing filtered-reference algorithm for impulsive active noise control}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {691--694}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908509}, doi = {10.1109/MWSCAS.2014.6908509}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Akhtar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Al-MuraebA14, author = {Ahmed M. Al{-}Muraeb and Hoda S. Abdel{-}Aty{-}Zohdy}, title = {Dual mode Digital Pulse Modulation {(PWM} {\&} {PFM)} generator {ASIC} for Laser Electro-Optic Q-switching application}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {901--904}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908561}, doi = {10.1109/MWSCAS.2014.6908561}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Al-MuraebA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AlagappanAS14, author = {Arunvenkatesh Alagappan and Sergio Soto Aguilar and Edgar S{\'{a}}nchez{-}Sinencio}, title = {Reduced clock harmonic distortion technique in maximum tunable switched-R-MOSFET-C filters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1037--1040}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908595}, doi = {10.1109/MWSCAS.2014.6908595}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AlagappanAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AldhahabAM14, author = {Ahmed Aldhahab and George K. Atia and Wasfy B. Mikhael}, title = {Supervised facial recognition based on multi-resolution analysis and feature alignment}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {137--140}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908371}, doi = {10.1109/MWSCAS.2014.6908371}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AldhahabAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AliGCF14, author = {Warsame H. Ali and Mamatha Gowda and Penrose Cofie and John Fuller}, title = {Design of a speed controller using Extended Kalman Filter for {PMSM}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1101--1104}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908611}, doi = {10.1109/MWSCAS.2014.6908611}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AliGCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AliRHHH14, author = {Ehsan Ali and Wenceslas Rahajandraibe and Fayrouz Haddad and Christian Hangmann and Christian Hedayat}, title = {Simulations of 3\({}^{\mbox{rd}}\) order voltage switched {CP-PLL} using a fast event switching macromodeling}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {491--494}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908459}, doi = {10.1109/MWSCAS.2014.6908459}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AliRHHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AliW14, author = {M. Tanseer Ali and Ruiheng Wu}, title = {Design of {RF} amplifier with enhanced performance}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {575--578}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908480}, doi = {10.1109/MWSCAS.2014.6908480}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AliW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AlmalkawiCA14, author = {Mohammad J. Almalkawi and Lee W. Cross and Khair A. Alshamaileh}, title = {A transmission line circuit-oriented approach for miniaturization of a log-periodic dipole array {(LPDA)} antenna}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {73--76}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908355}, doi = {10.1109/MWSCAS.2014.6908355}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AlmalkawiCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AltetARGGOSMPAS14, author = {Josep Altet and Eduardo Aldrete{-}Vidrio and Ferran Reverter and Didac G{\'{o}}mez and Jos{\'{e}} Luis Gonz{\'{a}}lez and Marvin Onabajo and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and B. Martineau and X. Perpi{\~{n}}{\`{a}} and Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Xavier Aragon{\`{e}}s and Xavier Jord{\`{a}} and Miquel Vellveh{\'{\i}} and Stefan Dilhaire and Salvador Mir and Diego Mateo}, title = {Review of temperature sensors as monitors for {RF-MMW} built-in testing and self-calibration schemes}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1081--1084}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908606}, doi = {10.1109/MWSCAS.2014.6908606}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AltetARGGOSMPAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AltolaguirreK14, author = {Federico A. Altolaguirre and Ming{-}Dou Ker}, title = {Power-rail {ESD} clamp circuit with embedded-trigger {SCR} device in a 65-nm {CMOS} process}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {250--253}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908399}, doi = {10.1109/MWSCAS.2014.6908399}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AltolaguirreK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Amanor-BoaduACR14, author = {Judy M. Amanor{-}Boadu and Mohamed A. Abouzied and Salvador Carreon{-}Bautista and Roland Ribeiro and Xiaosen Liu and Edgar S{\'{a}}nchez{-}Sinencio}, title = {A switched mode Li-ion battery charger with multiple energy harvesting systems simultaneously used as input sources}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {330--333}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908419}, doi = {10.1109/MWSCAS.2014.6908419}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Amanor-BoaduACR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AnDHAHR14, author = {Guanglei An and Kanishka De and Cheng Hao and Rehan Ahmed and Chriswell Hutchens and Robert L. Rennaker}, title = {An analog front-end circuit with spike detection for implantable neural recording system design}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {881--884}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908556}, doi = {10.1109/MWSCAS.2014.6908556}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/AnDHAHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/AyachitK14, author = {Agasthya Ayachit and Marian K. Kazimierczuk}, title = {Power losses and efficiency analysis of the quadratic buck converter in {CCM}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {463--466}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908452}, doi = {10.1109/MWSCAS.2014.6908452}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/AyachitK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BaezJ14, author = {J. R. Garcia Baez and Gordana Jovanovic{-}Dolecek}, title = {Applications of corrector filters to improve magnitude response of comb decimation filter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {699--702}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908511}, doi = {10.1109/MWSCAS.2014.6908511}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BaezJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BahenaJ14, author = {Erika Y. Teran Bahena and Gordana Jovanovic{-}Dolecek}, title = {Zero-rotation-based nonrecursive comb structure}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {797--800}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908535}, doi = {10.1109/MWSCAS.2014.6908535}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BahenaJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BaiG14, author = {Rui Bai and Randall L. Geiger}, title = {Effects of non-ideal characteristics of substrate {BJT} on bandgap reference circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {471--474}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908454}, doi = {10.1109/MWSCAS.2014.6908454}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BaiG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BartraRAV14, author = {Walter E. Calienes Bartra and Ricardo Augusto da Luz Reis and Costin Anghel and Andrei Vladimirescu}, title = {Bulk and {FDSOI} {SRAM} resiliency to radiation effects}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {655--658}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908500}, doi = {10.1109/MWSCAS.2014.6908500}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BartraRAV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BashairehL14, author = {Ahmad Bashaireh and Peng Li}, title = {Design robustness analysis of digital spiking neural circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {737--740}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908520}, doi = {10.1109/MWSCAS.2014.6908520}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BashairehL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BellasiBBHBS14, author = {David E. Bellasi and Luca Bettini and Thomas Burger and Qiuting Huang and Christian Benkeser and Christoph Studer}, title = {A 1.9 GS/s 4-bit sub-Nyquist flash {ADC} for 3.8 GHz compressive spectrum sensing in 28 nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {101--104}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908362}, doi = {10.1109/MWSCAS.2014.6908362}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BellasiBBHBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BeriainSBMSPG14, author = {Andoni Beriain and H{\'{e}}ctor Solar and Roc Berenguer and Juan A. Montiel{-}Nelson and Javier Sosa and Rub{\'{e}}n Pulido and Santiago Garc{\'{\i}}a{-}Alonso}, title = {A very low power 7.9 bit {MEMS} pressure sensor suitable for batteryless {RFID} applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {378--381}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908431}, doi = {10.1109/MWSCAS.2014.6908431}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BeriainSBMSPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Betancor-Martin14, author = {Carlos S. Betancor{-}Martin and Juan A. Montiel{-}Nelson and Aurelio Vega{-}Martinez}, title = {Deadzone compensation in motion control systems using model reference direct inverse control}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {165--168}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908378}, doi = {10.1109/MWSCAS.2014.6908378}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Betancor-Martin14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Biswas14, author = {Amitava Biswas}, title = {Optimization of a dot product accelerator}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {619--622}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908491}, doi = {10.1109/MWSCAS.2014.6908491}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Biswas14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BoussadiTLD14, author = {Mohamed Amine Boussadi and Thierry Tixier and Alexis Landrault and Jean{-}Pierre D{\'{e}}rutin}, title = {A novel flexible 16-core MP-SoC architecture based on parallel skeletons for image processing applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {905--908}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908562}, doi = {10.1109/MWSCAS.2014.6908562}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BoussadiTLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BozorgzadehSA14, author = {Bardia Bozorgzadeh and Shahab Shahdoost and Ali Afzali{-}Kusha}, title = {Delay variation analysis in the presence of power supply noise in nano-scale digital {VLSI} circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {117--120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908366}, doi = {10.1109/MWSCAS.2014.6908366}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BozorgzadehSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Brennan14, author = {Robert L. Brennan}, title = {Low power algorithms for hearing aid and embedded applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1097--1100}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908610}, doi = {10.1109/MWSCAS.2014.6908610}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Brennan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CardesHEWSG14, author = {Fernando Cardes and Luis Hern{\'{a}}ndez and Javier Escobar and Andreas Wiesbauer and Dietmar Straeussnigg and Richard Gaggl}, title = {A time-encoding {CMOS} capacitive sensor readout circuit with flicker noise reduction}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {390--393}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908434}, doi = {10.1109/MWSCAS.2014.6908434}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/CardesHEWSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CasaleiroOF14, author = {Jo{\~{a}}o Casaleiro and Lu{\'{\i}}s Bica Oliveira and Igor M. Filanovsky}, title = {Quadrature error of two-integrator oscillators}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {483--486}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908457}, doi = {10.1109/MWSCAS.2014.6908457}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/CasaleiroOF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChangCSLJLK14, author = {Joseph S. Chang and Kwen{-}Siong Chong and Wei Shu and Tong Lin and Jize Jiang and Ne Kyaw Zwa Lwin and Yang Kang}, title = {Radiation-hardened library cell template and its total ionizing dose {(TID)} delay characterization in 65nm {CMOS} process}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {821--824}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908541}, doi = {10.1109/MWSCAS.2014.6908541}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChangCSLJLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChangF14, author = {Dah{-}Chung Chang and Meng{-}Wei Fan}, title = {{AOA} target tracking with new {IMM} {PF} algorithm}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {729--732}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908518}, doi = {10.1109/MWSCAS.2014.6908518}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChangF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChangO14, author = {Chun{-}hsiang Chang and Marvin Onabajo}, title = {Instrumentation amplifier input capacitance cancellation for biopotential and bioimpedance measurements}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {539--542}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908471}, doi = {10.1109/MWSCAS.2014.6908471}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChangO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChatterjeeWBBNS14, author = {Avhishek Chatterjee and Hua Wang and Abhijit Banerjee and Debashis Banerjee and Banerjee Natarajan and Shreyas Sen and Shyam Kumar Devarakond}, title = {Design of self-healing mixed-signal/RF systems and support {CAD} tools: {A} scalable approach}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1065--1068}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908602}, doi = {10.1109/MWSCAS.2014.6908602}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChatterjeeWBBNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChavaliFS14, author = {Kameshwar Kaushik Chavali and Paul M. Furth and Punith R. Surkanti}, title = {A low-voltage, adaptive {CMOS} centroid image sensor with improved bandwidth}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {937--940}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908570}, doi = {10.1109/MWSCAS.2014.6908570}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChavaliFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChehataMA14, author = {Ramy C. G. Chehata and Wasfy B. Mikhael and George K. Atia}, title = {A Transform Domain Modular approach for facial recognition using different representations and windowing techniques}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {817--820}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908540}, doi = {10.1109/MWSCAS.2014.6908540}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChehataMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChenH14, author = {Zong{-}Yi Chen and Chung{-}Chih Hung}, title = {DLL-based pulse-width modulation digital-to-analog converter for continuous-time sigma delta modulators}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {757--760}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908525}, doi = {10.1109/MWSCAS.2014.6908525}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChenH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChenHCL14, author = {Jinghong Chen and Deping Huang and Tienyu Chang and Jenshan Lin}, title = {Wideband {LNA} and multi-standard frequency synthesizer for reconfigurable radio}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {547--550}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908473}, doi = {10.1109/MWSCAS.2014.6908473}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChenHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChenL014, author = {Linbin Chen and Fabrizio Lombardi and Jie Han}, title = {{FDSOI} {SRAM} cells for low power design at 22nm technology node}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {527--530}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908468}, doi = {10.1109/MWSCAS.2014.6908468}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChenL014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChenL014a, author = {Linbin Chen and Fabrizio Lombardi and Jie Han}, title = {An enhanced {HSPICE} macromodel of a {PCM} cell with threshold switching and recovery behavior}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {993--996}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908584}, doi = {10.1109/MWSCAS.2014.6908584}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChenL014a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChenLLAJ14, author = {Wenbo Chen and Wenchao Lu and Yibo Li and Kaitlyn Alexander and Rashmi Jha}, title = {An integrated active-pixel-sensor and memristive platform for neural-inspired image learning and recognition}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {741--744}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908521}, doi = {10.1109/MWSCAS.2014.6908521}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChenLLAJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChiJXLWDYW14, author = {Zhexiang Chi and Hanjun Jiang and Jingpei Xia and Heng Liu and Zhaoyang Weng and Jingjing Dong and Kai Yang and Zhihua Wang}, title = {A smart capsule for in-body pH and temperature continuous monitoring}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {314--317}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908415}, doi = {10.1109/MWSCAS.2014.6908415}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChiJXLWDYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChoiCCJOK14, author = {Yongsuk Choi and Chun{-}hsiang Chang and Hari Chauhan and In{-}Seok Jung and Marvin Onabajo and Yong{-}Bin Kim}, title = {A built-in calibration system to optimize third-order intermodulation performance of {RF} amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {599--602}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908486}, doi = {10.1109/MWSCAS.2014.6908486}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ChoiCCJOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChouHB14, author = {Hung{-}Ming Chou and Garng M. Huang and Karen L. Butler{-}Purry}, title = {Investigation of reactive power limit induced voltage collapse}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {451--454}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908449}, doi = {10.1109/MWSCAS.2014.6908449}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChouHB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChouhanH14, author = {Shailesh Singh Chouhan and Kari Halonen}, title = {A {CMOS} based {\(\mathrm{\mu}\)}-power smart temperature sensor for ON-chip thermal monitoring}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {382--385}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908432}, doi = {10.1109/MWSCAS.2014.6908432}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChouhanH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Conesa-Peraleja14, author = {Laura Conesa{-}Peraleja and Susana Pat{\'{o}}n}, title = {Time difference amplifiers to improve the dynamic range of {MASH} time encoded ADCs}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {177--180}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908381}, doi = {10.1109/MWSCAS.2014.6908381}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Conesa-Peraleja14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CongRDWRS014, author = {Shan Cong and Maher E. Rizkalla and Eliza Y. Du and John D. West and Shannon L. Risacher and Andrew J. Saykin and Li Shen}, title = {Building a surface atlas of hippocampal subfields from {MRI} scans using FreeSurfer, {FIRST} and {SPHARM}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {813--816}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908539}, doi = {10.1109/MWSCAS.2014.6908539}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/CongRDWRS014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CongXL14, author = {Lin Cong and Jing Xue and Hoi Lee}, title = {A 140W 97{\%}-efficiency 4MHz two-phase buck converter with quasi-square-wave {ZVS} scheme}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {769--772}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908528}, doi = {10.1109/MWSCAS.2014.6908528}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/CongXL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/CowanR14, author = {Joshua J. Cowan and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {Harvesting the highest power from tiny electrostatic transducers with {CMOS} circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {334--337}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908420}, doi = {10.1109/MWSCAS.2014.6908420}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/CowanR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DabralKAMZB14, author = {Shashank Dabral and Sanmati Kamath and Vikram V. Appia and Mihir Mody and Buyue Zhang and Aziz Umit Batur}, title = {Trends in camera based Automotive Driver Assistance Systems {(ADAS)}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1110--1115}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908613}, doi = {10.1109/MWSCAS.2014.6908613}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/DabralKAMZB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DameraKS14, author = {Saiteja Damera and Aydin Ilker Karsilayan and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, title = {Design of minimally-invasive all-pole analog lowpass filters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1025--1028}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908592}, doi = {10.1109/MWSCAS.2014.6908592}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/DameraKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DarjiL14, author = {Anand D. Darji and Ankur Limaye}, title = {Memory efficient {VLSI} architecture for lifting-based {DWT}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {189--192}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908384}, doi = {10.1109/MWSCAS.2014.6908384}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/DarjiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DarjiSLMC14, author = {Anand D. Darji and Konale Shashikanth and Ankur Limaye and S. N. Merchant and Arun N. Chandorkar}, title = {Flipping-based high speed {VLSI} architecture for 2-D lifting {DWT}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {193--196}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908385}, doi = {10.1109/MWSCAS.2014.6908385}, timestamp = {Sat, 10 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/DarjiSLMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DasLN14, author = {Vighneshrudra Das and Donald Y. C. Lie and Tam Q. Nguyen}, title = {A fully integrated low noise {CMOS} instrumentation amplifier design for low-power biosensors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {535--538}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908470}, doi = {10.1109/MWSCAS.2014.6908470}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/DasLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DingXJH14, author = {Ran Ding and Zhe Xuan and Tom Baehr Jones and Michael Hochberg}, title = {A 40-GHz bandwidth transimpedance amplifier with adjustable gain-peaking in 65-nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {965--968}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908577}, doi = {10.1109/MWSCAS.2014.6908577}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/DingXJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DunnYF14, author = {Zachary Dunn and Mark Yeary and Caleb Fulton}, title = {Frequency-dependent power amplifier modeling and correction for distortion in wideband radar transmissions}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {61--64}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908352}, doi = {10.1109/MWSCAS.2014.6908352}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/DunnYF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/DupuyKDPDMM14, author = {Victor Dupuy and Eric Kerherve and Nathalie Deltimple and Jean{-}Philippe Plaze and Philippe Dueme and Benoit Mallet{-}Guy and Yves Mancuso}, title = {A 39.7 dBm and 18.5{\%} {PAE} compact {X} to Ku band GaN Travelling Wave Amplifier}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {611--614}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908489}, doi = {10.1109/MWSCAS.2014.6908489}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/DupuyKDPDMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ElgothamyZA14, author = {Hatem Elgothamy and Mohamed A. Zohdy and Hoda S. Abdel{-}Aty{-}Zohdy}, title = {Design and application of an enhanced {GA}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {864--867}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908552}, doi = {10.1109/MWSCAS.2014.6908552}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ElgothamyZA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ElkafrawyAO14, author = {Abdelrahman Elkafrawy and Jens Anders and Maurits Ortmanns}, title = {A high resolution transimpedance amplifier for use in a 10-bit 200 MS/s current mode {SAR} {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1057--1060}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908600}, doi = {10.1109/MWSCAS.2014.6908600}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ElkafrawyAO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/EmaraAF14, author = {Ahmed A. M. Emara and Mohamed M. Aboudina and Hossam A. H. Fahmy}, title = {Corrected and accurate Verilog-A for linear dopant drift model of memristors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {499--502}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908461}, doi = {10.1109/MWSCAS.2014.6908461}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/EmaraAF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Ergun14, author = {Salih Ergun}, title = {Verification of a chaos-based random number generator through the bootstrap}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {503--506}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908462}, doi = {10.1109/MWSCAS.2014.6908462}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Ergun14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FariasBA14, author = {Max Farias and Edna Barros and Andr{\'{e}} Ara{\'{u}}jo}, title = {An approach for multi-task and multi-application mapping onto NoC-based MPSoC}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {205--208}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908388}, doi = {10.1109/MWSCAS.2014.6908388}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FariasBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FattahRH14, author = {Shaikh Anowarul Fattah and Abu Hasnat Mohammad Rubaiyat and M. M. Hassan}, title = {An approach to vowel recognition using 2DDWT based visual information of the lip region}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1089--1092}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908608}, doi = {10.1109/MWSCAS.2014.6908608}, timestamp = {Tue, 12 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FattahRH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FattahUAAS14, author = {Shaikh Anowarul Fattah and M. M. I. Ullah and M. Ahmed and Istak Ahmmed and Celia Shahnaz}, title = {A scheme for copy-move forgery detection in digital images based on 2D-DWT}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {801--804}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908536}, doi = {10.1109/MWSCAS.2014.6908536}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/FattahUAAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FilanovskyJT14, author = {Igor M. Filanovsky and Jani K. Jarvenhaara and Nikolay T. Tchamov}, title = {On design of low-voltage {CMOS} current amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {563--566}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908477}, doi = {10.1109/MWSCAS.2014.6908477}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FilanovskyJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FilanovskyP14, author = {Igor M. Filanovsky and B. Pellegrini}, title = {Calculation of closing impedance in feedback systems based on cut-insertion theorem}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {422--425}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908442}, doi = {10.1109/MWSCAS.2014.6908442}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FilanovskyP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FisherBJKLWM14, author = {Andrew N. Fisher and Satish Batchu and Kevin R. Jones and Dhanashree Kulkarni and Scott Little and David Walter and Chris J. Myers}, title = {{LEMA:} {A} tool for the formal verification of digitally-intensive analog/mixed-signal circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1017--1020}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908590}, doi = {10.1109/MWSCAS.2014.6908590}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FisherBJKLWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FuF14, author = {Wei Fu and Ayman A. Fayed}, title = {A self-regulated 588 MHz buck regulator with on-chip passives and circuit stuffing in 65nm}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {338--341}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908421}, doi = {10.1109/MWSCAS.2014.6908421}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/FuF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FujiyoshiU14, author = {Kunihiro Fujiyoshi and Keitaro Ue}, title = {Average placement method with common centroid constraints for analog {IC} layout design}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {226--229}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908393}, doi = {10.1109/MWSCAS.2014.6908393}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/FujiyoshiU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GallegosH14, author = {Samuel A. Gallegos and Hasina F. Huq}, title = {A 128.7nW neural amplifier and Gm-C filter for EEG, using gm/ID methodology and a current reference without resistance}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {876--880}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908555}, doi = {10.1109/MWSCAS.2014.6908555}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/GallegosH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Garzon-CamachoF14, author = {Alejandro Garz{\'{o}}n{-}Camacho and Bego{\~{n}}a Fern{\'{a}}ndez and Marcos Aurelio Gonzalez Alvarez and Joaquin Ceballos Caceres and Jos{\'{e}} M. de la Rosa}, title = {Readout electronic system for particle tracking in secondary electron detectors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {93--96}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908360}, doi = {10.1109/MWSCAS.2014.6908360}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Garzon-CamachoF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GeCLLS14, author = {Tong Ge and Joseph S. Chang and Tong Lin and Lei Zhang and Lim Geok Soon}, title = {Fully-Additive printed electronics on flexible substrates: {A} Fully-Additive {RFID} tag}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {825--828}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908542}, doi = {10.1109/MWSCAS.2014.6908542}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GeCLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GeddadaPSK14, author = {Hemasundar Mohan Geddada and Chang{-}Joon Park and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Aydin Ilker Karsilayan}, title = {Blocker tolerant wideband continuous time sigma-delta modulator for wireless applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {765--768}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908527}, doi = {10.1109/MWSCAS.2014.6908527}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/GeddadaPSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GeorgeI14, author = {Swetha S. George and Zeljko Ignjatovic}, title = {An improved high speed low noise {CMOS} image sensor}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {941--944}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908571}, doi = {10.1109/MWSCAS.2014.6908571}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/GeorgeI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GhasemiZKGH14, author = {Javad Ghasemi and Payman Zarkesh{-}Ha and Sanjay Krishna and Sebasti{\'{a}}n E. Godoy and Majeed M. Hayat}, title = {A novel readout circuit for on-sensor multispectral classification}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {386--389}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908433}, doi = {10.1109/MWSCAS.2014.6908433}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GhasemiZKGH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GoodrichA14, author = {Benjamin Goodrich and Itamar Arel}, title = {Unsupervised neuron selection for mitigating catastrophic forgetting in neural networks}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {997--1000}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908585}, doi = {10.1109/MWSCAS.2014.6908585}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/GoodrichA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GraziosiSW14, author = {Joseph E. Graziosi and Edwin M. Sawan and John M. Watkins}, title = {Approximate controller design for singularly perturbed aircraft systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {161--164}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908377}, doi = {10.1109/MWSCAS.2014.6908377}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GraziosiSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GulHH14, author = {Waqas Gul and Syed Rafay Hasan and Osman Hasan}, title = {Yield aware inter-logic-layer communication in 3-D ICs: Early design stage recommendations}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {222--225}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908392}, doi = {10.1109/MWSCAS.2014.6908392}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GulHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GunturiK14, author = {Praveen Gunturi and David E. Kotecki}, title = {A wideband class {E} {PA} with more than 40{\%} {PAE} and over 800 MHz bandwidth}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {725--728}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908517}, doi = {10.1109/MWSCAS.2014.6908517}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GunturiK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GunturiK14a, author = {Praveen Gunturi and David E. Kotecki}, title = {Temperature and supply voltage insensitive {OOK} transmitter for {UWB} outdoor communications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {733--736}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908519}, doi = {10.1109/MWSCAS.2014.6908519}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GunturiK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GuoGKHC14, author = {Linfei Guo and Tong Ge and Yang Kang and Huiqiao He and Joseph Sylvester Chang}, title = {Analysis and design of PWM-in-PWM-out Class {D} Amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {254--257}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908400}, doi = {10.1109/MWSCAS.2014.6908400}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GuoGKHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GuoXWL0GFM14, author = {Shita Guo and Tianzuo Xi and Guoying Wu and Tianwei Liu and Tao Zhang and Ping Gui and Yanli Fan and Mark Morgan}, title = {A low-power 28 Gb/s {CDR} using artificial lc transmission line technique in 65 nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {85--88}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908358}, doi = {10.1109/MWSCAS.2014.6908358}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GuoXWL0GFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GuptaCBSKMPCC14, author = {Hari Shanker Gupta and Subhananda Chakrabarti and Maryam Shojaei Baghini and Dinesh Kumar Sharma and A. S. Kiran Kumar and Sanjeev Mehta and Sandip Paul and Ravi Shankar Chaurasia and Arup Roy Chowdhury}, title = {Implementation of high performance Readout Integrated Circuit}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {402--405}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908437}, doi = {10.1109/MWSCAS.2014.6908437}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GuptaCBSKMPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GuptaNV14, author = {Amit Kumar Gupta and Krishnaswamy Nagaraj and T. R. Viswanathan}, title = {A 1.1mW, 63.7dB-SNDR, 10MHz-BW hybrid voltage -time domain {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1053--1056}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908599}, doi = {10.1109/MWSCAS.2014.6908599}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/GuptaNV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Gutierrez-Martinez14, author = {Celso Guti{\'{e}}rrez{-}Mart{\'{\i}}nez and Adolfo Morales{-}Diaz and Jacobo Meza{-}Perez and J. Alfredo Torres{-}Fortiz and Joel Santos{-}Aguilar}, title = {Optoelectronic multiplexing of microwave sub-carriers for high-speed wireless transmissions}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {721--724}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908516}, doi = {10.1109/MWSCAS.2014.6908516}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Gutierrez-Martinez14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/GutierrezHGWZ14, author = {Eric Gutierrez and Luis Hern{\'{a}}ndez and Ulrich Gaier and Sergio Walter and Liang Zou}, title = {A low power and low distortion {VCO} based {ADC} using a pulse frequency modulator}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {487--490}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908458}, doi = {10.1109/MWSCAS.2014.6908458}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/GutierrezHGWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HabibMM14, author = {Mohammad Habib Ullah Habib and Khandaker A. Al Mamun and Nicole McFarlane}, title = {A {SPICE} model for perimeter-gated single photon avalanche diode}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {290--293}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908409}, doi = {10.1109/MWSCAS.2014.6908409}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HabibMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HabibSDS14, author = {Kareem Habib and Mona Safar and Mohamed Dessouky and Ashraf Salem}, title = {Don't cares based dynamic test vector compaction in {SAT-ATPG}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {213--217}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908390}, doi = {10.1109/MWSCAS.2014.6908390}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HabibSDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HamadHMS14, author = {Ghaith Bany Hamad and Syed Rafay Hasan and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, title = {Modeling, analyzing, and abstracting single event transient propagation at gate level}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {515--518}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908465}, doi = {10.1109/MWSCAS.2014.6908465}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HamadHMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HasanAGL14, author = {Md. Naimul Hasan and Sudhir Aggarwal and Qun Jane Gu and Xiaoguang Liu}, title = {Reconfigurable N-path {RF} front-end filter with improved blocker rejection}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {69--72}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908354}, doi = {10.1109/MWSCAS.2014.6908354}, timestamp = {Fri, 06 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HasanAGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HeGGC14, author = {Huiqiao He and Tong Ge and Linfei Guo and Joseph S. Chang}, title = {An investigation into the effect of carrier generators on power supply noise in {PWM} Class {D} amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {266--269}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908403}, doi = {10.1109/MWSCAS.2014.6908403}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HeGGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HeTT0T14, author = {Kai He and Sheldon X.{-}D. Tan and Esteban Tlelo{-}Cuautle and Hai Wang and He Tang}, title = {A new segmentation-based GPU-accelerated sparse matrix-vector multiplication}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1013--1016}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908589}, doi = {10.1109/MWSCAS.2014.6908589}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HeTT0T14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HerencsarKVC14, author = {Norbert Herencsar and Jaroslav Koton and Kamil Vrba and Oguzhan Cicekoglu}, title = {Low-voltage fully cascadable resistorless transadmittance-mode all-pass filter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {185--188}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908383}, doi = {10.1109/MWSCAS.2014.6908383}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HerencsarKVC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HerencsarKVMG14, author = {Norbert Herencsar and Jaroslav Koton and Kamil Vrba and Shahram Minaei and Izzet Cem G{\"{o}}knar}, title = {New low-voltage low-power {VM} All-Pass Filter using Current Follower with non-unity gain}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {571--574}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908479}, doi = {10.1109/MWSCAS.2014.6908479}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HerencsarKVMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HinamotoD14, author = {Yoichi Hinamoto and Akimitsu Doi}, title = {Analysis of l2-sensitivity for two-dimensional state-space digital filters by taking into account 0 and {\(\pm\)}1 elements}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {687--690}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908508}, doi = {10.1109/MWSCAS.2014.6908508}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HinamotoD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HinamotoDL14, author = {Takao Hinamoto and Akimitsu Doi and Wu{-}Sheng Lu}, title = {Joint optimization of high-order error feedback and realization for roundoff noise minimization in state-estimate feedback controllers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {785--788}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908532}, doi = {10.1109/MWSCAS.2014.6908532}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HinamotoDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HoKS14, author = {Yingchieh Ho and Chou{-}Ming Kuo and Chauchin Su}, title = {A low-power analog-to-digital converter with digitalized amplifier for {PAM} systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {109--112}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908364}, doi = {10.1109/MWSCAS.2014.6908364}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HoKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HongC14, author = {Jin{-}Hua Hong and Zong{-}Yi Chen}, title = {A low-power 10MHz bandwidth continuous-time {\(\Sigma\)}{\(\Delta\)} {ADC} with Gm-C filter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {853--856}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908549}, doi = {10.1109/MWSCAS.2014.6908549}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HongC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HossainC14, author = {Munem Hossain and Masud H. Chowdhury}, title = {Transistor doping profile optimization for low power subthreshold circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {33--36}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908345}, doi = {10.1109/MWSCAS.2014.6908345}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HossainC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HossainC14a, author = {Nahid M. Hossain and Masud H. Chowdhury}, title = {Graphene and {CNT} based flash memory: Impacts of scaling control and tunnel oxide thickness}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {985--988}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908582}, doi = {10.1109/MWSCAS.2014.6908582}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HossainC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HsuK14, author = {Chen{-}Bo Hsu and James B. Kuo}, title = {Power consumption optimization methodology {(PCOM)} for low-power/ low-voltage 32-bit microprocessor circuit design via {MTCMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {921--924}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908566}, doi = {10.1109/MWSCAS.2014.6908566}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HsuK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangC14, author = {Bin Huang and Degang Chen}, title = {An effective conductance cancellation method with minimal design effort}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {258--261}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908401}, doi = {10.1109/MWSCAS.2014.6908401}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HuangC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangC14a, author = {Bin Huang and Degang Chen}, title = {A simple slew rate enhancement technique with improved linearity and preserved small signal performance}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {270--273}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908404}, doi = {10.1109/MWSCAS.2014.6908404}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HuangC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangHTLL14, author = {Fu{-}Chien Huang and Shou{-}Chen Hsu and Yi{-}Lin Tsai and Yung{-}Yu Lin and Tsung{-}Hsien Lin}, title = {LMS-based digital background linearization technique for VCO-based delta-sigma {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {753--756}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908524}, doi = {10.1109/MWSCAS.2014.6908524}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HuangHTLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangZSS14, author = {Shan Huang and Ziyuan Zhu and Yongtao Su and Jinglin Shi}, title = {A system-level design approach for SDR-based MPSoC in {LTE} baseband processing}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {623--626}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908492}, doi = {10.1109/MWSCAS.2014.6908492}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HuangZSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangZYLW14, author = {Zhongyi Huang and Menglian Zhao and Xiaolin Yang and Liyu Lin and Xiaobo Wu}, title = {A 3.86mW 106.4dB {SNDR} Delta-Sigma modulator based on Switched-Opamp for audio codec}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {761--764}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908526}, doi = {10.1109/MWSCAS.2014.6908526}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HuangZYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/IbarraHNCALRAMV14, author = {Leticia Ibarra and Benjamin Hilton and Mehna Nawal and Salvador Carreon{-}Bautista and Mohamed Abouzied and Xiaosen Liu and Roland Ribeiro and Judy Amanor{-}Badu and Ethan Miller and Jorge Vanegas and Edgar S{\'{a}}nchez{-}Sinencio}, title = {SmartShelter: {A} Sustainable power system design using energy harvesting techniques}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {467--470}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908453}, doi = {10.1109/MWSCAS.2014.6908453}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/IbarraHNCALRAMV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/InnocentiWJLSNR14, author = {Jordan Innocenti and Loic Welter and Franck Julien and Laurent Lopez and Jacques Sonzogni and Stephan Niel and Arnaud R{\'{e}}gnier and Emmanuel Paire and Karen Labory and Eric Denis and Jean{-}Michel Portal and Pascal Masson}, title = {Dynamic power reduction through process and design optimizations on {CMOS} 80 nm embedded non-volatile memories technology}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {897--900}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908560}, doi = {10.1109/MWSCAS.2014.6908560}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/InnocentiWJLSNR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/IrfansyahPNLJH14, author = {Astria Nur Irfansyah and Long Pham and Andrew P. Nicholson and Torsten Lehmann and Julian Jenkins and Tara Julia Hamilton}, title = {Nauta {OTA} in a second-order continuous-time delta-sigma modulator}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {849--852}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908548}, doi = {10.1109/MWSCAS.2014.6908548}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/IrfansyahPNLJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/IslamSF14, author = {Md. T. Islam and Celia Shahnaz and Shaikh Anowarul Fattah}, title = {Speech enhancement based on a modified spectral subtraction method}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1085--1088}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908607}, doi = {10.1109/MWSCAS.2014.6908607}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/IslamSF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/IsmailID14, author = {Ahmed Ismail and Sameh Ibrahim and Mohamed Dessouky}, title = {A 8 Gbps 0.67mW 1 tap current integrating {DFE} in 40nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {81--84}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908357}, doi = {10.1109/MWSCAS.2014.6908357}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/IsmailID14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ItohFDP14, author = {Yuki Itoh and Siwei Feng and Marco F. Duarte and Mario Parente}, title = {Hyperspectral unmixing via semantic spectral representations}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {149--152}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908374}, doi = {10.1109/MWSCAS.2014.6908374}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ItohFDP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JanySVF14, author = {Clement Jany and Alexandre Siligaris and Pierre Vincent and Philippe Ferrari}, title = {A novel approximated solution for the Van der Pol oscillator. Application to pulsed oscillations modeling in switched cross-coupled {MOS} oscillators}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {745--748}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908522}, doi = {10.1109/MWSCAS.2014.6908522}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/JanySVF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JenkinsDZSC14, author = {William K. Jenkins and H. Ding and M. Zenaldin and A. D. Salvia and R. M. Collins}, title = {Adaptive signal processing techniques for extracting fetal electrocardiograms from noninvasive measurements}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {639--642}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908496}, doi = {10.1109/MWSCAS.2014.6908496}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/JenkinsDZSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JinGDKMC14, author = {Jonghoon Jin and Vinayak Gokhale and Aysegul Dundar and Bharadwaj Krishnamurthy and Berin Martini and Eugenio Culurciello}, title = {An efficient implementation of deep convolutional neural networks on a mobile coprocessor}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {133--136}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908370}, doi = {10.1109/MWSCAS.2014.6908370}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/JinGDKMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JungK14, author = {In{-}Seok Jung and Yong{-}Bin Kim}, title = {A novel self-calibration scheme for 12-bit 50MS/s {SAR} {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {5--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908338}, doi = {10.1109/MWSCAS.2014.6908338}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/JungK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KangS14, author = {Kyung Kang and Peter Stubberud}, title = {A comparison of continuous time sigma delta modulator simulation methods}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {495--498}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908460}, doi = {10.1109/MWSCAS.2014.6908460}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KangS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KaticSL14, author = {Nikola Katic and Alexandre Schmid and Yusuf Leblebici}, title = {A retina-inspired robust on-focal-plane multi-band edge-detection scheme for {CMOS} image sensors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {683--686}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908507}, doi = {10.1109/MWSCAS.2014.6908507}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KaticSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KhanQZW14, author = {Hashim Raza Khan and Abdul Raheem Qureshi and Faiza Zafar and Qamar ul Wahab}, title = {{PWM} with differential Class-E amplifier for efficiency enhancement at back-off power levels}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {607--610}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908488}, doi = {10.1109/MWSCAS.2014.6908488}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KhanQZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KhoshaviAD14, author = {Navid Khoshavi and Rizwan A. Ashraf and Ronald F. DeMara}, title = {Applicability of power-gating strategies for aging mitigation of {CMOS} logic paths}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {929--932}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908568}, doi = {10.1109/MWSCAS.2014.6908568}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KhoshaviAD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KilincDM14, author = {Enver G. Kilinc and Catherine Dehollain and Franco Maloberti}, title = {A low-power {PPM} demodulator for remotely powered batteryless implantable devices}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {318--321}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908416}, doi = {10.1109/MWSCAS.2014.6908416}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KilincDM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KimBHC14, author = {Jaemin Kim and Donkyu Baek and Jeongmin Hong and Naehyuck Chang}, title = {Partially solar powered full electric vehicles}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {358--361}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908426}, doi = {10.1109/MWSCAS.2014.6908426}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KimBHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KimG14, author = {Kyoungwon Kim and Daniel D. Gajski}, title = {Trace-Driven Performance Estimation of multi-core platforms}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {627--630}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908493}, doi = {10.1109/MWSCAS.2014.6908493}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KimG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KimG14a, author = {Kyoungwon Kim and Daniel D. Gajski}, title = {Hierarchy-Aware mapping of pipelined applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {631--634}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908494}, doi = {10.1109/MWSCAS.2014.6908494}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KimG14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KimMTM14, author = {Bruce C. Kim and Saikat Mondal and Friedrich Taenzler and Kenneth Moushegian}, title = {A novel {BIST} technique for {LDMOS} drivers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1069--1072}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908603}, doi = {10.1109/MWSCAS.2014.6908603}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KimMTM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KothaBK14, author = {Srinivasa Reddy Kotha and Sumit Bajaj and Sahoo Subhendu Kumar}, title = {An {RNS} based {FIR} filter design using shift and add approach}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {707--712}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908513}, doi = {10.1109/MWSCAS.2014.6908513}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KothaBK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KoushanfarK14, author = {Farinaz Koushanfar and Ramesh Karri}, title = {Can the {SHIELD} protect our integrated circuits?}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {350--353}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908424}, doi = {10.1109/MWSCAS.2014.6908424}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KoushanfarK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KoziolWH14, author = {Scott Koziol and Richard B. Wunderlich and Jennifer Hasler}, title = {Three dimensional robot path planning using a field programmable analog array}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {246--249}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908398}, doi = {10.1109/MWSCAS.2014.6908398}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KoziolWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KrishnaswamyT0Z14, author = {Harish Krishnaswamy and Karthik Tripurari and Yang Xu and Linxiao Zhang and David Gidony and Branislav Jovanovic and Peter R. Kinget}, title = {{RF} channelizer architectures using Iterative Downconversion for concurrent or fast-switching spectrum analysis}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {977--980}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908580}, doi = {10.1109/MWSCAS.2014.6908580}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KrishnaswamyT0Z14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Kurt-Karsilayan14, author = {Nur Kurt{-}Karsilayan and Jim Falbo and Dusan Petranovic}, title = {Efficient and accurate {RIE} modeling methodology for {BEOL} 2.5D parasitic extraction}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {519--522}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908466}, doi = {10.1109/MWSCAS.2014.6908466}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Kurt-Karsilayan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KutilaPL14, author = {Mika Kutila and Ari Paasio and Teijo Lehtonen}, title = {Comparison of 130 nm technology 6T and 8T {SRAM} cell designs for Near-Threshold operation}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {925--928}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908567}, doi = {10.1109/MWSCAS.2014.6908567}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/KutilaPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LazarjanH14, author = {Vahid Khojasteh Lazarjan and Khosrow Hajsadeghi}, title = {12 bits, 40MS/s, low power pipelined {SAR} {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {841--844}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908546}, doi = {10.1109/MWSCAS.2014.6908546}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LazarjanH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeeD14, author = {David S. Lee and Jeffrey Draper}, title = {A framework to quantify {FPGA} design hardness against radiation-induced single event effects}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {302--305}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908412}, doi = {10.1109/MWSCAS.2014.6908412}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LeeD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeeH14, author = {Do Han Lee and Tae Hee Han}, title = {An improvement technique for the test compression ratio and application time of multiple expansion scan chain based SoC using new cost function}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {129--132}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908369}, doi = {10.1109/MWSCAS.2014.6908369}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LeeH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeeK14, author = {Choong{-}Eui Lee and Bai{-}Sun Kong}, title = {A low-noise and low-power voltage-controlled oscillator}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {374--377}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908430}, doi = {10.1109/MWSCAS.2014.6908430}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LeeK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeeKK14, author = {Ho Joon Lee and Yong{-}Bin Kim and Kyung Ki Kim}, title = {Full custom implementation of a S-Box circuit architecture using power gated {PLA} structure}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {294--297}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908410}, doi = {10.1109/MWSCAS.2014.6908410}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LeeKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeePYK14, author = {Ja{-}Yol Lee and Mi{-}Jeong Park and Hyun{-}Kyu Yu and Cheon{-}Soo Kim}, title = {A 230ns settling time type-I {PLL} with 0.96mW {TDC} power and simple {TV} calculation algorithm}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {370--373}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908429}, doi = {10.1109/MWSCAS.2014.6908429}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LeePYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LeochicoJ14, author = {Kester Leochico and Eugene John}, title = {Data retention voltage analysis of various low-power {SRAM} topologies}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {913--916}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908564}, doi = {10.1109/MWSCAS.2014.6908564}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LeochicoJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Leung14, author = {Bosco Leung}, title = {Novel dissipative Lagrange-Hamilton formalism for LC/van der pol oscillator with new implication on phase noise dependency on quality factor}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {507--510}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908463}, doi = {10.1109/MWSCAS.2014.6908463}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Leung14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiCB14, author = {Yiyan Li and Roger Chen and R. Jacob Baker}, title = {A fast fabricating electro-wetting platform to implement large droplet manipulation}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {326--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908418}, doi = {10.1109/MWSCAS.2014.6908418}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LiCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiCLJ14, author = {Yibo Li and Wenbo Chen and Wenchao Lu and Rashmi Jha}, title = {Impact of coupling capacitance on read operation of {RRAM} devices in 1D1R crossbar architectures}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {989--992}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908583}, doi = {10.1109/MWSCAS.2014.6908583}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiCLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiGNL14, author = {Yiran Li and Changzhan Gu and Tooraj Nikoubin and Changzhi Li}, title = {Wireless radar devices for smart human-computer interaction}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {65--68}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908353}, doi = {10.1109/MWSCAS.2014.6908353}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiGNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiMG14, author = {Yin Li and Manjusri Misra and Stefano Gregori}, title = {Model and prototype of a green electrostatic harvester of vibration energy}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {53--56}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908350}, doi = {10.1109/MWSCAS.2014.6908350}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiZN14, author = {Yifei Li and Zhen Zhang and Nathan M. Neihart}, title = {Switchless matching networks for dual-band class-E power amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {555--558}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908475}, doi = {10.1109/MWSCAS.2014.6908475}, timestamp = {Mon, 11 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiZN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Liu14, author = {Bao Liu}, title = {Input-aware statistical timing analysis for {VLSI} delay test and average design}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1005--1008}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908587}, doi = {10.1109/MWSCAS.2014.6908587}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Liu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuXCL14, author = {Zhidong Liu and Jing Xue and Lin Cong and Hoi Lee}, title = {Building high-frequency high-voltage switching converters for renewable energy systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {346--349}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908423}, doi = {10.1109/MWSCAS.2014.6908423}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LiuXCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuZLH14, author = {Lin Liu and Yuchen Zhou and Yang Liu and Shiyan Hu}, title = {Dynamic programming based game theoretic algorithm for economical multi-user smart home scheduling}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {362--365}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908427}, doi = {10.1109/MWSCAS.2014.6908427}, timestamp = {Sun, 29 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LiuZLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuZZWY14, author = {Xiaolong Liu and Lei Zhang and Li Zhang and Yan Wang and Zhiping Yu}, title = {A 3.45-4.22 GHz {PLL} frequency synthesizer with constant loop bandwidth for {WLAN} applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {749--752}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908523}, doi = {10.1109/MWSCAS.2014.6908523}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LiuZZWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LiuZZZW14, author = {Sheng Liu and Yi Zhao and Menglian Zhao and Haozhou Zhang and Xiaobo Wu}, title = {A burst-mode based boost converter harvesting photovoltaic energy for low power applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {49--52}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908349}, doi = {10.1109/MWSCAS.2014.6908349}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LiuZZZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LockhartPW14, author = {Jonathan Lockhart and Carla Purdy and Philip A. Wilsey}, title = {Formal methods for safety critical system specification}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {201--204}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908387}, doi = {10.1109/MWSCAS.2014.6908387}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LockhartPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LodhiHHA14, author = {Faiq Khalid Lodhi and Syed Rafay Hasan and Osman Hasan and Falah Awwad}, title = {Hardware Trojan detection in soft error tolerant macro synchronous micro asynchronous {(MSMA)} pipeline}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {659--662}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908501}, doi = {10.1109/MWSCAS.2014.6908501}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LodhiHHA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Lopez-YunezVPTS14, author = {Alfredo Lopez{-}Yunez and Diana Vasquez and Luis A. Palacio and Nikhil Tiwari and Vinay Kumar Suryadevara and Mobin Anandwala and Maher E. Rizkalla}, title = {A novel approach for high speed wireless pre-fall detection multisensory system}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {857--859}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908550}, doi = {10.1109/MWSCAS.2014.6908550}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Lopez-YunezVPTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LuCLKJ14, author = {Wenchao Lu and Wenbo Chen and Yibo Li and Ahmed Kaake and Rashmi Jha}, title = {A hardware-based approach for implementing biological visual cortex-inspired image learning and recognition}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1001--1004}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908586}, doi = {10.1109/MWSCAS.2014.6908586}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LuCLKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LuYJH14, author = {Junjie Lu and Tan Yang and M. Shahriar Jahan and Jeremy Holleman}, title = {A low-power 84-dB dynamic-range tunable Gm-C filter for bio-signal acquisition}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1029--1032}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908593}, doi = {10.1109/MWSCAS.2014.6908593}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/LuYJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/LvL14, author = {Lishan Lv and Qiang Li}, title = {A low-power, {CT} sigma-delta modulator with a 2b/cycle {SAR} quantizer}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {845--848}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908547}, doi = {10.1109/MWSCAS.2014.6908547}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/LvL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MadanayakeWW14, author = {Arjuna Madanayake and Sewwandi Wijayaratna and Chamith Wijenayake}, title = {Combined time-delay {FIR} and 2-D {IIR} filters for EARS, radar, and imaging applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {543--546}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908472}, doi = {10.1109/MWSCAS.2014.6908472}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MadanayakeWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MadianME14, author = {A. H. Madian and S. H. Moustafa and H. E. El{-}Kolaly}, title = {Memcapacitor based {CMOS} neural amplifier}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {418--421}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908441}, doi = {10.1109/MWSCAS.2014.6908441}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MadianME14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Magotra14, author = {Neeraj Magotra}, title = {Designing an energy efficient Digital Signal Processing hearing aid system}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1105--1109}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908612}, doi = {10.1109/MWSCAS.2014.6908612}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Magotra14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MahalawyMF14, author = {Mohamed El Mahalawy and Neven Misljenovic and Ayman A. Fayed}, title = {A load-pull approach using multi-frequency harmonic tuners for enhancing {PAE} and device model accuracy}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {603--606}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908487}, doi = {10.1109/MWSCAS.2014.6908487}, timestamp = {Sun, 03 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MahalawyMF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MaliukM14, author = {Dzmitry Maliuk and Yiorgos Makris}, title = {On-chip intelligence: {A} pathway to self-testable, tunable, and trusted analog/RF ICs}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1077--1080}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908605}, doi = {10.1109/MWSCAS.2014.6908605}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MaliukM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MarszalekT14, author = {Wieslaw Marszalek and Zdzislaw Trzaska}, title = {Mixed numerical and analytical analysis of nonlinear circuits with nonsmooth inputs: {A} hyperbolic algebra approach}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {426--430}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908443}, doi = {10.1109/MWSCAS.2014.6908443}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MarszalekT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MartinO14, author = {Cecilia Garc{\'{\i}}a Martin and Erdal Oruklu}, title = {Performance evaluation of FinFET pass-transistor full adders with {BSIM-CMG} model}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {917--920}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908565}, doi = {10.1109/MWSCAS.2014.6908565}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MartinO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Martinez-Garcia14, author = {Herminio Mart{\'{\i}}nez{-}Garcia}, title = {Power gyrator structures: Versatile cells for energy processing in photovoltaic solar facilities}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {447--450}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908448}, doi = {10.1109/MWSCAS.2014.6908448}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Martinez-Garcia14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Martinez-Garcia14a, author = {Herminio Mart{\'{\i}}nez{-}Garcia}, title = {Design of a linear-assisted {DC-DC} switching regulator with constant switching frequency}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {455--458}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908450}, doi = {10.1109/MWSCAS.2014.6908450}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Martinez-Garcia14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MarzoukHS14, author = {Shery Asaad Wahba Marzouk and Faisal A. Hussien and A. M. Shousha}, title = {A 3dB {NF} 0.1-6.6GHz inductorless wideband low-noise amplifier in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {953--956}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908574}, doi = {10.1109/MWSCAS.2014.6908574}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MarzoukHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MattiaKB14, author = {Oscar E. Mattia and Hamilton Klimach and Sergio Bampi}, title = {0.7 {V} supply, 8 nW, 8 ppm/{\textdegree}C resistorless sub-bandgap voltage reference}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {479--482}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908456}, doi = {10.1109/MWSCAS.2014.6908456}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MattiaKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MayhewM14, author = {Matthew Mayhew and Radu Muresan}, title = {Modeling the effect of {NMOS} gate capacitance in an on-chip decoupling capacitor {PAA} countermeasure}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {121--124}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908367}, doi = {10.1109/MWSCAS.2014.6908367}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MayhewM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/McDowellM14, author = {William McDowell and Wasfy B. Mikhael}, title = {{MASINT} fusion of multispectral, hyperspectral {\&} kinematic phenomenology}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {157--160}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908376}, doi = {10.1109/MWSCAS.2014.6908376}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/McDowellM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MelosikM14, author = {Michal Melosik and Wieslaw Marszalek}, title = {A hybrid chaos-based pseudo-random bit generator in {VHDL-AMS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {435--438}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908445}, doi = {10.1109/MWSCAS.2014.6908445}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MelosikM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MenD14, author = {Liang Men and Jia Di}, title = {An asynchronous finite impulse response filter design for Digital Signal Processing circuit}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {25--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908343}, doi = {10.1109/MWSCAS.2014.6908343}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MenD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MenD14a, author = {Liang Men and Jia Di}, title = {Framework of a scalable delay-insensitive asynchronous platform enabling heterogeneous concurrency}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {113--116}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908365}, doi = {10.1109/MWSCAS.2014.6908365}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MenD14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Meng00T14, author = {Xin Meng and Yi Zhang and Tao He and Gabor C. Temes}, title = {A noise-coupled low-distortion delta-sigma {ADC} with shifted loop delays}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {587--590}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908483}, doi = {10.1109/MWSCAS.2014.6908483}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Meng00T14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MengHZT14, author = {Xin Meng and Tao He and Yi Zhang and Gabor C. Temes}, title = {Double-sampled wideband delta-sigma ADCs with shifted loop delays}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1045--1048}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908597}, doi = {10.1109/MWSCAS.2014.6908597}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MengHZT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MengT14, author = {Xin Meng and Gabor C. Temes}, title = {Bootstrapping techniques for floating switches in switched-capacitor circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {398--401}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908436}, doi = {10.1109/MWSCAS.2014.6908436}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MengT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MengT14a, author = {Xin Meng and Gabor C. Temes}, title = {Low-power duty-cycle tuned filters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1033--1036}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908594}, doi = {10.1109/MWSCAS.2014.6908594}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MengT14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MinYP14, author = {Byungho Min and Noah Hae{-}Woong Yang and Samuel Palermo}, title = {10 Gb/s adaptive receive-side near-end and far-end crosstalk cancellation circuitry}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {77--80}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908356}, doi = {10.1109/MWSCAS.2014.6908356}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MinYP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MinaeiYGY14, author = {Shahram Minaei and Merih Yildiz and Izzet Cem G{\"{o}}knar and Erkan Y{\"{u}}ce}, title = {Negative impedance inverter and all-pass filter realizations using adder and subtractor blocks}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {567--570}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908478}, doi = {10.1109/MWSCAS.2014.6908478}, timestamp = {Sun, 24 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MinaeiYGY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MohamedA14, author = {Ahmed Naguib Mohamed and Hesham N. Ahmed}, title = {A low noise {CMOS} readout front end for MEMs BioPotential sensor applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {868--871}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908553}, doi = {10.1109/MWSCAS.2014.6908553}, timestamp = {Thu, 27 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MohamedA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Moncada-Gonzalez14, author = {Hector Moncada{-}Gonzalez and Ruth M. Aguilar{-}Ponce and J. Luis Tecpanecatl{-}Xihuitl}, title = {Estimation of gait stability based on accelerometer signals}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {703--706}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908512}, doi = {10.1109/MWSCAS.2014.6908512}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Moncada-Gonzalez14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MongaC14, author = {Sushrant Monga and Shouri Chatterjee}, title = {An inductorless continuous time equalizer with programmability for gigabit links}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {713--716}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908514}, doi = {10.1109/MWSCAS.2014.6908514}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MongaC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MonteiroTS14, author = {C{\^{a}}ncio Monteiro and Yasuhiro Takahashi and Toshikazu Sekine}, title = {Process variation verification of low-power secure {CSSAL} {AES} S-box circuit}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {21--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908342}, doi = {10.1109/MWSCAS.2014.6908342}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MonteiroTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MoradiM14, author = {Farshad Moradi and Jens Kargaard Madsen}, title = {Robust subthreshold 7T-SRAM cell for low-power applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {893--896}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908559}, doi = {10.1109/MWSCAS.2014.6908559}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/MoradiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/MostafaAH14, author = {Mohammed Fouly Mostafa and Mohamed M. Aboudina and Faisal A. Hussien}, title = {Novel buck converter architectures for large step-down conversion ratio}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {773--776}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908529}, doi = {10.1109/MWSCAS.2014.6908529}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/MostafaAH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NakataMM14, author = {Shunji Nakata and Hiroshi Makino and Yoshio Matsuda}, title = {A new stepwise adiabatic charging circuit with a smaller capacitance in a regenerator than a load capacitance}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {439--442}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908446}, doi = {10.1109/MWSCAS.2014.6908446}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/NakataMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Narku-TettehTP14, author = {Noble Narku{-}Tetteh and Alex Titriku and Samuel Palermo}, title = {A 15b, Sub-10ps resolution, low dead time, wide range two-stage {TDC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {13--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908340}, doi = {10.1109/MWSCAS.2014.6908340}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Narku-TettehTP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NasiriSM14, author = {Nasibeh Nasiri and Oren Segal and Martin Margala}, title = {Modified fused multiply-accumulate chained unit}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {889--892}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908558}, doi = {10.1109/MWSCAS.2014.6908558}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/NasiriSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Naviner14, author = {Lirida Alves de Barros Naviner}, title = {Analytical methods to assess transient faults effects in logic circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {667--670}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908503}, doi = {10.1109/MWSCAS.2014.6908503}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Naviner14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NeelaD14, author = {Gopi Neela and Jeffrey Draper}, title = {A multi-mode energy-efficient double-precision floating-point multiplier}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {29--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908344}, doi = {10.1109/MWSCAS.2014.6908344}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/NeelaD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NicholsonJSHL14, author = {Andrew P. Nicholson and Julian Jenkins and Andr{\'{e}} van Schaik and Tara Julia Hamilton and Torsten Lehmann}, title = {A digital to transconductance converter for nauta structure op-amps in 65nm {CMOS}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {173--176}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908380}, doi = {10.1109/MWSCAS.2014.6908380}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/NicholsonJSHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NishimuraMH14, author = {Shotaro Nishimura and Aloys Mvuma and Takao Hinamoto}, title = {Oscillator based complex adaptive notch filters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {695--698}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908510}, doi = {10.1109/MWSCAS.2014.6908510}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/NishimuraMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/NsameBS14, author = {Pascal Nsame and Guy Bois and Yvon Savaria}, title = {Adaptive real-time {DSP} acceleration for SoC applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {298--301}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908411}, doi = {10.1109/MWSCAS.2014.6908411}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/NsameBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Ogawa14, author = {Satomi Ogawa}, title = {A {CMOS} interface for differential capacitive sensors using a time-to-digital converter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {945--948}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908572}, doi = {10.1109/MWSCAS.2014.6908572}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Ogawa14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ParkK14, author = {Sangdo Park and Taewhan Kim}, title = {Post-silicon tuning aware wafer matching algorithm for 3d integration of ICs}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {511--514}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908464}, doi = {10.1109/MWSCAS.2014.6908464}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ParkK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ParkSO14, author = {Chang{-}Joon Park and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Marvin Onabajo}, title = {Design techniques for external capacitor-less LDOs with high {PSR} over wide frequency range}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {342--345}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908422}, doi = {10.1109/MWSCAS.2014.6908422}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ParkSO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ParsanZS14, author = {Farhad Alibeygi Parsan and J. Zhao and Scott C. Smith}, title = {{SCL} design of a pipelined 8051 {ALU}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {885--888}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908557}, doi = {10.1109/MWSCAS.2014.6908557}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ParsanZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PartaEP14, author = {Hojat Parta and Milos D. Ercegovac and Sudhakar Pamarti}, title = {{RF} digital predistorter implementation using polynomial optimization}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {981--984}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908581}, doi = {10.1109/MWSCAS.2014.6908581}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/PartaEP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PatraG14, author = {Srijita Patra and Randall L. Geiger}, title = {Comparison of {MOSFET} mismatch models with random physical and random model variables}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {278--281}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908406}, doi = {10.1109/MWSCAS.2014.6908406}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/PatraG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PayandehniaMMTY14, author = {Pedram Payandehnia and Hamidreza Maghami and Xin Meng and Gabor C. Temes and Hirokazu Yoshizawa}, title = {Sequential interstage correlated double sampling: {A} switched-capacitor technique for high accuracy systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {262--265}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908402}, doi = {10.1109/MWSCAS.2014.6908402}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/PayandehniaMMTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PayandehniaMT14, author = {Pedram Payandehnia and Xin Meng and Gabor C. Temes}, title = {Multi-step counting {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {17--20}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908341}, doi = {10.1109/MWSCAS.2014.6908341}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/PayandehniaMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Pedroni14, author = {Volnei A. Pedroni}, title = {Detailed analysis of implementation options for timed finite state machines in hardware}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {125--128}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908368}, doi = {10.1109/MWSCAS.2014.6908368}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Pedroni14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Pena-GonzalezN14, author = {Raul Humberto Pena{-}Gonzalez and Marco Aurelio Nu{\~{n}}o{-}Maganda}, title = {Computer vision based real-time vehicle tracking and classification system}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {679--682}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908506}, doi = {10.1109/MWSCAS.2014.6908506}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Pena-GonzalezN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PoustinchiSM14, author = {Mohammad Poustinchi and R. Greg Stacey and Sam Musallam}, title = {Wide dynamic range 653 nW {CMOS} neurophysiological signal recording micro-brain-implant with opamp sharing technique}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {647--650}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908498}, doi = {10.1109/MWSCAS.2014.6908498}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/PoustinchiSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/PurdySS14, author = {Carla Purdy and Chandan Singh and Rashna Seli}, title = {Fixing power bugs at {RTL} stage using {PSL} assertions}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {218--221}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908391}, doi = {10.1109/MWSCAS.2014.6908391}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/PurdySS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RaghunandanSV14, author = {K. R. Raghunandan and Nan Sun and T. R. Viswanathan}, title = {Analog signal processing in deep submicron {CMOS} technologies using inverters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {394--397}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908435}, doi = {10.1109/MWSCAS.2014.6908435}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/RaghunandanSV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RahmanL14, author = {Md. Tanvir Rahman and Torsten Lehmann}, title = {A 10 bit cryogenic {CMOS} {D/A} converter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {9--12}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908339}, doi = {10.1109/MWSCAS.2014.6908339}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/RahmanL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RameshPPS14, author = {Naren Ramesh and George B. Purdy and Carla Purdy and Justin W. Smith}, title = {A hardware implementation of Hough Transform based on parabolic duality}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {145--148}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908373}, doi = {10.1109/MWSCAS.2014.6908373}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/RameshPPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RayankulaF14, author = {Aditya Rayankula and Paul M. Furth}, title = {A {CMOS} active-pixel image sharpness sensor}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {933--936}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908569}, doi = {10.1109/MWSCAS.2014.6908569}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/RayankulaF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ReeceKR14, author = {Trey Reece and Bradley T. Kiddie and William H. Robinson}, title = {Identification of Trojans in an {FPGA} using low-precision equipment}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {306--309}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908413}, doi = {10.1109/MWSCAS.2014.6908413}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ReeceKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Renteria-Cedano14, author = {J. A. Renter{\'{\i}}a{-}Cedano and L. M. Aguilar{-}Lobo and Jos{\'{e}} Ra{\'{u}}l Loo{-}Yau and Susana Ortega{-}Cisneros}, title = {Implementation of a {NARX} neural network in a {FPGA} for modeling the inverse characteristics of power amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {209--212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908389}, doi = {10.1109/MWSCAS.2014.6908389}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Renteria-Cedano14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Rohani0CRCL14, author = {Ehsan Rohani and Jingwei Xu and Tiben Che and Mehnaz Rahman and Gwan Choi and Mi Lu}, title = {Asynchronous baseband processor design for cooperative {MIMO} satellite communication}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {833--836}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908544}, doi = {10.1109/MWSCAS.2014.6908544}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Rohani0CRCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RothA14, author = {Stephanie Roth and Hoda S. Abdel{-}Aty{-}Zohdy}, title = {Design and testing of {D4} wavelets integrated chip preprocessor for chemical classifications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {651--654}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908499}, doi = {10.1109/MWSCAS.2014.6908499}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/RothA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RoyB14, author = {Angsuman Roy and R. Jacob Baker}, title = {A passive 2\({}^{\mbox{nd}}\)-order sigma-delta modulator for low-power analog-to-digital conversion}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {595--598}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908485}, doi = {10.1109/MWSCAS.2014.6908485}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/RoyB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SadreazamiAS14, author = {Hamidreza Sadreazami and M. Omair Ahmad and M. N. S. Swamy}, title = {Contourlet domain image denoising using the alpha-stable distribution}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {141--144}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908372}, doi = {10.1109/MWSCAS.2014.6908372}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SadreazamiAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Sahoo14, author = {Bibhudatta Sahoo}, title = {An overview of digital calibration techniques for pipelined ADCs}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1061--1064}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908601}, doi = {10.1109/MWSCAS.2014.6908601}, timestamp = {Sat, 21 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Sahoo14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SalgadoJR14, author = {Gerardo Molina Salgado and Gordana Jovanovic{-}Dolecek and Jos{\'{e}} M. de la Rosa}, title = {Comb structures for Sigma-Delta ADCs with high even decimation factors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {583--586}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908482}, doi = {10.1109/MWSCAS.2014.6908482}, timestamp = {Fri, 04 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SalgadoJR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SalomaaPH14, author = {Jarno Salomaa and Mika Pulkkinen and Kari Halonen}, title = {A switched-capacitor voltage regulator for ultra-low power energy harvesting systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {45--48}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908348}, doi = {10.1109/MWSCAS.2014.6908348}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SalomaaPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Sanabria-Borbon14, author = {Adriana Carolina Sanabria{-}Borbon and Esteban Tlelo{-}Cuautle}, title = {Sizing analog integrated circuits by combining gm/ID technique and evolutionary algorithms}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {234--237}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908395}, doi = {10.1109/MWSCAS.2014.6908395}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Sanabria-Borbon14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SanaullahC14, author = {Muhammad Sanaullah and Masud H. Chowdhury}, title = {A new real pole delay model for {RLC} interconnect using second order approximation}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {238--241}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908396}, doi = {10.1109/MWSCAS.2014.6908396}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SanaullahC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SanderBSDB014, author = {Oliver Sander and Falco Bapp and Timo Sandmann and Viet Vu Duy and Steffen B{\"{a}}hr and J{\"{u}}rgen Becker}, title = {Architectural measures against radiation effects in multicore SoC for safety critical applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {663--666}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908502}, doi = {10.1109/MWSCAS.2014.6908502}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SanderBSDB014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Sarkar0C14, author = {Sudipta Sarkar and Yuan Zhou and Yun Chiu}, title = {PN-assisted deterministic digital calibration of split two-step {ADC} to over 14-bit accuracy}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1049--1052}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908598}, doi = {10.1109/MWSCAS.2014.6908598}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Sarkar0C14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ShahdoostMFN14, author = {Shahab Shahdoost and Pedram Mohseni and Shawn Frost and Randolph J. Nudo}, title = {A multichannel corticospinal interface {IC} for intracortical spike recording and distinct muscle pattern activation via intraspinal microstimulation}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {310--313}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908414}, doi = {10.1109/MWSCAS.2014.6908414}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ShahdoostMFN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ShahnazS14, author = {Celia Shahnaz and Sharifa Sultana}, title = {A feature extraction scheme based on enhanced wavelet coefficients for Speech Emotion Recognition}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1093--1096}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908609}, doi = {10.1109/MWSCAS.2014.6908609}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ShahnazS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ShaoPRAZDZKZ14, author = {Jin Shao and David Poe and Han Ren and Bayaner Arigong and Mi Zhou and Jun Ding and Rongguo Zhou and Hyoung Soo Kim and Hualiang Zhang}, title = {Dual-band microwave power amplifier design using GaN transistors}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {559--562}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908476}, doi = {10.1109/MWSCAS.2014.6908476}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ShaoPRAZDZKZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ShiTWW14, author = {Qihang Shi and Mohammad Tehranipoor and Xiaoxiao Wang and LeRoy Winemberg}, title = {On-chip sensor selection for effective speed-binning}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1073--1076}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908604}, doi = {10.1109/MWSCAS.2014.6908604}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ShiTWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ShiraziMWYMSI14, author = {Amir Hossein Masnadi Shirazi and Reza Molavi and Peter Sangpil Woo and Ge Yu and Shahriar Mirabbasi and Sudip Shekhar and Andr{\'{e}} Ivanov}, title = {A low-power DC-to-27-GHz transimpedance amplifier in 0.13-{\(\mathrm{\mu}\)}m {CMOS} using inductive-peaking and current-reuse techniques}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {961--964}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908576}, doi = {10.1109/MWSCAS.2014.6908576}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ShiraziMWYMSI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Silva-MartinezS14, author = {Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Edgar S{\'{a}}nchez{-}Sinencio and Jos{\'{e}} G. Delgado{-}Frias and Randall L. Geiger}, title = {Welcome to {MWSCAS} 2014}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908327}, doi = {10.1109/MWSCAS.2014.6908327}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Silva-MartinezS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SinghH14, author = {Amrinder Singh and Jiang Hu}, title = {Case studies on variation tolerant and low power design using planar asymmetric double gate transistor}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1021--1024}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908591}, doi = {10.1109/MWSCAS.2014.6908591}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SinghH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SinghK14, author = {Alok Kumar Singh and Pragati Kumar}, title = {A novel fully differential current mode universal filter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {579--582}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908481}, doi = {10.1109/MWSCAS.2014.6908481}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SinghK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SunW14, author = {Jiwei Sun and Pingshan Wang}, title = {High voltage charge pump with triple well diodes in a 0.13 {\(\mathrm{\mu}\)}m bulk {CMOS} process}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {274--277}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908405}, doi = {10.1109/MWSCAS.2014.6908405}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SunW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SunW14a, author = {Jiwei Sun and Pingshan Wang}, title = {A {CMOS} short pulse generator with a high-voltage stacked {MOSFET} switch}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {414--417}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908440}, doi = {10.1109/MWSCAS.2014.6908440}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SunW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SzilagyiHE14, author = {L{\'{a}}szl{\'{o}} Szil{\'{a}}gyi and Ronny Henker and Frank Ellinger}, title = {An inductor-less ultra-compact transimpedance amplifier for 30 Gbps in 28 nm {CMOS} with high energy-efficiency}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {957--960}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908575}, doi = {10.1109/MWSCAS.2014.6908575}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SzilagyiHE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TaheriAS14, author = {Yaser Mohammad Taheri and M. Omair Ahmad and M. N. S. Swamy}, title = {Joint noise distribution parameter estimation and {LDPC} decoding using variational Bayes}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {809--812}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908538}, doi = {10.1109/MWSCAS.2014.6908538}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TaheriAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TakamiyaFIYSSS14, author = {Makoto Takamiya and Hiroshi Fuketa and Koichi Ishida and Tomoyuki Yokota and Tsuyoshi Sekitani and Takao Someya and Takayasu Sakurai}, title = {Flexible, large-area, and distributed organic electronics closely contacted with skin for healthcare applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {829--832}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908543}, doi = {10.1109/MWSCAS.2014.6908543}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TakamiyaFIYSSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TalaricoAR14, author = {Claudio Talarico and Gaurav Agrawal and Janet Meiling Wang Roveda}, title = {A 60dBO 2.9 GHz 0.18 {\(\mathrm{\mu}\)}m {CMOS} transimpedance amplifier for a fiber optic receiver application}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {181--184}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908382}, doi = {10.1109/MWSCAS.2014.6908382}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TalaricoAR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TanJ14, author = {Li Tan and Jean Jiang}, title = {Nonlinear active noise control using diagonal-channel {LMS} and {RLS} bilinear filters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {789--792}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908533}, doi = {10.1109/MWSCAS.2014.6908533}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TanJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TehM14, author = {Ying{-}Khai Teh and Philip K. T. Mok}, title = {A bipolar output voltage pulse transformer boost converter with charge pump assisted shunt regulator for thermoelectric energy harvesting}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {37--40}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908346}, doi = {10.1109/MWSCAS.2014.6908346}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/TehM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ThirunakkarasuS14, author = {Shankar Thirunakkarasu and Robert E. Seymour}, title = {Reduction in the area of a high voltage multiplexer}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {282--285}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908407}, doi = {10.1109/MWSCAS.2014.6908407}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ThirunakkarasuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TianHB14, author = {Bo Tian and Souhib Harb and Robert S. Balog}, title = {Ripple-port integrated {PFC} rectifier with fast dynamic response}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {781--784}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908531}, doi = {10.1109/MWSCAS.2014.6908531}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TianHB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TorreSB14, author = {Pedro R. De La Torre and Khaled N. Salama and Michael L. Berumen}, title = {An acoustic system for autonomous navigation and tracking of marine fauna}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {197--200}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908386}, doi = {10.1109/MWSCAS.2014.6908386}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/TorreSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TuriD14, author = {Michael A. Turi and Jos{\'{e}} G. Delgado{-}Frias}, title = {An evaluation of 6T and 8T FinFET {SRAM} cell leakage currents}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {523--526}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908467}, doi = {10.1109/MWSCAS.2014.6908467}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/TuriD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/UmohO14, author = {Ifiok J. Umoh and Tokunbo Ogunfunmi}, title = {Digital post-distortion linearization of wideband wireless receiver nonlinearity}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {431--434}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908444}, doi = {10.1109/MWSCAS.2014.6908444}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/UmohO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Vazquez-LealBBK14, author = {Hector Vazquez{-}Leal and Brahim Benhammouda and Karem Boubaker and Yasir Khan and Uriel Filobello{-}Ni{\~{n}}o and Roberto Casta{\~{n}}eda{-}Sheissa and R. Ruiz{-}Gomez}, title = {Homotopy-based direct current analysis with formal stop criterion}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1009--1012}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908588}, doi = {10.1109/MWSCAS.2014.6908588}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Vazquez-LealBBK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Villacorta0BC14, author = {Hector Villacorta and Jaume Segura and Sebasti{\`{a}} A. Bota and V{\'{\i}}ctor H. Champac}, title = {Analysis of fin height on FinFET {SRAM} cell hardening}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {671--674}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908504}, doi = {10.1109/MWSCAS.2014.6908504}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Villacorta0BC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangC14, author = {Hao Wang and Chiu{-}Sing Choy}, title = {A novel high speed, low power IntraBody Communication Receiver Front End based on sampling rate boosting switched-capacitor filter}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {872--875}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908554}, doi = {10.1109/MWSCAS.2014.6908554}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/WangC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangCG14, author = {Yen{-}Ting Wang and Degang Chen and Randall L. Geiger}, title = {A {CMOS} supply-insensitive with 13ppm/{\textdegree}C temperature coefficient current reference}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {475--478}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908455}, doi = {10.1109/MWSCAS.2014.6908455}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangCO14, author = {Kainan Wang and Chun{-}hsiang Chang and Marvin Onabajo}, title = {A fully-differential {CMOS} low-pass notch filter for biosignal measurement devices with high interference rejection}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1041--1044}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908596}, doi = {10.1109/MWSCAS.2014.6908596}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/WangCO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangGC14, author = {Qianqian Wang and Randall L. Geiger and Degang Chen}, title = {Challenges and opportunities for determining presence of multiple equilibrium points with circuit simulators}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {406--409}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908438}, doi = {10.1109/MWSCAS.2014.6908438}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangMGL14, author = {Guochao Wang and Jos{\'{e}} Maria Mu{\~{n}}oz{-}Ferreras and Roberto G{\'{o}}mez{-}Garc{\'{\i}}a and Changzhi Li}, title = {Software-configured smart radar sensor for civil and biomedical applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {551--554}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908474}, doi = {10.1109/MWSCAS.2014.6908474}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangMGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangWC14, author = {Hao Wang and Jianfeng Wang and Chiu{-}Sing Choy}, title = {A 2.5-Mbps, 170-cm transmission distance IntraBody communication receiver front end design and its synchronization technique research}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {643--646}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908497}, doi = {10.1109/MWSCAS.2014.6908497}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/WangWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangZF014, author = {Minghua Wang and Minglei Zhang and Xiaohua Fan and Yu Liu}, title = {A power reduction technique for wideband common gate low noise amplifers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {969--972}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908578}, doi = {10.1109/MWSCAS.2014.6908578}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangZF014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangZL14, author = {Xiaoyang Wang and Xiong Zhou and Qiang Li}, title = {A energy-efficient high speed segmented prequantize and bypass {DAC} for {SAR} ADCs}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {97--100}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908361}, doi = {10.1109/MWSCAS.2014.6908361}, timestamp = {Mon, 07 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WangZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WangZXB14, author = {Jinshen Wang and Fugen Zhou and Bindang Xue and Xiangzhi Bai}, title = {Extraction of geometrical corners of buildings for infrared imaging sequence}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {675--678}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908505}, doi = {10.1109/MWSCAS.2014.6908505}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/WangZXB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/WhitehouseJ14, author = {Joseph Whitehouse and Eugene John}, title = {Leakage and delay analysis in FinFET array multiplier circuits}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {909--912}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908563}, doi = {10.1109/MWSCAS.2014.6908563}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/WhitehouseJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/XiaWKMY14, author = {Bo Xia and Yue Wu and Li Kang and Xinlong Ma and Xiaodong Yang}, title = {Practical design consideration in a Bluetooth tranceiver design}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {973--976}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908579}, doi = {10.1109/MWSCAS.2014.6908579}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/XiaWKMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/XieZWF14, author = {Yi Xie and Minglei Zhang and Baoyue Wei and Xiaohua Fan}, title = {High-speed low-power decimation filter for wideband Delta-Sigma {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {591--594}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908484}, doi = {10.1109/MWSCAS.2014.6908484}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/XieZWF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/XuFNO14, author = {Li Xu and Junpeng Feng and Yuchi Ni and Marvin Onabajo}, title = {Test signal generation for the calibration of analog front-end circuits in biopotential measurement applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {949--952}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908573}, doi = {10.1109/MWSCAS.2014.6908573}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/XuFNO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/XuYLW14, author = {Bing Xu and Shouyi Yin and Leibo Liu and Shaojun Wei}, title = {Low-power loop pipelining mapping onto {CGRA} utilizing variable dual {VDD}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {242--245}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908397}, doi = {10.1109/MWSCAS.2014.6908397}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/XuYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YamauchiS14, author = {Hiroyuki Yamauchi and Worawit Somha}, title = {Comparative study on deconvolution function dependencies of {RTN/RDF} effect estimation errors in analyzing sub-nm-scaled {SRAM} margins}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {230--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908394}, doi = {10.1109/MWSCAS.2014.6908394}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/YamauchiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YangMZWZW14, author = {Qing Yang and Songping Mai and Yixin Zhao and Zhijun Wang and Chun Zhang and Zhihua Wang}, title = {An on-chip security guard based on zero-power authentication for implantable medical devices}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {531--534}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908469}, doi = {10.1109/MWSCAS.2014.6908469}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YangMZWZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YangZZHDW14, author = {Xiaolin Yang and Yin Zhou and Menglian Zhao and Zhongyi Huang and Lin Deng and Xiaobo Wu}, title = {A 0.9V 12-bit 200-kS/s 1.07{\(\mathrm{\mu}\)}W {SAR} {ADC} with ladder-based reconfigurable time-domain comparator}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {105--108}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908363}, doi = {10.1109/MWSCAS.2014.6908363}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/YangZZHDW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YelamarthiDL14, author = {Kumar Yelamarthi and Brian P. DeJong and Kevin Laubhan}, title = {A Kinect based vibrotactile feedback system to assist the visually impaired}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {635--638}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908495}, doi = {10.1109/MWSCAS.2014.6908495}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YelamarthiDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Zarkesh-Ha14, author = {Payman Zarkesh{-}Ha}, title = {An intelligent readout circuit for infrared multispectral remote sensing}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {153--156}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908375}, doi = {10.1109/MWSCAS.2014.6908375}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/Zarkesh-Ha14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZepedaM14, author = {Ricardo Zepeda and Rafael Mena}, title = {Design of an {NFC} enabled bio-patch solution}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {322--325}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908417}, doi = {10.1109/MWSCAS.2014.6908417}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZepedaM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhangC014, author = {Jinyong Zhang and Shing{-}Chow Chan and Lei Wang}, title = {A 1.8 {\(\mathrm{\mu}\)}W area-efficient bio-potential amplifier with 90 dB {DC} offset suppression}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {286--289}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908408}, doi = {10.1109/MWSCAS.2014.6908408}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhangC014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhangCLW14, author = {Xinwang Zhang and Baoyong Chi and Bingqiao Liu and Zhihua Wang}, title = {-80dBm{\(\sim\)}0dBm dynamic range, 30mV/dB detection sensitivity piecewise {RSSI} for {SDR/CR} receivers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {89--92}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908359}, doi = {10.1109/MWSCAS.2014.6908359}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ZhangCLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhangZLFW14, author = {Haozhou Zhang and Menglian Zhao and Sheng Liu and Yuhua Fang and Xiaobo Wu}, title = {A 20-300mV transformer-based self-startup flyback converter with {MPPT} and {ZCS} control for thermoelectric energy harvesting}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {41--44}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908347}, doi = {10.1109/MWSCAS.2014.6908347}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhangZLFW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhaoMK14, author = {Ruichen Zhao and Steven A. Morris and Alexis Kwasinski}, title = {A dual-input forward converter for downhole drilling applications}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {459--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908451}, doi = {10.1109/MWSCAS.2014.6908451}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhaoMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhouRSADZ14, author = {Mi Zhou and Han Ren and Jin Shao and Bayaner Arigong and Jun Ding and Hualiang Zhang}, title = {Reconfigurable microwave couplers with dynamic performance control}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {57--60}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908351}, doi = {10.1109/MWSCAS.2014.6908351}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhouRSADZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhouYZW14, author = {Yin Zhou and Xiaolin Yang and Menglian Zhao and Xiaobo Wu}, title = {A new noise estimation method for neural spike detection}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {860--863}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908551}, doi = {10.1109/MWSCAS.2014.6908551}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhouYZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Zhu14, author = {Qi Zhu}, title = {Model-based synthesis for real-time embedded systems}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {366--369}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908428}, doi = {10.1109/MWSCAS.2014.6908428}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Zhu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhuSK14, author = {Kehan Zhu and Vishal Saxena and Wan Kuang}, title = {Compact Verilog-A modeling of silicon traveling-wave modulator for hybrid {CMOS} photonic circuit design}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {615--618}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908490}, doi = {10.1109/MWSCAS.2014.6908490}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/ZhuSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZhuYEK14, author = {Haiyang Zhu and Wenhua Yang and Nathan Egan and Yong{-}Bin Kim}, title = {Calibration technique tracking temperature for current-steering digital-to-analog converters}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908337}, doi = {10.1109/MWSCAS.2014.6908337}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ZhuYEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ZidanKS14, author = {Mohammed Affan Zidan and J{\"{u}}rgen Kosel and Khaled N. Salama}, title = {Low pull-in voltage electrostatic {MEMS} switch using liquid dielectric}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {169--172}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908379}, doi = {10.1109/MWSCAS.2014.6908379}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ZidanKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mwscas/2014, title = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6900043/proceeding}, isbn = {978-1-4799-4134-6}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.