Search dblp for Publications

export results for "toc:db/conf/memocode/memocode2018.bht:"

 download as .bib file

@inproceedings{DBLP:conf/memocode/Bai18,
  author       = {Yu Bai},
  title        = {Desynchronization: From Macro-step to Micro-step},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {109--118},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556988},
  doi          = {10.1109/MEMCOD.2018.8556988},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/memocode/Bai18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/ChengNHRY18,
  author       = {Chih{-}Hong Cheng and
                  Georg N{\"{u}}hrenberg and
                  Chung{-}Hao Huang and
                  Harald Ruess and
                  Hirotoshi Yasuoka},
  title        = {Towards Dependability Metrics for Neural Networks},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {43--46},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556962},
  doi          = {10.1109/MEMCOD.2018.8556962},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/ChengNHRY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/Jain018,
  author       = {Tripti Jain and
                  Klaus Schneider},
  title        = {Optimal Self-Routing Split Modules for Radix-based Interconnection
                  Networks},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {99--108},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556886},
  doi          = {10.1109/MEMCOD.2018.8556886},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/Jain018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/LiuGLWZ18,
  author       = {Yanan Liu and
                  Yong Guan and
                  Xiaojuan Li and
                  Rui Wang and
                  Jie Zhang},
  title        = {Formal Analysis and Verification of {DDS} in {ROS2}},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {62--66},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556970},
  doi          = {10.1109/MEMCOD.2018.8556970},
  timestamp    = {Fri, 27 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memocode/LiuGLWZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/NagarajSH18,
  author       = {S. Nagaraj and
                  D. Seshachalam and
                  Sunil Hucharaddi},
  title        = {Model Order Reduction of Nonlinear Circuit using Proper Orthogonal
                  Decomposition and Nonlinear Autoregressive with eXogenous input {(NARX)}
                  Neural Network},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {47--50},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556906},
  doi          = {10.1109/MEMCOD.2018.8556906},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/NagarajSH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/PinisettyRSS18,
  author       = {Srinivas Pinisetty and
                  Partha S. Roop and
                  Vidula Sawant and
                  Gerardo Schneider},
  title        = {Security of Pacemakers using Runtime Verification},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {51--61},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556922},
  doi          = {10.1109/MEMCOD.2018.8556922},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/PinisettyRSS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/RomeoSLK18,
  author       = {{\'{I}}{\~{n}}igo {\'{I}}ncer Romeo and
                  Alberto L. Sangiovanni{-}Vincentelli and
                  Chung{-}Wei Lin and
                  Eunsuk Kang},
  title        = {Quotient for Assume-Guarantee Contracts},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {67--77},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556872},
  doi          = {10.1109/MEMCOD.2018.8556872},
  timestamp    = {Mon, 31 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/RomeoSLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/RoopPM18,
  author       = {Partha S. Roop and
                  Hammond A. Pearce and
                  Keyan Monadjem},
  title        = {Synchronous neural networks for cyber-physical systems},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {33--42},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556931},
  doi          = {10.1109/MEMCOD.2018.8556931},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memocode/RoopPM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/SeshiaS18,
  author       = {Sanjit A. Seshia and
                  Pramod Subramanyan},
  title        = {{UCLID5:} Integrating Modeling, Verification, Synthesis and Learning},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556946},
  doi          = {10.1109/MEMCOD.2018.8556946},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/SeshiaS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/SkelinG18,
  author       = {Mladen Skelin and
                  Marc Geilen},
  title        = {It's a Matter of Time: Modeling and Analysis of Time Dependent Systems
                  Using Scenario-Aware Dataflow},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {11--21},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556996},
  doi          = {10.1109/MEMCOD.2018.8556996},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memocode/SkelinG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/WiikEW18,
  author       = {Jonatan Wiik and
                  Johan Ersfolk and
                  Marina Wald{\'{e}}n},
  title        = {A Contract-Based Approach to Scheduling and Verification of Dynamic
                  Dataflow Networks},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {78--87},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8557004},
  doi          = {10.1109/MEMCOD.2018.8557004},
  timestamp    = {Tue, 15 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/WiikEW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/WitteraufT18,
  author       = {Michael Witterauf and
                  J{\"{u}}rgen Teich},
  title        = {Run-time Requirement Enforcement for Loop Programs on Processor Arrays},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {22--32},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8556911},
  doi          = {10.1109/MEMCOD.2018.8556911},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/WitteraufT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/memocode/XuYHZLXBF18,
  author       = {Jinmiao Xu and
                  Zhibin Yang and
                  Zhiqiu Huang and
                  Yong Zhou and
                  Chengwei Liu and
                  Lei Xue and
                  Jean{-}Paul Bodeveix and
                  Mamoun Filali},
  title        = {Hierarchical Behavior Annex: Towards an {AADL} Functional Specification
                  Extension},
  booktitle    = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  pages        = {88--98},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/MEMCOD.2018.8557005},
  doi          = {10.1109/MEMCOD.2018.8557005},
  timestamp    = {Mon, 17 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/memocode/XuYHZLXBF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/memocode/2018,
  title        = {16th {ACM/IEEE} International Conference on Formal Methods and Models
                  for System Design, {MEMOCODE} 2018, Beijing, China, October 15-18,
                  2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8536775/proceeding},
  isbn         = {978-1-5386-6195-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/memocode/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics