Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/AnuarTS09,
  author       = {Nazrul Anuar and
                  Yasuhiro Takahashi and
                  Toshikazu Sekine},
  title        = {Two phase clocked adiabatic static {CMOS} logic},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {83--86},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335671},
  doi          = {10.1109/SOCC.2009.5335671},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AnuarTS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AzevedoJ09,
  author       = {Arnaldo Azevedo and
                  Ben H. H. Juurlink},
  title        = {An efficient software cache for {H.264} motion compensation},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {147--150},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335657},
  doi          = {10.1109/SOCC.2009.5335657},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AzevedoJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BhardwajJ09,
  author       = {Kshitij Bhardwaj and
                  Rabindra Ku Jena},
  title        = {Energy and bandwidth aware mapping of IPs onto regular NoC architectures
                  using Multi-Objective Genetic Algorithms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {27--31},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335684},
  doi          = {10.1109/SOCC.2009.5335684},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BhardwajJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CampiKDNPJSDRPH09,
  author       = {Fabio Campi and
                  Ralf K{\"{o}}nig and
                  Michael Dreschmann and
                  M. Neukirchner and
                  Damien Picard and
                  M. J{\"{u}}ttner and
                  Eberhard Sch{\"{u}}ler and
                  Antonio Deledda and
                  Davide Rossi and
                  Alberto Pasini and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker and
                  Roberto Guerrieri},
  title        = {RTL-to-layout implementation of an embedded coarse grained architecture
                  for dynamically reconfigurable computing in systems-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {110--113},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335665},
  doi          = {10.1109/SOCC.2009.5335665},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CampiKDNPJSDRPH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoMCM09,
  author       = {Ewerson Carvalho and
                  C{\'{e}}sar A. M. Marcon and
                  Ney Calazans and
                  Fernando Moraes},
  title        = {Evaluation of static and dynamic task mapping algorithms in NoC-based
                  MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335672},
  doi          = {10.1109/SOCC.2009.5335672},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoMCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/EireinerSWSHF09,
  author       = {Matthias Eireiner and
                  Doris Schmitt{-}Landsiedel and
                  Paul Wallner and
                  Andreas Sch{\"{o}}ne and
                  Stephan Henzler and
                  Ulrich Fiedler},
  title        = {Adaptive circuit block model for power supply noise analysis of low
                  power system-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335686},
  doi          = {10.1109/SOCC.2009.5335686},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/EireinerSWSHF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GarziaANGB09,
  author       = {Fabio Garzia and
                  Roberto Airoldi and
                  Jari Nurmi and
                  Carmelo Giliberto and
                  Claudio Brunelli},
  title        = {Mapping of the {FFT} on a reconfigurable architecture targeted to
                  {SDR} applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335655},
  doi          = {10.1109/SOCC.2009.5335655},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GarziaANGB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GhavamiZSP09,
  author       = {Behnam Ghavami and
                  Hamid R. Zarandi and
                  Arezoo Salarpour and
                  Hossein Pedram},
  title        = {Diagnosis of faults in template-based asynchronous circuits},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {38--41},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335682},
  doi          = {10.1109/SOCC.2009.5335682},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GhavamiZSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GierenzPN09,
  author       = {Volker Gierenz and
                  Christian Panis and
                  Jari Nurmi},
  title        = {Physical realization oriented area-power-delay tradeoff exploration},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {32--37},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335681},
  doi          = {10.1109/SOCC.2009.5335681},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GierenzPN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HabliLE09,
  author       = {Haitham Habli and
                  Johan Lilius and
                  Johan Ersfolk},
  title        = {Analysis of memory access optimization for motion compensation frames
                  in {MPEG-4}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {114--117},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335666},
  doi          = {10.1109/SOCC.2009.5335666},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HabliLE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HassanCVS09,
  author       = {Faiz{-}ul Hassan and
                  B. Cheng and
                  Wim Vanderbauwhede and
                  Fernando Rodr{\'{\i}}guez Salazar},
  title        = {Impact of device variability in the communication structures for future
                  synchronous SoC designs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {68--72},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335676},
  doi          = {10.1109/SOCC.2009.5335676},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HassanCVS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KammlerBWALMC09,
  author       = {David Kammler and
                  Bastian Bauwens and
                  Ernst Martin Witte and
                  Gerd Ascheid and
                  Rainer Leupers and
                  Heinrich Meyr and
                  Anupam Chattopadhyay},
  title        = {Automatic generation of memory interfaces},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {77--82},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335674},
  doi          = {10.1109/SOCC.2009.5335674},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KammlerBWALMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN09,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {Fault-tolerant communication over Micronmesh {NOC} with Micron Message-Passing
                  protocol},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {5--12},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335685},
  doi          = {10.1109/SOCC.2009.5335685},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KimAS09,
  author       = {Eric P. Kim and
                  Rami A. Abdallah and
                  Naresh R. Shanbhag},
  title        = {Soft {NMR:} Exploiting statistics for energy-efficiency},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {52--55},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335677},
  doi          = {10.1109/SOCC.2009.5335677},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KimAS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KraemerLPP09,
  author       = {Stefan Kraemer and
                  Rainer Leupers and
                  Dietmar Petras and
                  Thomas Philipp},
  title        = {A checkpoint/restore framework for systemc-based virtual platforms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {161--167},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335656},
  doi          = {10.1109/SOCC.2009.5335656},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KraemerLPP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LattuadaPTF09,
  author       = {Marco Lattuada and
                  Christian Pilato and
                  Antonino Tumeo and
                  Fabrizio Ferrandi},
  title        = {Performance modeling of parallel applications on MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {64--67},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335675},
  doi          = {10.1109/SOCC.2009.5335675},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LattuadaPTF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaattaIOMGMN09,
  author       = {Sanna M{\"{a}}{\"{a}}tt{\"{a}} and
                  Leandro Soares Indrusiak and
                  Luciano Ost and
                  Leandro M{\"{o}}ller and
                  Manfred Glesner and
                  Fernando Gehm Moraes and
                  Jari Nurmi},
  title        = {Characterising embedded applications using a {UML} profile},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {172--175},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335654},
  doi          = {10.1109/SOCC.2009.5335654},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MaattaIOMGMN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MajzoubSWW09,
  author       = {Sohaib Majzoub and
                  Resve A. Saleh and
                  Steven J. E. Wilton and
                  Rabab Ward},
  title        = {Simultaneous PVT-tolerant voltage-island formation and core placement
                  for thousand-core platforms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335688},
  doi          = {10.1109/SOCC.2009.5335688},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/MajzoubSWW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/McKechnieBV09,
  author       = {Paul Edward McKechnie and
                  Michaela Blott and
                  Wim Vanderbauwhede},
  title        = {Automated instrumentation of FPGA-based systems for system-level transaction
                  monitoring},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {168--171},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335653},
  doi          = {10.1109/SOCC.2009.5335653},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/McKechnieBV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilojevicRCM09,
  author       = {Dragomir Milojevic and
                  Riko Radojcic and
                  Roger Carpenter and
                  Pol Marchal},
  title        = {Pathfinding: {A} design methodology for fast exploration and optimisation
                  of 3D-stacked integrated circuits},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {118--123},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335663},
  doi          = {10.1109/SOCC.2009.5335663},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilojevicRCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilutinovicGS09,
  author       = {Aleksandar Milutinovic and
                  Kees Goossens and
                  Gerard J. M. Smit},
  title        = {Dynamic workload peak detection for slack management},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {42--47},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335679},
  doi          = {10.1109/SOCC.2009.5335679},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilutinovicGS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NikaraATK09,
  author       = {Jari Nikara and
                  Eero Aho and
                  Petri A. Tuominen and
                  Kimmo Kuusilinna},
  title        = {Performance analysis of multi-channel memories in mobile devices},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {128--131},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335661},
  doi          = {10.1109/SOCC.2009.5335661},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NikaraATK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSH09,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Parameterizing simulated annealing for distributing Kahn Process Networks
                  on multiprocessor SoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {19--26},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335683},
  doi          = {10.1109/SOCC.2009.5335683},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PorquetSG09,
  author       = {Jo{\"{e}}l Porquet and
                  Christian Schwarz and
                  Alain Greiner},
  title        = {Multi-compartment: {A} new architecture for secure co-hosting on SoC},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {124--127},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335664},
  doi          = {10.1109/SOCC.2009.5335664},
  timestamp    = {Wed, 05 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PorquetSG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RodrigoHFSDMBMD09,
  author       = {Samuel Rodrigo and
                  Carles Hern{\'{a}}ndez and
                  Jos{\'{e}} Flich and
                  Federico Silla and
                  Jos{\'{e}} Duato and
                  Simone Medardoni and
                  Davide Bertozzi and
                  Andres Mejia and
                  Donglai Dai},
  title        = {Yield-oriented evaluation methodology of network-on-chip routing implementations},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {100--105},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335667},
  doi          = {10.1109/SOCC.2009.5335667},
  timestamp    = {Mon, 18 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/RodrigoHFSDMBMD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RossiCDMPWECGKH09,
  author       = {Davide Rossi and
                  Fabio Campi and
                  Antonio Deledda and
                  Claudio Mucci and
                  Stefano Pucillo and
                  Sean Whitty and
                  Rolf Ernst and
                  St{\'{e}}phane Chevobbe and
                  St{\'{e}}phane Guyetant and
                  Matthias K{\"{u}}hnle and
                  Michael H{\"{u}}bner and
                  J{\"{u}}rgen Becker and
                  Wolfram Putzke{-}R{\"{o}}ming},
  title        = {A multi-core signal processor for heterogeneous reconfigurable computing},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {106--109},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335668},
  doi          = {10.1109/SOCC.2009.5335668},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RossiCDMPWECGKH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RowenNF09,
  author       = {Chris Rowen and
                  Peter R. Nuth and
                  Stuart Fiske},
  title        = {A {DSP} architecture optimized for wireless baseband},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {151--156},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335658},
  doi          = {10.1109/SOCC.2009.5335658},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RowenNF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SaastamoinenNSL09,
  author       = {Piia Saastamoinen and
                  Jari Nurmi and
                  Ilkka Saastamoinen and
                  Mikko Laiho},
  title        = {Minimizing area costs in {GPS} applications on a programmable {DSP}
                  by code compression},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {91--94},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335669},
  doi          = {10.1109/SOCC.2009.5335669},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SaastamoinenNSL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SkeieSRFBM09,
  author       = {Tor Skeie and
                  Frank Olaf Sem{-}Jacobsen and
                  Samuel Rodrigo and
                  Jos{\'{e}} Flich and
                  Davide Bertozzi and
                  Simone Medardoni},
  title        = {Flexible {DOR} routing for virtualization of multicore chips},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335673},
  doi          = {10.1109/SOCC.2009.5335673},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SkeieSRFBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SongE09,
  author       = {Wei Song and
                  Doug A. Edwards},
  title        = {Building asynchronous routers with independent sub-channels},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {48--51},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335680},
  doi          = {10.1109/SOCC.2009.5335680},
  timestamp    = {Fri, 24 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/SongE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SzczesnySHBHF09,
  author       = {David Szczesny and
                  Anas Showk and
                  Sebastian Hessel and
                  Attila Bilgic and
                  Uwe Hildebrand and
                  Valerio Frascolla},
  title        = {Performance analysis of {LTE} protocol processing on an {ARM} based
                  mobile platform},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {56--63},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335678},
  doi          = {10.1109/SOCC.2009.5335678},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SzczesnySHBHF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TagelEJ09,
  author       = {Mihkel Tagel and
                  Peeter Ellervee and
                  Gert Jervan},
  title        = {Scheduling framework for real-time dependable NoC-based systems},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {95--99},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335670},
  doi          = {10.1109/SOCC.2009.5335670},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TagelEJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TuYIMG09,
  author       = {Zhenyu Tu and
                  Meng Yu and
                  Daniel Iancu and
                  Mayan Moudgill and
                  John Glossner},
  title        = {On the performance of 3GPP {LTE} baseband using {SB3500}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {138--142},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335659},
  doi          = {10.1109/SOCC.2009.5335659},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TuYIMG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VayaMGBP09,
  author       = {Guillermo Pay{\'{a}} Vay{\'{a}} and
                  Javier Mart{\'{\i}}n{-}Langerwerf and
                  Florian Giesemann and
                  Holger Blume and
                  Peter Pirsch},
  title        = {Instruction merging to increase parallelism in {VLIW} architectures},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335660},
  doi          = {10.1109/SOCC.2009.5335660},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VayaMGBP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WuELNTA09,
  author       = {Di Wu and
                  Johan Eilert and
                  Dake Liu and
                  Anders Nilsson and
                  Eric Tell and
                  Eric Alfredsson},
  title        = {System architecture for 3GPP {LTE} modem using a programmable baseband
                  processor},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  pages        = {132--137},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/SOCC.2009.5335662},
  doi          = {10.1109/SOCC.2009.5335662},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WuELNTA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2009,
  title        = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2009,
                  Tampere, Finland, October 6-7, 2008},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5314285/proceeding},
  isbn         = {978-1-4244-4465-6},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics