Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2008.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/AhmadiniaAA08,
  author       = {Ali Ahmadinia and
                  Balal Ahmad and
                  Tughrul Arslan},
  title        = {A state based framework for efficient system-level power estimation
                  of of costum reconfigurable cores},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694889},
  doi          = {10.1109/ISSOC.2008.4694889},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AhmadiniaAA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/AminzadehLM08,
  author       = {Hamed Aminzadeh and
                  Reza Lotfi and
                  Khalil Mafinezhad},
  title        = {Area-efficient low-cost low-dropout regulators using {MOS} capacitors},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694856},
  doi          = {10.1109/ISSOC.2008.4694856},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/AminzadehLM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ArjomandSA08,
  author       = {Mohammad Arjomand and
                  Hamid Sarbazi{-}Azad and
                  S. Hamid Amiri},
  title        = {Multi-Objective Genetic optimized multiprocessor SoC design},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694887},
  doi          = {10.1109/ISSOC.2008.4694887},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ArjomandSA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ben-AsherR08,
  author       = {Yosi Ben{-}Asher and
                  Nadav Rotem},
  title        = {Synthesis for variable pipelined function units},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694874},
  doi          = {10.1109/ISSOC.2008.4694874},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ben-AsherR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BergBL08,
  author       = {Heikki Berg and
                  Claudio Brunelli and
                  Ulf L{\"{u}}cking},
  title        = {Analyzing models of computation for software defined radio applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694886},
  doi          = {10.1109/ISSOC.2008.4694886},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BergBL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoukhechemB08,
  author       = {Sami Boukhechem and
                  El{-}Bay Bourennane},
  title        = {TLMCO-simulation for an open source {MPSOC} platform under STARSoC
                  environment},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694862},
  doi          = {10.1109/ISSOC.2008.4694862},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoukhechemB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoutekkoukBAB08,
  author       = {Fateh Boutekkouk and
                  S{\'{e}}bastien Bilavarn and
                  Michel Auguin and
                  Mohammed Benmohammed},
  title        = {{UML} profile for estimating application Worst Case Execution Time
                  on System-on-Chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694865},
  doi          = {10.1109/ISSOC.2008.4694865},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoutekkoukBAB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CarvalhoM08,
  author       = {Ewerson Carvalho and
                  Fernando Moraes},
  title        = {Congestion-aware task mapping in heterogeneous MPSoCs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694878},
  doi          = {10.1109/ISSOC.2008.4694878},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CarvalhoM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Elrabaa08,
  author       = {Muhammad E. S. Elrabaa},
  title        = {A two-phase return-to-zero {(RZ)} asynchronous transceiver circuit
                  for pipe-lined SoC interconnects},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694881},
  doi          = {10.1109/ISSOC.2008.4694881},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Elrabaa08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GargaAVNJ08,
  author       = {Ganesh Garga and
                  Mythri Alle and
                  Keshavan Varadarajan and
                  S. K. Nandy and
                  H. S. Jamadagni},
  title        = {Realizing a flexible constraint length Viterbi decoder for software
                  radio on a de Bruijn interconnection network},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694861},
  doi          = {10.1109/ISSOC.2008.4694861},
  timestamp    = {Tue, 27 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GargaAVNJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GarziaBGAN08,
  author       = {Fabio Garzia and
                  Claudio Brunelli and
                  Carmelo Giliberto and
                  Roberto Airoldi and
                  Jari Nurmi},
  title        = {Implementation of {W-CDMA} slot synchronization on a reconfigurable
                  System-on-Chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694868},
  doi          = {10.1109/ISSOC.2008.4694868},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GarziaBGAN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GeorgiopoulosDG08,
  author       = {Stavros Georgiopoulos and
                  Grigoris Dimitroulakos and
                  Costas E. Goutis},
  title        = {Integrating high speed multipliers in Coarse Grain Reconfigurable
                  Arrays},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694885},
  doi          = {10.1109/ISSOC.2008.4694885},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GeorgiopoulosDG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuzmaBKT08,
  author       = {Vladim{\'{\i}}r Guzma and
                  Shuvra S. Bhattacharyya and
                  Pertti Kellom{\"{a}}ki and
                  Jarmo Takala},
  title        = {Trade-offs in mapping high-level dataflow graphs onto ASIPs},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694876},
  doi          = {10.1109/ISSOC.2008.4694876},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuzmaBKT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HenzenCFF08,
  author       = {Luca Henzen and
                  Flavio Carbognani and
                  Norbert Felber and
                  Wolfgang Fichtner},
  title        = {{FPGA} implementation of a 2G fibre channel link encryptor with authenticated
                  encryption mode {GCM}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694859},
  doi          = {10.1109/ISSOC.2008.4694859},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HenzenCFF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolmaASHH08,
  author       = {Kalle Holma and
                  Tero Arpinen and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Real-time execution monitoring on multi-processor system-on-chip},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694872},
  doi          = {10.1109/ISSOC.2008.4694872},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolmaASHH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HurskainenRN08,
  author       = {Heikki Hurskainen and
                  Jussi Raasakka and
                  Jari Nurmi},
  title        = {Specification of {GNSS} application for multiprocessor platform},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694866},
  doi          = {10.1109/ISSOC.2008.4694866},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HurskainenRN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JalierLS08,
  author       = {Camille Jalier and
                  Didier Lattard and
                  Gilles Sassatelli},
  title        = {A flexible modeling and simulation framework for Design Space Exploration},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694863},
  doi          = {10.1109/ISSOC.2008.4694863},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JalierLS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KariniemiN08,
  author       = {Heikki Kariniemi and
                  Jari Nurmi},
  title        = {Micronmesh for fault-tolerant {GALS} Multiprocessors on {FPGA}},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694870},
  doi          = {10.1109/ISSOC.2008.4694870},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KariniemiN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KimPAMLCKKL08,
  author       = {Taeyoon Kim and
                  Wonki Park and
                  Heesun Ahn and
                  Kyongwon Min and
                  Sangyong Lee and
                  Jongchan Choi and
                  Chulwoo Kim and
                  Kynnyun Kim and
                  Sungchul Lee},
  title        = {A 110 dB, 3-mW fourth-order {\(\Sigma\)}-{\(\Delta\)} modulator for
                  atmospheric pressure sensor},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694888},
  doi          = {10.1109/ISSOC.2008.4694888},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KimPAMLCKKL08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KootiMHT08,
  author       = {H. Kooti and
                  Mohammad Mirza{-}Aghatabar and
                  Shaahin Hessabi and
                  Arash Tavakkol},
  title        = {Energy analysis of re-injection based deadlock recovery routing algorithms},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694864},
  doi          = {10.1109/ISSOC.2008.4694864},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KootiMHT08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MaPTZ08,
  author       = {Ning Ma and
                  Zhibo Pang and
                  Hannu Tenhunen and
                  Lirong Zheng},
  title        = {An ASIC-design-based configurable {SOC} architecture for networked
                  media},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694877},
  doi          = {10.1109/ISSOC.2008.4694877},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MaPTZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MeitingerOWH08,
  author       = {Michael Meitinger and
                  Rainer Ohlendorf and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {FlexPath {NP} - {A} network processor architecture with flexible processing
                  paths},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694869},
  doi          = {10.1109/ISSOC.2008.4694869},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MeitingerOWH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MilutinovicGS08,
  author       = {Aleksandar Milutinovic and
                  Kees Goossens and
                  Gerard J. M. Smit},
  title        = {Impact of power-management granularity on the energy-quality trade-off
                  for soft and hard real-time applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694891},
  doi          = {10.1109/ISSOC.2008.4694891},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MilutinovicGS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MinasKRY08,
  author       = {Nikolaos Minas and
                  David Kinniment and
                  Gordon Russell and
                  Alex Yakovlev},
  title        = {High resolution flash time-to-digital converter with sub-picosecond
                  measurement capabilities},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694882},
  doi          = {10.1109/ISSOC.2008.4694882},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MinasKRY08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NikunenHK08,
  author       = {Karri Nikunen and
                  Hannu Heusala and
                  Jeppe Komulainen},
  title        = {Configuring Smart Objects over cognitive radio},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694871},
  doi          = {10.1109/ISSOC.2008.4694871},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NikunenHK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSHH08,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Evaluation of heterogeneous multiprocessor architectures by energy
                  and performance optimization},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694884},
  doi          = {10.1109/ISSOC.2008.4694884},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSHH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrtizBQGMM08,
  author       = {Manuel Ortiz and
                  Mar{\'{\i}}a Brox and
                  Francisco Javier Quiles{-}Latorre and
                  Andr{\'{e}}s Gersnoviez and
                  Carlos Diego Moreno{-}Moreno and
                  M. Montijano},
  title        = {Using soft processors for component design in {SOC:} {A} case-study
                  of timers},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694873},
  doi          = {10.1109/ISSOC.2008.4694873},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrtizBQGMM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenKH08,
  author       = {Erno Salminen and
                  Ari Kulmala and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {On the credibility of load-latency measurement of network-on-chips},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694860},
  doi          = {10.1109/ISSOC.2008.4694860},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenKH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchweigerZ08,
  author       = {Kurt Schweiger and
                  Horst Zimmermann},
  title        = {A 65nm {CMOS} down-sampling micromixer with enhanced {DC} current
                  capability},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694857},
  doi          = {10.1109/ISSOC.2008.4694857},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchweigerZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/StergiouJ08,
  author       = {Stergios Stergiou and
                  Jawahar Jain},
  title        = {Optimizing routing tables on systems-on-chip with Content-Addressable
                  Memories},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694879},
  doi          = {10.1109/ISSOC.2008.4694879},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/StergiouJ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/UhrmannZ08,
  author       = {Heimo Uhrmann and
                  Horst Zimmermann},
  title        = {A 1V current-mode filter in 65nm {CMOS} using capacitance multiplication},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694858},
  doi          = {10.1109/ISSOC.2008.4694858},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/UhrmannZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ValinatajMS08,
  author       = {Mojtaba Valinataj and
                  Siamak Mohammadi and
                  Saeed Safari},
  title        = {Inherent reliability evaluation of Networks-on-Chip based on analytical
                  models},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694867},
  doi          = {10.1109/ISSOC.2008.4694867},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ValinatajMS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangJZZ08,
  author       = {Peng Wang and
                  Fredrik Jonsson and
                  Dian Zhou and
                  Lirong Zheng},
  title        = {Low noise amplifier architecture analysis for {UWB} system},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694890},
  doi          = {10.1109/ISSOC.2008.4694890},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/WangJZZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangTZZ08,
  author       = {Peng Wang and
                  Hannu Tenhunen and
                  Dian Zhou and
                  Lirong Zheng},
  title        = {{PER} performance enhancement through antenna and transceiver co-design
                  for multi-band {OFDM} {UWB} communication},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694875},
  doi          = {10.1109/ISSOC.2008.4694875},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/WangTZZ08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/YiLH08,
  author       = {Maoxiang Yi and
                  Huaguo Liang and
                  Zhengfeng Huang},
  title        = {Balancing wrapper chains of SoC core based on best interchange decreasing},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694880},
  doi          = {10.1109/ISSOC.2008.4694880},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/YiLH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhengJZCH08,
  author       = {Liang Rong and
                  Fredrik Jonsson and
                  Lirong Zheng and
                  Mats Carlsson and
                  Charlotta Hedenas},
  title        = {{RF} transmitter architecture investigation for power efficient mobile
                  WiMAX applications},
  booktitle    = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISSOC.2008.4694883},
  doi          = {10.1109/ISSOC.2008.4694883},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhengJZCH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2008,
  title        = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008,
                  Tampere, Finland, November 5-6, 2008},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4686745/proceeding},
  isbn         = {978-1-4244-2541-9},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics