Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2007.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/AssaadC07,
  author       = {Maher Assaad and
                  David R. S. Cumming},
  title        = {{CMOS} {IC} Design and Verilog-A Modelling of 10-Gb/s PLL-Based Deserializer
                  for Inter-Chip Communication in {SOC}},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427420},
  doi          = {10.1109/ISSOC.2007.4427420},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/AssaadC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BoutellierJS07,
  author       = {Jani Boutellier and
                  Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Olli Silv{\'{e}}n},
  title        = {Run-Time Scheduled Hardware Acceleration of {MPEG-4} Video Decoding},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427425},
  doi          = {10.1109/ISSOC.2007.4427425},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BoutellierJS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CartaMAM07,
  author       = {Salvatore Carta and
                  Fabio Mereu and
                  Andrea Acquaviva and
                  Giovanni De Micheli},
  title        = {MiGra: {A} Task Migration Algorithm for Reducing Temperature Gradient
                  in Multiprocessor Systems on Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427441},
  doi          = {10.1109/ISSOC.2007.4427441},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CartaMAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/CroftB07,
  author       = {Mark Croft and
                  Stephen Bailey},
  title        = {Is Your Low Power Design Switched On?},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427451},
  doi          = {10.1109/ISSOC.2007.4427451},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/CroftB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FredrikssonS07,
  author       = {Henrik Fredriksson and
                  Christer Svensson},
  title        = {3-Gb/s, Single-ended Adaptive Equalization of Bidirectional Data over
                  a Multi-drop Bus},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427445},
  doi          = {10.1109/ISSOC.2007.4427445},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FredrikssonS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FujitaIS07,
  author       = {Kazuhide Fujita and
                  Kiyoto Ito and
                  Tadashi Shibata},
  title        = {A Feature-Based Optical Flow Processor Architecture Featuring Single-Motion-Vector/Cycle
                  Generation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427444},
  doi          = {10.1109/ISSOC.2007.4427444},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FujitaIS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Goossens07,
  author       = {Gert Goossens},
  title        = {Multi-ASIP SoCs - or how to design ultra-low power architectures for
                  wireless and multi-media systems},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427452},
  doi          = {10.1109/ISSOC.2007.4427452},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Goossens07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HansonZBS07,
  author       = {Scott Hanson and
                  Bo Zhai and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Energy-Optimal Circuit Design},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427450},
  doi          = {10.1109/ISSOC.2007.4427450},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HansonZBS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HeyrmanPCVP07,
  author       = {Kris Heyrman and
                  Antonis Papanikolaou and
                  Francky Catthoor and
                  Peter Veelaert and
                  Wilfried Philips},
  title        = {Using a Linear Sectioned Bus And a Communication Processor to Reduce
                  Energy Costs in Synchronous On-Chip Communication},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427432},
  doi          = {10.1109/ISSOC.2007.4427432},
  timestamp    = {Sat, 30 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HeyrmanPCVP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolzenspiesSK07,
  author       = {Philip K. F. H{\"{o}}lzenspies and
                  Gerard J. M. Smit and
                  Jan Kuper},
  title        = {Mapping streaming applications on a reconfigurable MPSoC platform
                  at run-time},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427443},
  doi          = {10.1109/ISSOC.2007.4427443},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolzenspiesSK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ItoS07,
  author       = {Kiyoto Ito and
                  Tadashi Shibata},
  title        = {Mixed-Signal Focal-Plane Image Processor Employing Tme-domaiin Computation
                  Architecture},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427428},
  doi          = {10.1109/ISSOC.2007.4427428},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ItoS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JamesKJS07,
  author       = {Rekha K. James and
                  Shahana Thottathikkulam Kassim and
                  K. Poulose Jacob and
                  Sreela Sasi},
  title        = {A New Look at Reversible Logic Implementation of Decimal Adder},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427442},
  doi          = {10.1109/ISSOC.2007.4427442},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JamesKJS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JindalM07,
  author       = {Rohit Jindal and
                  Laurent Maillet{-}Contoz},
  title        = {Rendezvous-based MoC for untimed {TLM}},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427449},
  doi          = {10.1109/ISSOC.2007.4427449},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JindalM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KafkaDN07,
  author       = {Leos Kafka and
                  Martin Danek and
                  Ondrej Nov{\'{a}}k},
  title        = {A Novel Emulation Technique that Preserves Circuit Structure and Timing},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427437},
  doi          = {10.1109/ISSOC.2007.4427437},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KafkaDN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Leibson07,
  author       = {Steve Leibson},
  title        = {Reduce {SOC} Energy Consumption through Processor {ISA} Extension},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427427},
  doi          = {10.1109/ISSOC.2007.4427427},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Leibson07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MakelaV07,
  author       = {Raimo M{\"{a}}kel{\"{a}} and
                  Olli Vainio},
  title        = {Managing Concurrency by Supporting Object-oriented Programming with
                  Hybrid Data-driven Control-flow Processor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427421},
  doi          = {10.1109/ISSOC.2007.4427421},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MakelaV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MedardoniBBM07,
  author       = {Simone Medardoni and
                  Davide Bertozzi and
                  Luca Benini and
                  Enrico Macii},
  title        = {Control and datapath decoupling in the design of a NoC switch: area,
                  power and performance implications},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427438},
  doi          = {10.1109/ISSOC.2007.4427438},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MedardoniBBM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MucciVDCG07,
  author       = {Claudio Mucci and
                  Luca Vanzolini and
                  Antonio Deledda and
                  Fabio Campi and
                  Gerard Gaillat},
  title        = {Intelligent cameras and embedded reconfigurable computing: a case-study
                  on motion detection},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427440},
  doi          = {10.1109/ISSOC.2007.4427440},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MucciVDCG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NejadTZ07,
  author       = {Majid Baghaei Nejad and
                  Hannu Tenhunen and
                  Lirong Zheng},
  title        = {Power Management and Clock Generator for a Novel Passive {UWB} Tag},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427448},
  doi          = {10.1109/ISSOC.2007.4427448},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NejadTZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NordstromA07,
  author       = {Susanna Nordstrom and
                  Lars Asplund},
  title        = {Configurable Hardware/Software Support for Single Processor Real-Time
                  Kernels},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427426},
  doi          = {10.1109/ISSOC.2007.4427426},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NordstromA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaSHH07,
  author       = {Heikki Orsila and
                  Erno Salminen and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Optimal Subset Mapping And Convergence Evaluation of Mapping Algorithms
                  for Distributing Task Graphs on Multiprocessor SoC},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427433},
  doi          = {10.1109/ISSOC.2007.4427433},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaSHH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PalkovicCC07,
  author       = {Martin Palkovic and
                  Henk Corporaal and
                  Francky Catthoor},
  title        = {Heuristics for Scenario Creation to Enable General Loop Transformations},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427430},
  doi          = {10.1109/ISSOC.2007.4427430},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PalkovicCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/QuSN07,
  author       = {Yang Qu and
                  Juha{-}Pekka Soininen and
                  Jari Nurmi},
  title        = {A Configuration Locking Technique to Reduce the Configuration Overhead
                  of Run-Time Reconfigurable Devices},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427423},
  doi          = {10.1109/ISSOC.2007.4427423},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/QuSN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalzmannST07,
  author       = {Jakob Salzmann and
                  Frank Sill and
                  Dirk Timmermann},
  title        = {Algorithm for Fast Statistical Timing Analysis},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427424},
  doi          = {10.1109/ISSOC.2007.4427424},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalzmannST07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SantambrogioGM07,
  author       = {Marco D. Santambrogio and
                  Matteo Giani and
                  Seda Ogrenci Memik},
  title        = {Managing Reconfigurable Resources in Heterogeneous Cores Using Portable
                  Pre-Synthesized Templates},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427446},
  doi          = {10.1109/ISSOC.2007.4427446},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SantambrogioGM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SanttiTP07,
  author       = {Tero S{\"{a}}ntti and
                  Joonas Tyystj{\"{a}}rvi and
                  Juha Plosila},
  title        = {{FPGA} Prototype of the REALJava Co-Processor},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427434},
  doi          = {10.1109/ISSOC.2007.4427434},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SanttiTP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Schreiner07,
  author       = {Guido Schreiner},
  title        = {Development of Complex SoC Devices Require New Design Technologies},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427435},
  doi          = {10.1109/ISSOC.2007.4427435},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Schreiner07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShikanoIFS07,
  author       = {Hirotsugu Shikano and
                  Kiyoto Ito and
                  Kazuhide Fujita and
                  Tadashi Shibata},
  title        = {A Real-Time Learning Processor Based on K-means Algorithm with Automatic
                  Seeds Generation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427431},
  doi          = {10.1109/ISSOC.2007.4427431},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShikanoIFS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TennantEAT07,
  author       = {Mark P. Tennant and
                  Ahmet T. Erdogan and
                  Tughrul Arslan and
                  John S. Thompson},
  title        = {A New {LMMSE} Receiver Architecture With Dynamic Filter Length Optimisation},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427439},
  doi          = {10.1109/ISSOC.2007.4427439},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/TennantEAT07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TotaCRRZ07,
  author       = {Sergio Tota and
                  Mario R. Casu and
                  Paolo Motto Ros and
                  Massimo Ruo Roch and
                  Maurizio Zamboni},
  title        = {The NoCRay Graphic Accelerator: a Case-study for MP-SoC Network-on-Chip
                  Design Methodology},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427429},
  doi          = {10.1109/ISSOC.2007.4427429},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TotaCRRZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VaratkarNSJ07,
  author       = {Girish Varatkar and
                  Sriram Narayanan and
                  Naresh R. Shanbhag and
                  Douglas L. Jones},
  title        = {Sensor Network-On-Chip},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427447},
  doi          = {10.1109/ISSOC.2007.4427447},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VaratkarNSJ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WiggersBKS07,
  author       = {W. A. Wiggers and
                  Vincent Bakker and
                  Andr{\'{e}} B. J. Kokkeler and
                  Gerard J. M. Smit},
  title        = {Implementing the conjugate gradient algorithm on multi-core systems},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427436},
  doi          = {10.1109/ISSOC.2007.4427436},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WiggersBKS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WirthF07,
  author       = {Gilson I. Wirth and
                  Christian Fayomi},
  title        = {The Bulk Built In Current Sensor Approach for Single Event Transient
                  Detection},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427422},
  doi          = {10.1109/ISSOC.2007.4427422},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WirthF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZhangKS07,
  author       = {Qiwei Zhang and
                  Andr{\'{e}} B. J. Kokkeler and
                  Gerard J. M. Smit},
  title        = {A System-level Design Method for Cognitive Radio on a Reconfigurable
                  Multi-processor Architecture},
  booktitle    = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISSOC.2007.4427419},
  doi          = {10.1109/ISSOC.2007.4427419},
  timestamp    = {Tue, 21 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZhangKS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2007,
  title        = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland,
                  November 20-21, 2007},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4427417/proceeding},
  isbn         = {978-1-4244-1368-3},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics