Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2005.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/BarsottiMMP05,
  author       = {Natale Barsotti and
                  Riccardo Mariani and
                  Matteo Martinelli and
                  Mario Pasquariello},
  title        = {Dynamic Verification of OCP-based SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {22},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595634},
  doi          = {10.1109/ISSOC.2005.1595634},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BarsottiMMP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BjerregaardMOS05,
  author       = {Tobias Bjerregaard and
                  Shankar Mahadevan and
                  Rasmus Gr{\o}ndahl Olsen and
                  Jens Spars{\o}},
  title        = {An {OCP} Compliant Network Adapter for GALS-based SoC Design Using
                  the {MANGO} Network-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595670},
  doi          = {10.1109/ISSOC.2005.1595670},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BjerregaardMOS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliGNMCR05,
  author       = {Claudio Brunelli and
                  Fabio Garzia and
                  Jari Nurmi and
                  Claudio Mucci and
                  Fabio Campi and
                  Davide Rossi},
  title        = {A {FPGA} Implementation of An Open-Source Floating-Point Computation
                  System},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595636},
  doi          = {10.1109/ISSOC.2005.1595636},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliGNMCR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ChangFHZ05,
  author       = {Xiaotao Chang and
                  Dongrui Fan and
                  Yinhe Han and
                  Zhimin Zhang},
  title        = {SoC Leakage Power Reduction Algorithm by Input Vector Control},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {86--89},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595651},
  doi          = {10.1109/ISSOC.2005.1595651},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ChangFHZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Chown05,
  author       = {Bill Chown},
  title        = {System-level modeling and validation increase design productivity
                  and save errors},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {7},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595631},
  doi          = {10.1109/ISSOC.2005.1595631},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Chown05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Forsell05,
  author       = {Martti Forsell},
  title        = {ParLe - {A} Parallel Computing Learning Set for MPSOCs/NOCs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {90--95},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595652},
  doi          = {10.1109/ISSOC.2005.1595652},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Forsell05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FurberB05,
  author       = {Steve B. Furber and
                  John Bainbridge},
  title        = {Future Trends in SoC Interconnect},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595673},
  doi          = {10.1109/ISSOC.2005.1595673},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FurberB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GogniatWB05,
  author       = {Guy Gogniat and
                  Tilman Wolf and
                  Wayne P. Burleson},
  title        = {Reconfigurable Security Primitive for Embedded Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {23--28},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595635},
  doi          = {10.1109/ISSOC.2005.1595635},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GogniatWB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HamalainenLHH05,
  author       = {Panu H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Ning Liu and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Acceleration of Modular Exponentiation on System-on-a-Programmable-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {14--17},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595632},
  doi          = {10.1109/ISSOC.2005.1595632},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HamalainenLHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HarjuN05,
  author       = {Lasse Harju and
                  Jari Nurmi},
  title        = {A Synchronization Coprocessor Architecture for {WCDMA/OFDM} Mobile
                  Terminal Implementations},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {141--145},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595664},
  doi          = {10.1109/ISSOC.2005.1595664},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HarjuN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HolzerR05,
  author       = {Martin Holzer and
                  Markus Rupp},
  title        = {Static Estimation of Execution Times for Hardware Accelerators in
                  System-on-Chips},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {62--65},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595645},
  doi          = {10.1109/ISSOC.2005.1595645},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HolzerR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Innamaa05,
  author       = {Antti Innamaa},
  title        = {{FPGA} Prototyping: Untapping Potential within the Multimillion-Gate
                  System-on-Chip Design Space},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595662},
  doi          = {10.1109/ISSOC.2005.1595662},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Innamaa05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/IsomakiA05,
  author       = {Petri Isom{\"{a}}ki and
                  Nastooh Avessta},
  title        = {Rapid Refinable SoC {SDR} Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {120--123},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595659},
  doi          = {10.1109/ISSOC.2005.1595659},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/IsomakiA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JastrzebskiPP05,
  author       = {Rafal P. Jastrzebski and
                  Riku P{\"{o}}ll{\"{a}}nen and
                  Olli Pyrh{\"{o}}nen},
  title        = {Analysis of System Architecture of FPGA-based Embedded Controller
                  for Magnetically Suspended Rotor},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {128--132},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595661},
  doi          = {10.1109/ISSOC.2005.1595661},
  timestamp    = {Tue, 07 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JastrzebskiPP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JayapalSM05,
  author       = {Senthilkumar Jayapal and
                  Shanthi Sudalaiyandi and
                  Yiannos Manoli},
  title        = {Efficiency of Leakage Reduction Techniques on Different Static Logic
                  Styles for Embedded Portable Applications with High Standby to Active
                  Time Ratio},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {151--154},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595666},
  doi          = {10.1109/ISSOC.2005.1595666},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JayapalSM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KleinAA05,
  author       = {Felipe Klein and
                  Rodolfo Azevedo and
                  Guido Araujo},
  title        = {High-Level Switching Activity Prediction Through Sampled Monitored
                  Simulation},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {161--166},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595668},
  doi          = {10.1109/ISSOC.2005.1595668},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KleinAA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrekuES05,
  author       = {Jari Kreku and
                  Matti Etel{\"{a}}per{\"{a}} and
                  Juha{-}Pekka Soininen},
  title        = {Exploitation of {UML} 2.0 - Based Platform Service Model and SystemC
                  Workload Simulation in {MPEG-4} Partitioning},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {167--170},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595669},
  doi          = {10.1109/ISSOC.2005.1595669},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KrekuES05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KukkalaHH05,
  author       = {Petri Kukkala and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Performance Modeling and Reporting for the {UML} 2.0 Design of Embedded
                  Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {50--53},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595642},
  doi          = {10.1109/ISSOC.2005.1595642},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KukkalaHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeeAK05,
  author       = {Jae{-}Gon Lee and
                  Ki{-}Yong Ahn and
                  Chong{-}Min Kyung},
  title        = {Predictive Synchronization Scheme between Simulator And Accelerator
                  Free from Performance Deterioration},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {100--103},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595654},
  doi          = {10.1109/ISSOC.2005.1595654},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeeAK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/LeeKKKC05,
  author       = {SangKyu Lee and
                  JeongEun Kim and
                  Namsub Kim and
                  Jinsang Kim and
                  Won{-}Kyung Cho},
  title        = {Multiplierless Reconfigurable Processing Element And Its Applications
                  to {DSP} Kernels},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595637},
  doi          = {10.1109/ISSOC.2005.1595637},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/LeeKKKC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Murali05,
  author       = {Srinivasan Murali},
  title        = {Design Methodologies and {CAD} Tool Flows for Networks on Chips},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595629},
  doi          = {10.1109/ISSOC.2005.1595629},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Murali05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NettoBA05,
  author       = {Eduardo Braulio Wanderley Netto and
                  Eduardo Afonso Billo and
                  Rodolfo Azevedo},
  title        = {Exploiting the Area {X} Performance Trade-off with Code Compression},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {42--45},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595640},
  doi          = {10.1109/ISSOC.2005.1595640},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NettoBA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/NigussiePI05,
  author       = {Ethiopia Nigussie and
                  Juha Plosila and
                  Jouni Isoaho},
  title        = {Reliable Asynchronous Links for SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {124--127},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595660},
  doi          = {10.1109/ISSOC.2005.1595660},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/NigussiePI05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Nurmi05,
  author       = {Jari Nurmi},
  title        = {Network-on-Chip: {A} New Paradigm for System-on-Chip Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {2--6},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595630},
  doi          = {10.1109/ISSOC.2005.1595630},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Nurmi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlugbonAL05,
  author       = {Adeoye Olugbon and
                  Tughrul Arslan and
                  Iain Lindsay},
  title        = {A Formal Approach to Virtualisation and Provisioning in {AMBA} AHB-based
                  Reconfigurable Systems-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {175--178},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595671},
  doi          = {10.1109/ISSOC.2005.1595671},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OlugbonAL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlugbonALM05,
  author       = {Adeoye Olugbon and
                  Tughrul Arslan and
                  Iain Lindsay and
                  Scott MacDougall},
  title        = {Providing Compilers and Application Program Support for Reconfigurable
                  SoCs: Radical but Overdue},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {54--57},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595643},
  doi          = {10.1109/ISSOC.2005.1595643},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OlugbonALM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OrsilaKH05,
  author       = {Heikki Orsila and
                  Tero Kangas and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Hybrid Algorithm for Mapping Static Task Graphs on Multiprocessor
                  SoCs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {146--150},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595665},
  doi          = {10.1109/ISSOC.2005.1595665},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/OrsilaKH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PapanikolaouSMB05,
  author       = {Antonis Papanikolaou and
                  F. Starzer and
                  Miguel Miranda and
                  Koenraad De Bosschere and
                  Francky Catthoor},
  title        = {Architectural and Physical Design Optimizations for Efficient Intra-tile
                  Communication},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {112--115},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595657},
  doi          = {10.1109/ISSOC.2005.1595657},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/PapanikolaouSMB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Puusaari05,
  author       = {Kimmo Puusaari},
  title        = {Application Specific Instruction Set Processor Microarchitecture for
                  {UTMS-FDD} Cell Search},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {46--49},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595641},
  doi          = {10.1109/ISSOC.2005.1595641},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Puusaari05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RiihimakiKKHH05,
  author       = {Jouni Riihim{\"{a}}ki and
                  Petri Kukkala and
                  Tero Kangas and
                  Marko H{\"{a}}nnik{\"{a}}inen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Interfacing {UML} 2.0 for Multiprocessor System-on-Chip Design Flow},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {108--111},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595656},
  doi          = {10.1109/ISSOC.2005.1595656},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RiihimakiKKHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RivatonQZWS05,
  author       = {Arnaud Rivaton and
                  J{\'{e}}r{\^{o}}me Qu{\'{e}}vremont and
                  Qiwei Zhang and
                  Pascal T. Wolkotte and
                  Gerard J. M. Smit},
  title        = {Implementing Non Power-of-Two FFTs on Coarse-Grain Reconfigurable
                  Architectures},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {74--77},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595648},
  doi          = {10.1109/ISSOC.2005.1595648},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RivatonQZWS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RowenDL05,
  author       = {Chris Rowen and
                  Ashish Dixit and
                  Steve Leibson},
  title        = {Low-Power {SOC} Design Using Configurable Processors-The Non-Nuclear
                  Option},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {8--13},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.4659334},
  doi          = {10.1109/ISSOC.2005.4659334},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RowenDL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SanttiP05,
  author       = {Tero S{\"{a}}ntti and
                  Juha Plosila},
  title        = {Instruction Folding for an Asynchronous Java Co-Processor},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {18--21},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595633},
  doi          = {10.1109/ISSOC.2005.1595633},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SanttiP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SarkarSG05,
  author       = {Soujanna Sarkar and
                  Sanjay Shinde and
                  Subash Chandar G.},
  title        = {An Effective {IP} Reuse Methodology for Quality System-on-Chip Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {104--107},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595655},
  doi          = {10.1109/ISSOC.2005.1595655},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SarkarSG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SmitHS05,
  author       = {Lodewijk T. Smit and
                  Johann L. Hurink and
                  Gerard J. M. Smit},
  title        = {Run-time Mapping of Applications to a Heterogeneous SoC},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {78--81},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595649},
  doi          = {10.1109/ISSOC.2005.1595649},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SmitHS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SmitSBQB05,
  author       = {Gerard J. M. Smit and
                  Eberhard Sch{\"{u}}ler and
                  J{\"{u}}rgen Becker and
                  J{\'{e}}r{\^{o}}me Qu{\'{e}}vremont and
                  Werner Brugger},
  title        = {Overview of the 4S Project},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {70--73},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595647},
  doi          = {10.1109/ISSOC.2005.1595647},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SmitSBQB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SugiharaTNIHKHK05,
  author       = {Makoto Sugihara and
                  Taiga Takata and
                  Kenta Nakamura and
                  Ryoichi Inanami and
                  Hiroaki Hayashi and
                  Katsumi Kishimoto and
                  Tetsuya Hasebe and
                  Yukihiro Kawano and
                  Yusuke Matsunaga and
                  Kazuaki J. Murakami and
                  Katsuya Okumura},
  title        = {Cell Library Development Methodology for Throughput Enhancement of
                  Electron Beam Direct-Write Lithography Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595663},
  doi          = {10.1109/ISSOC.2005.1595663},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SugiharaTNIHKHK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TuominenSP05,
  author       = {Johanna Tuominen and
                  Tero S{\"{a}}ntti and
                  Juha Plosila},
  title        = {Towards a Formal Power Estimation Framework for Hardware Systems},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {96--99},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595653},
  doi          = {10.1109/ISSOC.2005.1595653},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TuominenSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/VirkHM05,
  author       = {Kashif Virk and
                  Knud Hansen and
                  Jan Madsen},
  title        = {System-level Modeling of Wireless Integrated Sensor Networks},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {179--182},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595672},
  doi          = {10.1109/ISSOC.2005.1595672},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/VirkHM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangN05,
  author       = {Xin Wang and
                  Jari Nurmi},
  title        = {An On-Chip {CDMA} Communication Network},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {155--160},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595667},
  doi          = {10.1109/ISSOC.2005.1595667},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WangN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WesterlundP05,
  author       = {Tomi Westerlund and
                  Juha Plosila},
  title        = {Formal Modelling of Synchronous Hardware Components for System-on-Chip},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {116--119},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595658},
  doi          = {10.1109/ISSOC.2005.1595658},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WesterlundP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WolkotteSKBB05,
  author       = {Pascal T. Wolkotte and
                  Gerard J. M. Smit and
                  Nikolay Kavaldjiev and
                  Jens E. Becker and
                  J{\"{u}}rgen Becker},
  title        = {Energy Model of Networks-on-Chip and a Bus},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {82--85},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595650},
  doi          = {10.1109/ISSOC.2005.1595650},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WolkotteSKBB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/YeungL05,
  author       = {Ping Yeung and
                  Kenneth Larsen},
  title        = {Practical Assertion-based Formal Verification for SoC Designs},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {58--61},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595644},
  doi          = {10.1109/ISSOC.2005.1595644},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/YeungL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Ykman-CouvreurB05,
  author       = {Chantal Ykman{-}Couvreur and
                  Erik Brockmeyer and
                  Vincent Nollet and
                  Th{\'{e}}odore Marescaux and
                  Francky Catthoor and
                  Henk Corporaal},
  title        = {Design-Time Application Exploration for MP-SoC Customized Run-Time
                  Management},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {66--69},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595646},
  doi          = {10.1109/ISSOC.2005.1595646},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Ykman-CouvreurB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Zammattio05,
  author       = {Stefano Zammattio},
  title        = {{SOPC} Builder, a Novel Design Methodology for {IP} Integration},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {37},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595638},
  doi          = {10.1109/ISSOC.2005.1595638},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Zammattio05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ZettermanLA05,
  author       = {Tommi J. Zetterman and
                  Jukka T. Liimatainen and
                  Jyrki T. Alamaunu},
  title        = {Proof of Concept for Low-power Digital Asynchronous {IC} Design},
  booktitle    = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  pages        = {38--41},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISSOC.2005.1595639},
  doi          = {10.1109/ISSOC.2005.1595639},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ZettermanLA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2005,
  title        = {Proceedings of the 2005 International Symposium on System-on-Chip,
                  Tampere, Finland, November 15-17, 2005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/10634/proceeding},
  isbn         = {0-7803-9294-9},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics