default search action
Search dblp for Publications
export results for "toc:db/conf/isscc/isscc2013.bht:"
@inproceedings{DBLP:conf/isscc/AbdiniaBCJMPRSTRC13, author = {Sahel Abdinia and Mohamed Benwadih and Romain Coppard and St{\'{e}}phanie Jacob and Giorgio Maiellaro and Giuseppe Palmisano and Mariantonietta Rizzo and Antonino Scuderi and Francesca Tramontana and Arthur H. M. van Roermund and Eugenio Cantatore}, title = {A 4b {ADC} manufactured in a fully-printed organic complementary technology including resistors}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {106--107}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487657}, doi = {10.1109/ISSCC.2013.6487657}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AbdiniaBCJMPRSTRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AkitaI13, author = {Ippei Akita and Makoto Ishida}, title = {A 0.06mm\({}^{\mbox{2}}\) 14nV/{\(\surd\)}Hz chopper instrumentation amplifier with automatic differential-pair matching}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {178--179}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487689}, doi = {10.1109/ISSCC.2013.6487689}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AkitaI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AlhawariAP13, author = {Mohammad Alhawari and Nadya Albelooshi and Michael H. Perrott}, title = {A 0.5V {\textless}4{\(\mathrm{\mu}\)}W {CMOS} photoplethysmographic heart-rate sensor {IC} based on a non-uniform quantizer}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {384--385}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487780}, doi = {10.1109/ISSCC.2013.6487780}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AlhawariAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AlonEBFKNY13, author = {Elad Alon and Azita Emami and Gerrit den Besten and Ichiro Fujimori and Tadahiro Kuroda and Masafumi Nogawa and Hisakatsu Yamaguchi}, title = {{F3:} Emerging technologies for wireline communication}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {504--505}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487602}, doi = {10.1109/ISSCC.2013.6487602}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AlonEBFKNY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AltafTKY13, author = {Muhammad Awais Bin Altaf and Judyta Tillak and Yonatan Kifle and Jerald Yoo}, title = {A 1.83{\(\mathrm{\mu}\)}J/classification nonlinear support-vector-machine-based patient-specific seizure classification SoC}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {100--101}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487654}, doi = {10.1109/ISSCC.2013.6487654}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AltafTKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AnandTEYH13, author = {Tejasvi Anand and Mrunmay Talegaonkar and Amr Elshazly and Brian Young and Pavan Kumar Hanumolu}, title = {A 2.5GHz 2.2mW/25{\(\mathrm{\mu}\)}W on/off-state power 2psrms-long-term-jitter digital clock multiplier with 3-reference-cycles power-on time}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {256--257}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487724}, doi = {10.1109/ISSCC.2013.6487724}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AnandTEYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AokiTKSTTKKSGT13, author = {Jun Aoki and Yoshiaki Takemoto and Kenji Kobayashi and Naofumi Sakaguchi and Mitsuhiro Tsukimura and Naohiro Takazawa and Hideki Kato and Toru Kondo and Haruhisa Saito and Yuichi Gomi and Yoshitaka Tadaki}, title = {A rolling-shutter distortion-free 3D stacked image sensor with -160dB parasitic light sensitivity in-pixel storage node}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {482--483}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487824}, doi = {10.1109/ISSCC.2013.6487824}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AokiTKSTTKKSGT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BabaieS13, author = {Masoud Babaie and Robert Bogdan Staszewski}, title = {Third-harmonic injection technique applied to a 5.87-to-7.56GHz 65nm {CMOS} Class-F oscillator with 192dBc/Hz {FOM}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {348--349}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487764}, doi = {10.1109/ISSCC.2013.6487764}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BabaieS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BandyopadhyayNFC13, author = {Saurav Bandyopadhyay and Bob Neidorff and Dave Freeman and Anantha P. Chandrakasan}, title = {90.6{\%} efficient 11MHz 22W {LED} driver using GaN FETs and burst-mode controller with 0.96 power factor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {368--369}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487773}, doi = {10.1109/ISSCC.2013.6487773}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BandyopadhyayNFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangWGBS13, author = {Suyoung Bang and Allan Wang and Bharan Giridhar and David T. Blaauw and Dennis Sylvester}, title = {A fully integrated successive-approximation switched-capacitor {DC-DC} converter with 31mV output voltage resolution}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {370--371}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487774}, doi = {10.1109/ISSCC.2013.6487774}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangWGBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BartlingKCSRM13, author = {Steven Bartling and Sudhanshu Khanna and Michael Clinton and Scott R. Summerfelt and John A. Rodriguez and Hugh P. McAdams}, title = {An 8MHz 75{\(\mathrm{\mu}\)}A/MHz zero-leakage non-volatile logic-based Cortex-M0 {MCU} SoC exhibiting 100{\%} digital state retention at VDD=0V with {\textless}400ns wakeup and sleep transitions}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {432--433}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487802}, doi = {10.1109/ISSCC.2013.6487802}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BartlingKCSRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BerkhoutDKS13, author = {Marco Berkhout and Lutsen Dooper and Benno Krabbenborg and John Somberg}, title = {A 4{\(\Omega\)} 2.3W class-D audio amplifier with embedded {DC-DC} boost converter, current-sensing {ADC} and {DSP} for adaptive speaker protection}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {180--181}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487690}, doi = {10.1109/ISSCC.2013.6487690}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BerkhoutDKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BestenY13, author = {Gerrit den Besten and Koichi Yamaguchi}, title = {Session 23 overview: Short-reach links, {XCVR} techniques, {\&} PLLs}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {398--399}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487853}, doi = {10.1109/ISSCC.2013.6487853}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BestenY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BhardwajNSL13, author = {Kanupriya Bhardwaj and Sriram Narayan and Sergey Y. Shumarayev and Thomas H. Lee}, title = {A 3.1mW phase-tunable quadrature-generation method for {CEI} 28G short-reach {CDR} in 28nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {412--413}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487793}, doi = {10.1109/ISSCC.2013.6487793}, timestamp = {Sat, 28 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BhardwajNSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BhuyanCLWNOK13, author = {Anshuman Bhuyan and Jung Woo Choe and Byung{-}chul Lee and Ira O. Wygant and Amin Nikoozadeh and {\"{O}}mer Oralkan and Butrus T. Khuri{-}Yakub}, title = {3D volumetric ultrasound imaging with a 32{\texttimes}32 {CMUT} array integrated with front-end ICs using flip-chip bonding technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {396--397}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487786}, doi = {10.1109/ISSCC.2013.6487786}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BhuyanCLWNOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BorkarKKKC13, author = {Shekhar Borkar and Uming Ko and Ali Keshavarzi and Eugenio Cantatore}, title = {{EP3:} Empowering the killer SoC applications of 2020}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {517}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487595}, doi = {10.1109/ISSCC.2013.6487595}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BorkarKKKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BragaGGHMPSW13, author = {Leo Huf Campos Braga and Leonardo Gasparini and Lindsay Grant and Robert K. Henderson and Nicola Massari and Matteo Perenzoni and David Stoppa and Richard Walker}, title = {An 8{\texttimes}16-pixel 92kSPAD time-resolved sensor with on-pixel 64ps 12b {TDC} and 100MS/s real-time energy histogramming in 0.13{\(\mathrm{\mu}\)}m {CIS} technology for {PET/MRI} applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {486--487}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487826}, doi = {10.1109/ISSCC.2013.6487826}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BragaGGHMPSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Brink13, author = {Martin van den Brink}, title = {Continuing to shrink: Next-generation lithography - Progress and prospects}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {20--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487620}, doi = {10.1109/ISSCC.2013.6487620}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Brink13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BrownHARLW13, author = {Jonathan K. Brown and Kuo{-}Ken Huang and Elnaz Ansari and Ryan R. Rogel and Yoonmyung Lee and David D. Wentzloff}, title = {An ultra-low-power 9.8GHz crystal-less {UWB} transceiver with digital baseband integrated in 0.18{\(\mathrm{\mu}\)}m BiCMOS}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {442--443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487806}, doi = {10.1109/ISSCC.2013.6487806}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BrownHARLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CaoLCS13, author = {Ying Cao and Paul Leroux and Wouter De Cock and Michiel Steyaert}, title = {A 63, 000 Q-factor relaxation oscillator with switched-capacitor integrated error feedback}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {186--187}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487693}, doi = {10.1109/ISSCC.2013.6487693}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/CaoLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CarusoBBN13, author = {Michele Caruso and Matteo Bassi and Andrea Bevilacqua and Andrea Neviani}, title = {A 2-to-16GHz 204mW 3mm-resolution stepped-frequency radar for breast-cancer diagnostic imaging in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {240--241}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487717}, doi = {10.1109/ISSCC.2013.6487717}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/CarusoBBN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CasterGPWCH13, author = {Francis Caster and Leland Gilreath and Shiji Pan and Zheng Wang and Filippo Capolino and Payam Heydari}, title = {A 93-to-113GHz BiCMOS 9-element imaging array receiver utilizing spatial-overlapping pixels with wideband phase and amplitude control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {144--145}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487674}, doi = {10.1109/ISSCC.2013.6487674}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/CasterGPWCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChaeSM13, author = {Youngcheol Chae and Kamran Souri and Kofi A. A. Makinwa}, title = {A 6.3{\(\mathrm{\mu}\)}W 20b incremental zoom-ADC with 6ppm {INL} and 1{\(\mathrm{\mu}\)}V offset}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {276--277}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487733}, doi = {10.1109/ISSCC.2013.6487733}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChaeSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChandrakasanN13, author = {Anantha P. Chandrakasan and Bram Nauta}, title = {Session 1 overview: Plenary session}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {6--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487831}, doi = {10.1109/ISSCC.2013.6487831}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChandrakasanN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCCCLLCNLWLWCCC13, author = {Jonathan Chang and Yen{-}Huei Chen and Hank Cheng and Wei{-}Min Chan and Hung{-}Jen Liao and Quincy Li and Stanley Chang and Sreedhar Natarajan and Robin Lee and Ping{-}Wei Wang and Shyue{-}Shyh Lin and Chung{-}Cheng Wu and Kuan{-}Lun Cheng and Min Cao and George H. Chang}, title = {A 20nm 112Mb {SRAM} in High-{\cyrchar\cyrk} metal-gate with assist circuitry for low-leakage and low-VMIN applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {316--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487750}, doi = {10.1109/ISSCC.2013.6487750}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCCCLLCNLWLWCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangHCWLCCCHLWCCT13, author = {Chih{-}Wei Chang and Po{-}Tsang Huang and Lei{-}Chun Chou and Shang{-}Lin Wu and Shih{-}Wei Lee and Ching{-}Te Chuang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Wei Hwang and Yen{-}Chi Lee and Chung{-}Hsi Wu and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong}, title = {Through-silicon-via-based double-side integrated microsystem for neural sensing applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {102--103}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487655}, doi = {10.1109/ISSCC.2013.6487655}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangHCWLCCCHLWCCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangMCCHMMS13, author = {Leland Chang and Shannon Morton and Ken Chang and Jin{-}Man Han and Piero Malcovati and Vladimir Stojanovic}, title = {{F2:} {VLSI} power-management techniques: Principles and applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {502--503}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487601}, doi = {10.1109/ISSCC.2013.6487601}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangMCCHMMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangY13, author = {Ken Chang and Hisakatsu Yamaguchi}, title = {Session 2 overview: Ultra-high-speed transceivers and equalizers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {26--27}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487832}, doi = {10.1109/ISSCC.2013.6487832}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenABMCV13, author = {Jianlong Chen and Sasi Kumar Arunachalam and Todd Brooks and Iuri Mehr and Felix Cheung and Hariprasath Venkatram}, title = {A 62mW stereo class-G headphone driver with 108dB dynamic range and 600{\(\mathrm{\mu}\)}A/channel quiescent current}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {182--183}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487691}, doi = {10.1109/ISSCC.2013.6487691}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenABMCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Shun{-}Ting Chang and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Sheng{-}Fu Liang and Tzu{-}Chieh Chien and Sih{-}Yen Wu and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Jin{-}Chern Chiou and Chih{-}Wei Chang and Lei{-}Chun Chou and Chung{-}Yu Wu}, title = {A fully integrated 8-channel closed-loop neural-prosthetic SoC for real-time epileptic seizure control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {286--287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487737}, doi = {10.1109/ISSCC.2013.6487737}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenLL13, author = {Kuanfu Chen and Yi{-}Kai Lo and Wentai Liu}, title = {A 37.6mm\({}^{\mbox{2}}\) 1024-channel high-compliance-voltage SoC for epiretinal prostheses}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {294--295}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487741}, doi = {10.1109/ISSCC.2013.6487741}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenPKCL13, author = {Pang{-}Ning Chen and Pen{-}Jui Peng and Chiro Kao and Yu{-}Lun Chen and Jri Lee}, title = {A 94GHz 3D-image radar engine with 4TX/4RX beamforming scan technique in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {146--147}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487675}, doi = {10.1109/ISSCC.2013.6487675}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenPKCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenTP13, author = {Chao Chen and Zhichao Tan and Michiel A. P. Pertijs}, title = {A 1V 14b self-timed zero-crossing-based incremental {\(\Delta\)}{\(\Sigma\)} {ADC}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {274--275}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487732}, doi = {10.1109/ISSCC.2013.6487732}, timestamp = {Thu, 09 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenTP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenYTGPCFLN13, author = {Jiashu Chen and Lu Ye and Diane Titz and Fred Gianesello and Romain Pilard and Andreia Cathelin and Fabien Ferrero and Cyril Luxey and Ali M. Niknejad}, title = {A digitally modulated mm-Wave cartesian beamforming transmitter with quadrature spatial combining}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {232--233}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487713}, doi = {10.1109/ISSCC.2013.6487713}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenYTGPCFLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenYW13, author = {Jian{-}Shiun Chen and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {Self-super-cutoff power gating with state retention on a 0.3V 0.29fJ/cycle/gate 32b {RISC} core in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {426--427}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487799}, doi = {10.1109/ISSCC.2013.6487799}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChengGTZJH13, author = {San{-}Jeow Cheng and Yuan Gao and Wei{-}Da Toh and Yuanjin Zheng and Minkyu Je and Chun{-}Huat Heng}, title = {A 110pJ/b multichannel FSK/GMSK/QPSK/p/4-DQPSK transmitter with phase-interpolated dual-injection DLL-based synthesizer employing hybrid {FIR}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {450--451}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487810}, doi = {10.1109/ISSCC.2013.6487810}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChengGTZJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChewSTS13, author = {Kin Wai Roy Chew and Zhuochao Sun and Howard Tang and Liter Siek}, title = {A 400nW single-inductor dual-input-tri-output {DC-DC} buck-boost converter with maximum power point tracking for indoor photovoltaic energy harvesting}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {68--69}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487640}, doi = {10.1109/ISSCC.2013.6487640}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChewSTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoHRKLOY13, author = {Hyunwoo Cho and Unsoo Ha and Taehwan Roh and Dongchurl Kim and Jeahyuck Lee and Yunje Oh and Hoi{-}Jun Yoo}, title = {1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {202--203}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487700}, doi = {10.1109/ISSCC.2013.6487700}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoHRKLOY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiPCY13, author = {Jaehyuk Choi and Seokjun Park and Jihyun Cho and Euisik Yoon}, title = {A 3.4{\(\mathrm{\mu}\)}W {CMOS} image sensor with embedded feature-extraction algorithm for motion-triggered object-of-interest imaging}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {478--479}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487822}, doi = {10.1109/ISSCC.2013.6487822}, timestamp = {Fri, 26 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiPCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiYPPLC13, author = {Jun{-}Han Choi and Sung{-}Ku Yeo and Chang{-}Byong Park and Seho Park and Jeong Seok Lee and Gyu{-}Hyeong Cho}, title = {A resonant regulating rectifier {(3R)} operating at 6.78 MHz for a 6W wireless charger with 86{\%} efficiency}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {64--65}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487638}, doi = {10.1109/ISSCC.2013.6487638}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiYPPLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ClintonK13, author = {Michael Clinton and Atsushi Kawasumi}, title = {Session 18 overview: Advanced embedded {SRAM}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {314--315}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487848}, doi = {10.1109/ISSCC.2013.6487848}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ClintonK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DaltA13, author = {Nicola Da Dalt and Ajith Amerasekera}, title = {{ES3:} High-speed communications on 4 wheels: What's in your next car?}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {515}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487598}, doi = {10.1109/ISSCC.2013.6487598}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DaltA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DaltPG13, author = {Nicola Da Dalt and Peter Pridnig and Werner Grollitsch}, title = {An all-digital {PLL} using random modulation for {SSC} generation in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {252--253}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487722}, doi = {10.1109/ISSCC.2013.6487722}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DaltPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DarvishiZN13, author = {Milad Darvishi and Ronan A. R. van der Zee and Bram Nauta}, title = {A 0.1-to-1.2GHz tunable 6th-order N-path channel-select filter with 0.6dB passband ripple and +7dBm blocker tolerance}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {172--173}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487686}, doi = {10.1109/ISSCC.2013.6487686}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/DarvishiZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DarwhekarBLASIBABBMSMBTSBS13, author = {Yogesh Darwhekar and Evgeniy Braginskiy and Koby Levy and Abhishek Agrawal and Vikas Singh and Ronen Issac and Ofer Blonskey and Ofer Adler and Yoav Benkuzari and Matan Ben{-}Shachar and Srikanth Manian and Apu Sivadas and Subhashish Mukherjee and Gangadhar Burra and Nir Tal and Yariv Shlivinski and Guy Bitton and Sreekiran Samala}, title = {A 45nm {CMOS} near-field communication radio with 0.15A/m {RX} sensitivity and 4mA current consumption in card emulation mode}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {440--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487805}, doi = {10.1109/ISSCC.2013.6487805}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DarwhekarBLASIBABBMSMBTSBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DavisBHCSRPKW13, author = {John Davis and Paul Bunce and Diana M. Henderson and Yuen H. Chan and Uma Srinivasan and Daniel Rodko and Pradip Patel and Thomas J. Knips and Tobias Werner}, title = {7GHz {L1} cache SRAMs for the 32nm zEnterprise{\texttrademark} {EC12} processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {324--325}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487754}, doi = {10.1109/ISSCC.2013.6487754}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DavisBHCSRPKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DeguchiTMCMTTFASOK13, author = {Jun Deguchi and Fumihiko Tachibana and Makoto Morimoto and Masayoshi Chiba and Takeshi Miyaba and Hideki Tanaka and Kyoichi Takenaka and Satoshi Funayama and Kunihiko Amano and Kazuhide Sugiura and Ryuta Okamoto and Shouhei Kousai}, title = {A 187.5{\(\mathrm{\mu}\)}Vrms-read-noise 51mW 1.4Mpixel {CMOS} image sensor with {PMOSCAP} column {CDS} and 10b self-differential offset-cancelled pipeline {SAR-ADC}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {494--495}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487830}, doi = {10.1109/ISSCC.2013.6487830}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DeguchiTMCMTTFASOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DehaeneS13, author = {Wim Dehaene and Masaya Sumita}, title = {Session 24 overview: Energy-aware digital design}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {418--419}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487854}, doi = {10.1109/ISSCC.2013.6487854}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DehaeneS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DehennisMGGC13, author = {Andrew David Dehennis and Marko Mailand and David Grice and Stefan Getzlaff and Arthur E. Colvin}, title = {A near-field-communication {(NFC)} enabled wireless fluorimeter for fully implantable biosensing applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {298--299}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487743}, doi = {10.1109/ISSCC.2013.6487743}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DehennisMGGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DengMSMOM13, author = {Wei Deng and Ahmed Musa and Teerachot Siriburanon and Masaya Miyahara and Kenichi Okada and Akira Matsuzawa}, title = {A 0.022mm\({}^{\mbox{2}}\) 970{\(\mathrm{\mu}\)}W dual-loop injection-locked {PLL} with -243dB {FOM} using synthesizable all-digital {PVT} calibration circuits}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {248--249}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487720}, doi = {10.1109/ISSCC.2013.6487720}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DengMSMOM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DesaiYC13, author = {Nachiket V. Desai and Jerald Yoo and Anantha P. Chandrakasan}, title = {A scalable 2.9mW 1Mb/s eTextiles body area network transceiver with remotely powered sensors and bi-directional data communication}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {206--207}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487702}, doi = {10.1109/ISSCC.2013.6487702}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DesaiYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DoiSDCHMHKYTT13, author = {Yoshiyasu Doi and Takayuki Shibasaki and Takumi Danjo and Win Chaivipas and Takushi Hashida and Hiroki Miyaoka and Masanori Hoshino and Yoichi Koyanagi and Takuji Yamamoto and Sanroku Tsukamoto and Hirotaka Tamura}, title = {32Gb/s data-interpolator receiver with 2-tap {DFE} in 28nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {36--37}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487626}, doi = {10.1109/ISSCC.2013.6487626}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DoiSDCHMHKYTT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DupontDBHGIR13, author = {Bertrand Dupont and Antoine Dupret and Sebastien Becker and Antoine Hamelin and Fabrice Guellec and Pierre Imperinetti and Wilfried Rabaud}, title = {A [10{\textdegree}C; 70{\textdegree}C] 640{\texttimes}480 17{\(\mathrm{\mu}\)}m pixel pitch TEC-less {IR} bolometer imager with below 50mK and below 4V power supply}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {394--395}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487785}, doi = {10.1109/ISSCC.2013.6487785}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DupontDBHGIR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/El-DamakBC13, author = {Dina El{-}Damak and Saurav Bandyopadhyay and Anantha P. Chandrakasan}, title = {A 93{\%} efficiency reconfigurable switched-capacitor {DC-DC} converter using on-chip ferroelectric capacitors}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {374--375}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487776}, doi = {10.1109/ISSCC.2013.6487776}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/El-DamakBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ErsoyVSRM13, author = {Selcuk Ersoy and Robert H. M. van Veldhoven and Fabio Sebastiano and Klaus Reimann and Kofi A. A. Makinwa}, title = {A 0.25mm\({}^{\mbox{2}}\) AC-biased {MEMS} microphone interface with 58dBA {SNR}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {382--383}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487779}, doi = {10.1109/ISSCC.2013.6487779}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ErsoyVSRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FabianoSLC13, author = {Ivan Fabiano and Marco Sosio and Antonio Liscidini and Rinaldo Castello}, title = {SAW-less analog front-end receivers for {TDD} and {FDD}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {82--83}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487646}, doi = {10.1109/ISSCC.2013.6487646}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FabianoSLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FanHM13, author = {Qinwen Fan and Johan H. Huijsing and Kofi A. A. Makinwa}, title = {A multi-path chopper-stabilized capacitively coupled operational amplifier with 20V-input-common-mode range and 3{\(\mathrm{\mu}\)}V offset}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {176--177}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487688}, doi = {10.1109/ISSCC.2013.6487688}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FanHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FanoriA13, author = {Luca Fanori and Pietro Andreani}, title = {A 2.5-to-3.3GHz {CMOS} Class-D {VCO}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {346--347}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487763}, doi = {10.1109/ISSCC.2013.6487763}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FanoriA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FathiSW13, author = {Maryam Fathi and David K. Su and Bruce A. Wooley}, title = {A 30.3dBm 1.9GHz-bandwidth 2{\texttimes}4-array stacked 5.3GHz {CMOS} power amplifier}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {88--89}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487649}, doi = {10.1109/ISSCC.2013.6487649}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FathiSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FlatresseGNPGAAPCTECWU13, author = {Philippe Flatresse and Bastien Giraud and Jean{-}Philippe Noel and Bertrand Pelloux{-}Prayer and Fabien Giner and Deepak{-}Kumar Arora and Franck Arnaud and Nicolas Planes and Julien Le Coz and Olivier Thomas and Sylvain Engels and Giorgio Cesana and Robin Wilson and Pascal Urard}, title = {Ultra-wide body-bias range {LDPC} decoder in 28nm {UTBB} {FDSOI} technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {424--425}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487798}, doi = {10.1109/ISSCC.2013.6487798}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FlatresseGNPGAAPCTECWU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FloydO13, author = {Brian A. Floyd and Kenichi Okada}, title = {Session 13 overview: High-performance wireless}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {228--229}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487843}, doi = {10.1109/ISSCC.2013.6487843}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FloydO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FlynnK13, author = {Michael P. Flynn and John Khoury}, title = {{EP2:} You're hired! The top 25 interview questions for circuit designers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {516}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487594}, doi = {10.1109/ISSCC.2013.6487594}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FlynnK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujigayaSKIWMHSFTFMNKH13, author = {Masaki Fujigaya and Noriaki Sakamoto and Takao Koike and Takahiro Irita and Kohei Wakahara and Tsugio Matsuyama and Keiji Hasegawa and Toshiharu Saito and Akira Fukuda and Kaname Teranishi and Kazuki Fukuoka and Noriaki Maeda and Koji Nii and Takeshi Kataoka and Toshihiro Hattori}, title = {A 28nm High-{\(\kappa\)} metal-gate single-chip communications processor with 1.5GHz dual-core application processor and LTE/HSPA+-capable baseband processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {156--157}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487679}, doi = {10.1109/ISSCC.2013.6487679}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujigayaSKIWMHSFTFMNKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujimoriN13, author = {Ichiro Fujimori and Masafumi Nogawa}, title = {Session 7 overview: Optical transceivers and silicon photonics}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {114--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487837}, doi = {10.1109/ISSCC.2013.6487837}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujimoriN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Fujino13, author = {Laura Chizuko Fujino}, title = {Welcome!}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487616}, doi = {10.1109/ISSCC.2013.6487616}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Fujino13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Fujino13a, author = {Laura Chizuko Fujino}, title = {Reflections}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487610}, doi = {10.1109/ISSCC.2013.6487610}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Fujino13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FuketaNTS13, author = {Hiroshi Fuketa and Masahiro Nomura and Makoto Takamiya and Takayasu Sakurai}, title = {Intermittent resonant clocking enabling power reduction at any clock frequency for 0.37V 980kHz near-threshold logic circuits}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {436--437}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487804}, doi = {10.1109/ISSCC.2013.6487804}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FuketaNTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FuketaYSIYMISSTSS13, author = {Hiroshi Fuketa and Kazuaki Yoshioka and Yasuhiro Shinozuka and Koichi Ishida and Tomoyuki Yokota and Naoji Matsuhisa and Yusuke Inoue and Masaki Sekino and Tsuyoshi Sekitani and Makoto Takamiya and Takao Someya and Takayasu Sakurai}, title = {1{\(\mathrm{\mu}\)}m-thickness 64-channel surface electromyogram measurement sheet with 2V organic transistors for prosthetic hand control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {104--105}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487656}, doi = {10.1109/ISSCC.2013.6487656}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/FuketaYSIYMISSTSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FukudaTK13, author = {Haruki Fukuda and Takahide Terada and Tadahiro Kuroda}, title = {Retrodirective transponder array with universal on-sheet reference for wireless mobile sensor networks without battery or oscillator}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {204--205}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487701}, doi = {10.1109/ISSCC.2013.6487701}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FukudaTK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GaoHHCPCBWLCNNKMCMMVD13, author = {Weinan Gao and Bill Huff and Kendal Hess and Didier Coulibaly and Costantino Pala and Jiang Cao and Jaspreet Bhatia and Mikko Waltari and Lior Levin and Cyrille Cathelin and Thierry Nouvet and Nitin Nidhi and Rahul M. Kodkani and Ryuji Maeda and Damian Costa and Jason McFee and Reza Moazzam and Herve Vincent and Philippe Durieux}, title = {A digital single-wire multiswitch {(DSWM)} channel-stacking {IC} in 45nm {CMOS} for satellite outdoor units}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {244--245}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487719}, doi = {10.1109/ISSCC.2013.6487719}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GaoHHCPCBWLCNNKMCMMVD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/GhaffariKVN13, author = {Amir Ghaffari and Eric A. M. Klumperink and Frank E. van Vliet and Bram Nauta}, title = {Simultaneous spatial and frequency-domain filtering at the antenna inputs achieving up to +10dBm out-of-band/beam P1dB}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {84--85}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487647}, doi = {10.1109/ISSCC.2013.6487647}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/GhaffariKVN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HafezCY13, author = {Amr Amin Hafez and Ming{-}Shuan Chen and Chih{-}Kong Ken Yang}, title = {A 32-to-48Gb/s serializing transmitter using multiphase sampling in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {38--39}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487627}, doi = {10.1109/ISSCC.2013.6487627}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HafezCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HanA13, author = {Ruonan Han and Ehsan Afshari}, title = {A 260GHz broadband source with 1.1mW continuous-wave radiated power and {EIRP} of 15.7dBm in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {138--139}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487671}, doi = {10.1109/ISSCC.2013.6487671}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HanA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HanV13, author = {Jin{-}Man Han and Daniele Vimercati}, title = {Session 12 overview: Non-volatile memory solutions}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {208--209}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487842}, doi = {10.1109/ISSCC.2013.6487842}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HanV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HanZRDJ13, author = {Dong Han and Yuanjin Zheng and Ramamoorthy Rajkumar and Gavin Stewart Dawe and Minkyu Je}, title = {A 0.45V 100-channel neural-recording {IC} with sub-{\(\mathrm{\mu}\)}W/channel consumption in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {290--291}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487739}, doi = {10.1109/ISSCC.2013.6487739}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HanZRDJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HarpeCR13, author = {Pieter Harpe and Eugenio Cantatore and Arthur H. M. van Roermund}, title = {A 2.2/2.7fJ/conversion-step 10/12b 40kS/s {SAR} {ADC} with Data-Driven Noise Reduction}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {270--271}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487730}, doi = {10.1109/ISSCC.2013.6487730}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HarpeCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HartBCGGHHJJKKMNRSSTQY13, author = {Jason Hart and Steve Butler and Hoyeol Cho and Yuefei Ge and Gregory Gruber and Dawei Huang and Changku Hwang and Daisy Jian and Timothy Johnson and Georgios K. Konstadinidis and Lance Kwong and Robert P. Masleid and Umesh Nawathe and Aparna Ramachandran and Yongning Sheng and Jinuk Luke Shin and Sebastian Turullols and Zuxu Qin and King C. Yen}, title = {3.6GHz 16-core {SPARC} SoC processor in 28nm}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {48--49}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487631}, doi = {10.1109/ISSCC.2013.6487631}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HartBCGGHHJJKKMNRSSTQY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HassanAL13, author = {Muhammad Hassan and Peter M. Asbeck and Lawrence E. Larson}, title = {A {CMOS} dual-switching power-supply modulator with 8{\%} efficiency improvement for 20MHz {LTE} Envelope Tracking {RF} power amplifiers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {366--367}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487772}, doi = {10.1109/ISSCC.2013.6487772}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HassanAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HijiokaMYM13, author = {Ken'ichiro Hijioka and Masaharu Matsudaira and Koichi Yamaguchi and Masayuki Mizuno}, title = {A 5.5Gb/s 5mm contactless interface containing a 50Mb/s bidirectional sub-channel employing common-mode {OOK} signaling}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {406--407}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487790}, doi = {10.1109/ISSCC.2013.6487790}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HijiokaMYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HillI13, author = {Anthony Hill and Atsuki Inoue}, title = {Session 14 overview: Digital PLLs and building blocks}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {246--247}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487844}, doi = {10.1109/ISSCC.2013.6487844}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HillI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HoFLWC13, author = {Kin{-}Chu Ho and Po{-}Chao Fang and Hsiang{-}Pang Li and Cheng{-}Yuan Michael Wang and Hsie{-}Chia Chang}, title = {A 45nm 6b/cell charge-trapping flash memory using LDPC-based {ECC} and drift-immune soft-sensing engine}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {222--223}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487709}, doi = {10.1109/ISSCC.2013.6487709}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HoFLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongKSLCPR13, author = {Hyeok{-}Ki Hong and Hyun{-}Wook Kang and Barosaim Sung and Choong{-}Hoon Lee and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {An 8.6 {ENOB} 900MS/s time-interleaved 2b/cycle {SAR} {ADC} with a 1b/cycle reconfiguration for resolution enhancement}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {470--471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487819}, doi = {10.1109/ISSCC.2013.6487819}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongKSLCPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuehM13, author = {Fu{-}Lung Hsueh and Shinichiro Mutoh}, title = {Session 11 overview: Emerging memory and wireless technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {190--191}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487841}, doi = {10.1109/ISSCC.2013.6487841}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsuehM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuZYFCZWQWGYXWYYC13, author = {Weiwu Hu and Yifu Zhang and Liang Yang and Bao{-}Xia Fan and Yunji Chen and Shi{-}Qiang Zhong and Huandong Wang and Zichu Qi and Pengyu Wang and Xiang Gao and Xu Yang and Bin Xiao and Hongsheng Wang and Zongren Yang and Liqiong Yang and Shuai Chen}, title = {Godson-3B1500: {A} 32nm 1.35GHz 40W 172.8GFLOPS 8-core processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {54--55}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487634}, doi = {10.1109/ISSCC.2013.6487634}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuZYFCZWQWGYXWYYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangM13, author = {Cheng Huang and Philip K. T. Mok}, title = {An 82.4{\%} efficiency package-bondwire-based four-phase fully integrated buck converter with flying capacitor for area reduction}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {362--363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487770}, doi = {10.1109/ISSCC.2013.6487770}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HuangM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangRHSWSV13, author = {Liechao Huang and Warren Rieutort{-}Louis and Yingzhe Hu and Josue Sanz{-}Robinson and Sigurd Wagner and James C. Sturm and Naveen Verma}, title = {A super-regenerative radio on plastic based on thin-film transistors and antennas on large flexible sheets for distributed communication links}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {458--459}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487814}, doi = {10.1109/ISSCC.2013.6487814}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangRHSWSV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangTJSC13, author = {Chao{-}Tsung Huang and Mehul Tikekar and Chiraag Juvekar and Vivienne Sze and Anantha P. Chandrakasan}, title = {A 249Mpixel/s {HEVC} video-decoder chip for Quad Full {HD} applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {162--163}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487682}, doi = {10.1109/ISSCC.2013.6487682}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangTJSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IkedaAORST13, author = {Makoto Ikeda and Ehsan Afshari and Yusuke Oike and David Ruffieux and Johannes Solhusvik and Albert Theuwissen}, title = {{F4:} Scientific imaging}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {506--507}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487603}, doi = {10.1109/ISSCC.2013.6487603}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IkedaAORST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IngelsFZCC13, author = {Mark Ingels and Yoshikazu Furuta and Xiaoqiang Zhang and Sungwoo Cha and Jan Craninckx}, title = {A multiband 40nm {CMOS} {LTE} SAW-less modulator with -60dBc {C-IM3}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {338--339}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487760}, doi = {10.1109/ISSCC.2013.6487760}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IngelsFZCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JangXLSRKKPP13, author = {Tae{-}Kwang Jang and Nan Xing and Frank Liu and Jungeun Shin and Hyungreal Ryu and Jihyun F. Kim and Taeik Kim and Jaejin Park and Hojin Park}, title = {A 0.026mm\({}^{\mbox{2}}\) 5.3mW 32-to-2000MHz digital fractional-N phase locked-loop using a phase-interpolating phase-to-digital converter}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {254--255}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487723}, doi = {10.1109/ISSCC.2013.6487723}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JangXLSRKKPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JanssenDZMWLADF13, author = {Erwin Janssen and Kostas Doris and Athon Zanikopoulos and Alessandro Murroni and Gerard van der Weide and Yu Lin and Ludo Alvado and Frederic Darthenay and Yannick Fregeais}, title = {An 11b 3.6GS/s time-interleaved {SAR} {ADC} in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {464--465}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487816}, doi = {10.1109/ISSCC.2013.6487816}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JanssenDZMWLADF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeTTJ13, author = {Minkyu Je and Axel Thomsen}, title = {{ES2:} "batteries not included." - How little is enough for real energy autonomy?}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {513}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487597}, doi = {10.1109/ISSCC.2013.6487597}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeTTJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeeSBS13, author = {Dong{-}Woo Jee and Dennis Sylvester and David T. Blaauw and Jae{-}Yoon Sim}, title = {A 0.45V 423nW 3.2MHz multiplying {DLL} with leakage-based oscillator for ultra-low-power sensor platforms}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {188--189}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487694}, doi = {10.1109/ISSCC.2013.6487694}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeeSBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JefremowKAPOBHAKS13, author = {Mihail Jefremow and Thomas Kern and Wolf Allers and Christian Peters and Jan Otterstedt and Othmane Bahlous and Karl Hofmann and Robert Allinger and Stephan Kassenetter and Doris Schmitt{-}Landsiedel}, title = {Time-differential sense amplifier for sub-80mV bitline voltage embedded {STT-MRAM} in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {216--217}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487706}, doi = {10.1109/ISSCC.2013.6487706}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JefremowKAPOBHAKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeonKLZBS13, author = {Dongsuk Jeon and Yejoong Kim and Inhee Lee and Zhengya Zhang and David T. Blaauw and Dennis Sylvester}, title = {A 470mV 2.7mW feature extraction-accelerator for micro-autonomous vehicle navigation in 28nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {166--167}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487684}, doi = {10.1109/ISSCC.2013.6487684}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JeonKLZBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JerngPKBHF13, author = {Albert C. Jerng and Yorgos Palaskas and Eric A. M. Klumperink and Didier Belot and Songcheol Hong and Brian A. Floyd}, title = {{F1:} Advanced {RF} transceiver design techniques}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {500--501}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487600}, doi = {10.1109/ISSCC.2013.6487600}, timestamp = {Wed, 10 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JerngPKBHF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JiangCHLYL13, author = {Jhih{-}Yu Jiang and Ping{-}Chuan Chiang and Hao{-}Wei Hung and Chen{-}Lun Lin and Ty Yoon and Jri Lee}, title = {100Gb/s ethernet chipsets in 65nm {CMOS} technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {120--121}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487663}, doi = {10.1109/ISSCC.2013.6487663}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JiangCHLYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JohanssonK13, author = {Robert Johansson and Shoji Kawahito}, title = {Session 27 overview: Image sensors}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {476--477}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487857}, doi = {10.1109/ISSCC.2013.6487857}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JohanssonK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungAK13, author = {Kwangmo Jung and Amir Amirkhany and Kambiz Kaviani}, title = {A 0.94mW/Gb/s 22Gb/s 2-tap partial-response {DFE} receiver in 40nm {LP} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {42--43}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487629}, doi = {10.1109/ISSCC.2013.6487629}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KalogerakisMND13, author = {Georgios Kalogerakis and Tim Moran and Thelinh Nguyen and Gilles Denoyer}, title = {A quad 25Gb/s 270mW {TIA} in 0.13{\(\mathrm{\mu}\)}m BiCMOS with {\textless}0.15dB crosstalk penalty}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {116--117}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487661}, doi = {10.1109/ISSCC.2013.6487661}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KalogerakisMND13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KanTSNSKIHUIOAFM13, author = {Ryuji Kan and Tomohiro Tanaka and Go Sugizaki and Ryuichi Nishiyama and Sota Sakabayashi and Yoichi Koyanagi and Ryuji Iwatsuki and Kazumi Hayasaka and Taiki Uemura and Gaku Ito and Yoshitomo Ozeki and Hiroyuki Adachi and Kazuhiro Furuya and Tsuyoshi Motokurumada}, title = {A 10\({}^{\mbox{th}}\) generation 16-core {SPARC64} processor for mission-critical {UNIX} server}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {60--61}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487637}, doi = {10.1109/ISSCC.2013.6487637}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KanTSNSKIHUIOAFM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KaoLCA13, author = {Tsung{-}Kai Kao and Che{-}Fu Liang and Hsien{-}Hsiang Chiu and Michael Ashburn}, title = {A wideband fractional-N ring {PLL} with fractional-spur suppression using spectrally shaped segmentation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {416--417}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487795}, doi = {10.1109/ISSCC.2013.6487795}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KaoLCA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KapustaSDLI13, author = {Ron Kapusta and Junhua Shen and Steven Decker and Hongxing Li and Eitake Ibaragi}, title = {A 14b 80MS/s {SAR} {ADC} with 73.6dB {SNDR} in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {472--473}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487820}, doi = {10.1109/ISSCC.2013.6487820}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KapustaSDLI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KavianiBSDSDSPVHKLMELN13, author = {Kambiz Kaviani and Michael Bucher and Bruce Su and Barry Daly and Bill Stonecypher and Wayne D. Dettloff and Teva Stone and Kashinath Prabhu and Pravin Kumar Venkatesan and Fred Heaton and Ravi T. Kollipara and Yi Lu and Chris J. Madden and John C. Eble and Lei Luo and Nhat Nguyen}, title = {A 6.4Gb/s near-ground single-ended transceiver for dual-rank {DIMM} memory interface systems}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {306--307}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487746}, doi = {10.1109/ISSCC.2013.6487746}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KavianiBSDSDSPVHKLMELN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KawaharaKIKAYTWNKYMHYMSTMA13, author = {Akifumi Kawahara and Ken Kawai and Yuuichirou Ikeda and Yoshikazu Katoh and Ryotaro Azuma and Yuhei Yoshimoto and Kouhei Tanabe and Zhiqiang Wei and Takeki Ninomiya and Koji Katayama and Ryutaro Yasuhara and Shunsaku Muraoka and Atsushi Himeno and Naoki Yoshikawa and Hideaki Murase and Kazuhiko Shimakawa and Takeshi Takagi and Takumi Mikawa and Kunitoshi Aono}, title = {Filament scaling forming technique and level-verify-write scheme with endurance over 107 cycles in ReRAM}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {220--221}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487708}, doi = {10.1109/ISSCC.2013.6487708}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KawaharaKIKAYTWNKYMHYMSTMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KeaveneyG13, author = {Mike Keaveney and Joe Golat}, title = {Session 5 overview: {RF} techniques}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {80--81}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487835}, doi = {10.1109/ISSCC.2013.6487835}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KeaveneyG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KiB13, author = {Wing{-}Hung Ki and Marco Berkhout}, title = {Session 21 overview: Power converters}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {360--361}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487851}, doi = {10.1109/ISSCC.2013.6487851}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KiB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBFPBBS13, author = {Gyouho Kim and Mahmood Barangi and Zhiyoong Foo and Nathaniel Ross Pinckney and Suyoung Bang and David T. Blaauw and Dennis Sylvester}, title = {A 467nW {CMOS} visual motion sensor with temporal averaging and pixel aggregation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {480--481}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487823}, doi = {10.1109/ISSCC.2013.6487823}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimBFPBBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKFKCS13, author = {Seongjong Kim and Inyong Kwon and David Fick and Myungbo Kim and Yen{-}Po Chen and Dennis Sylvester}, title = {Razor-lite: {A} side-channel error-detection register for timing-margin recovery in 45nm {SOI} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {264--265}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487728}, doi = {10.1109/ISSCC.2013.6487728}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKFKCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLP13, author = {Junsik Kim and Jiyong Lee and Shihong Park}, title = {A soft self-commutating method using minimum control circuitry for multiple-string {LED} drivers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {376--377}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487777}, doi = {10.1109/ISSCC.2013.6487777}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPKKPJ13, author = {Wooseok Kim and Jaejin Park and Jihyun F. Kim and Taeik Kim and Hojin Park and Deog{-}Kyoon Jeong}, title = {A 0.032mm\({}^{\mbox{2}}\) 3.1mW synthesized pixel clock generator with 30psrms integrated jitter and 10-to-630MHz {DCO} tuning range}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {250--251}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487721}, doi = {10.1109/ISSCC.2013.6487721}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPKKPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYMOHTHY13, author = {Sunyoung Kim and Long Yan and Srinjoy Mitra and Masato Osawa and Yasunari Harada and Kosei Tamiya and Chris Van Hoof and Refet Firat Yazicioglu}, title = {A 20{\(\mathrm{\mu}\)}W intra-cardiac signal-processing {IC} with 82dB bio-impedance measurement dynamic range and analog feature extraction for ventricular fibrillation detection}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {302--303}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487745}, doi = {10.1109/ISSCC.2013.6487745}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYMOHTHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPRC13, author = {Hyunsik Kim and Junhyeok Yang and Sang{-}Hui Park and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {A 5.6mV inter-channel {DVO} 10b column-driver {IC} with mismatch-free switched-capacitor interpolation for mobile active-matrix LCDs}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {392--393}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487784}, doi = {10.1109/ISSCC.2013.6487784}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KongSA13, author = {Lingkai Kong and Dongjin Seo and Elad Alon}, title = {A 50mW-TX 65mW-RX 60GHz 4-element phased-array transceiver with integrated antennas in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {234--235}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487714}, doi = {10.1109/ISSCC.2013.6487714}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KongSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KonijnenburgCAGKHSJHRKG13, author = {Mario Konijnenburg and Yeon{-}Gon Cho and Maryam Ashouei and Tobias Gemmeke and Changmoo Kim and Jos Hulzink and Jan Stuyt and Mookyung Jung and Jos Huisken and Soojung Ryu and Jungwook Kim and Harmke de Groot}, title = {Reliable and energy-efficient 1MHz 0.4V dynamically reconfigurable SoC for ExG applications in 40nm {LP} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {430--431}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487801}, doi = {10.1109/ISSCC.2013.6487801}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KonijnenburgCAGKHSJHRKG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KonoITNNOKHY13, author = {Takashi Kono and Takashi Ito and Tamaki Tsuruda and Takayuki Nishiyama and Tsutomu Nagasawa and Tomoya Ogawa and Yoshiyuki Kawashima and Hideto Hidaka and Tadaaki Yamauchi}, title = {40nm embedded {SG-MONOS} flash macros for automotive with 160MHz random access for code and endurance over 10M cycles for data}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {212--213}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487704}, doi = {10.1109/ISSCC.2013.6487704}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KonoITNNOKHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KosselMTFBBKAM13, author = {Marcel A. Kossel and Christian Menolfi and Thomas Toifl and Pier Andrea Francese and Matthias Braendli and Peter Buchmann and Lukas Kull and Toke Meyer Andersen and Thomas Morf}, title = {A 5.7mW/Gb/s 24-to-240{\(\Omega\)} 1.6Gb/s thin-oxide {DDR} transmitter with 1.9-to-7.6V/ns clock-feathering slew-rate control in 22nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {310--311}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487748}, doi = {10.1109/ISSCC.2013.6487748}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KosselMTFBBKAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KosselTFBMBKAM13, author = {Marcel A. Kossel and Thomas Toifl and Pier Andrea Francese and Matthias Braendli and Christian Menolfi and Peter Buchmann and Lukas Kull and Toke Meyer Andersen and Thomas Morf}, title = {An 8Gb/s 1.5mW/Gb/s 8-tap 6b {NRZ/PAM-4} Tomlinson-Harashima precoding transmitter for future memory-link applications in 22nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {408--409}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487791}, doi = {10.1109/ISSCC.2013.6487791}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KosselTFBMBKAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KousaiB13, author = {Shouhei Kousai and Gangadhar Burra}, title = {Session 25 overview: Energy-efficient wireless}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {438--439}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487855}, doi = {10.1109/ISSCC.2013.6487855}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KousaiB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoyamaOTK13, author = {Shinzo Koyama and Kazutoshi Onozawa and Keisuke Tanaka and Yoshihisa Kato}, title = {A 3D vision 2.1Mpixel image sensor for single-lens camera systems}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {492--493}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487829}, doi = {10.1109/ISSCC.2013.6487829}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KoyamaOTK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KrishnaswamyHTS13, author = {Venkatram Krishnaswamy and Dawei Huang and Sebastian Turullols and Jinuk Luke Shin}, title = {Bandwidth and power management of glueless 8-socket {SPARC} {T5} system}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {58--59}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487636}, doi = {10.1109/ISSCC.2013.6487636}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KrishnaswamyHTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KullTSFMBKMAL13, author = {Lukas Kull and Thomas Toifl and Martin L. Schmatz and Pier Andrea Francese and Christian Menolfi and Matthias Braendli and Marcel A. Kossel and Thomas Morf and Toke Meyer Andersen and Yusuf Leblebici}, title = {A 3.1mW 8b 1.2GS/s single-channel asynchronous {SAR} {ADC} with alternate comparators for enhanced speed in 32nm digital {SOI} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {468--469}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487818}, doi = {10.1109/ISSCC.2013.6487818}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KullTSFMBKMAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KumarKRSSNGDKBANDGESJRMKMDNDGEMSSR13, author = {Rakesh Kumar and T. Krishnaswamy and Gireesh Rajendran and Debapriya Sahu and Apu Sivadas and Murali Nandigam and Saravana Ganeshan and Srihari Datla and Anand Kudari and Hemant Bhasin and Meghna Agrawal and Subramanian Narayan and Yogesh Dharwekar and Robin Garg and Vimal Edayath and Thirunaavukkarassu Suseela and Vikram Jayaram and Shankar Ram and Vidhya Murugan and Anil Kumar Sao and Subhashish Mukherjee and Nagaraj Dixit and Eran Nussbaum and Joel Dror and Nir Ginzburg and Asaf EvenChen and Asaf Maruani and Swaminathan Sankaran and Venkatesh Srinivasan and Vijay Rentala}, title = {A fully integrated 2{\texttimes}2 b/g and 1{\texttimes}2 a-band {MIMO} {WLAN} SoC in 45nm {CMOS} for multi-radio {IC}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {328--329}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487755}, doi = {10.1109/ISSCC.2013.6487755}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KumarKRSSNGDKBANDGESJRMKMDNDGEMSSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonR13, author = {Dongwon Kwon and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {A single-inductor 0.35{\(\mathrm{\mu}\)}m {CMOS} energy-investing piezoelectric harvester}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {78--79}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487645}, doi = {10.1109/ISSCC.2013.6487645}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LaiWHC13, author = {Chang{-}Ming Lai and Jen{-}Ming Wu and Po{-}Chiun Huang and Ta{-}Shun Chu}, title = {A scalable direct-sampling broadband radar receiver supporting simultaneous digital multibeam array in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {242--243}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487718}, doi = {10.1109/ISSCC.2013.6487718}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LaiWHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LaiWKLCCHSLYCLDLCS13, author = {Jie{-}Wei Lai and Chi{-}Hsueh Wang and Kaipon Kao and Anson Lin and Yi{-}Hsien Cho and Lan{-}chou Cho and Meng{-}Hsiung Hung and Xin{-}Yu Shih and Che{-}Min Lin and Sheng{-}Hong Yan and Yuan{-}Hung Chung and Paul C. P. Liang and Guang{-}Kaai Dehng and Hung{-}Sung Li and George Chien and Robert Bogdan Staszewski}, title = {A 0.27mm\({}^{\mbox{2}}\) 13.5dBm 2.4GHz all-digital polar transmitter using 34{\%}-efficiency Class-D {DPA} in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {342--343}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487762}, doi = {10.1109/ISSCC.2013.6487762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LaiWKLCCHSLYCLDLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeCSA13, author = {Hanh{-}Phuc Le and John Crossley and Seth Sanders and Elad Alon}, title = {A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19W/mm\({}^{\mbox{2}}\) at 73{\%} efficiency}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {372--373}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487775}, doi = {10.1109/ISSCC.2013.6487775}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeCSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCCL13, author = {Jen{-}Wei Lee and Szu{-}Chi Chung and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Processor with side-channel attack resistance}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {50--51}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487632}, doi = {10.1109/ISSCC.2013.6487632}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLJHH13, author = {I{-}Ting Lee and Yen{-}Jen Chen and Shen{-}Iuan Liu and Chewnpu Jou and Fu{-}Lung Hsueh and Hsieh{-}Hung Hsieh}, title = {A divider-less sub-harmonically injection-locked {PLL} with self-adjusted injection timing}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {414--415}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487794}, doi = {10.1109/ISSCC.2013.6487794}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLJHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKLSP13, author = {Soo{-}Min Lee and Jong{-}Hoon Kim and Jong{-}Sam Kim and Yunsaing Kim and Hyunbae Lee and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A 27{\%} reduction in transceiver power for single-ended point-to-point {DRAM} interface with the termination resistance of 4{\texttimes}Z0 at both {TX} and {RX}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {308--309}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487747}, doi = {10.1109/ISSCC.2013.6487747}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKLSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeL13, author = {Jae{-}Youl Lee and Saska Lindfors}, title = {Session 4 overview: Harvesting {\&} wireless power}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {62--63}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487834}, doi = {10.1109/ISSCC.2013.6487834}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLHSRBY13, author = {Hyungwoo Lee and Kwonjoon Lee and Sunjoo Hong and Kiseok Song and Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 5.5mW {IEEE-802.15.6} wireless body-area-network standard transceiver for multichannel electro-acupuncture application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {452--453}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487811}, doi = {10.1109/ISSCC.2013.6487811}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLHSRBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLLLK13, author = {Sang{-}Sung Lee and Jaeheon Lee and In{-}Young Lee and Sang{-}Gug Lee and Jinho Ko}, title = {A new {TX} leakage-suppression technique for an {RFID} receiver using a dead-zone amplifier}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {92--93}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487651}, doi = {10.1109/ISSCC.2013.6487651}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeLLLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSBS13, author = {Seon{-}Kyoo Lee and Seung{-}Hun Lee and Dennis Sylvester and David T. Blaauw and Jae{-}Yoon Sim}, title = {A 95fJ/b current-mode transceiver for 10mm on-chip interconnect}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {262--263}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487727}, doi = {10.1109/ISSCC.2013.6487727}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiBSTTMCPFCP13, author = {Cheng Li and Rui Bai and Ayman Shafik and Ehsan Zhian Tabasy and Geng Tang and Chao Ma and Chin{-}Hui Chen and Zhen Peng and Marco Fiorentino and Patrick Chiang and Samuel Palermo}, title = {A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {124--125}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487665}, doi = {10.1109/ISSCC.2013.6487665}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiBSTTMCPFCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiempdSAH13, author = {Chris van Liempd and Stefano Stanzione and Younis Allasasmeh and Chris Van Hoof}, title = {A 1{\(\mathrm{\mu}\)}W-to-1mW energy-aware interface {IC} for piezoelectric harvesting with 40nA quiescent current and zero-bias active rectifiers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {76--77}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487644}, doi = {10.1109/ISSCC.2013.6487644}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiempdSAH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCCCWSCLGCCCCSJIWW13, author = {Tay{-}Jyi Lin and Cheng{-}An Chien and Pei{-}Yao Chang and Ching{-}Wen Chen and Po{-}Hao Wang and Ting{-}Yu Shyu and Chien{-}Yung Chou and Shien{-}Chun Luo and Jiun{-}In Guo and Tien{-}Fu Chen and Gene C. H. Chuang and Yuan{-}Hua Chu and Liang{-}Chia Cheng and Hong{-}Men Su and Chewnpu Jou and Meikei Ieong and Cheng{-}Wen Wu and Jinn{-}Shyan Wang}, title = {A 0.48V 0.57nJ/pixel video-recording SoC in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {158--159}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487680}, doi = {10.1109/ISSCC.2013.6487680}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCCCWSCLGCCCCSJIWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinK13, author = {Wei{-}Te Lin and Tai{-}Haur Kuo}, title = {A 12b 1.6GS/s 40mW {DAC} in 40nm {CMOS} with {\textgreater}70dB {SFDR} over entire Nyquist bandwidth}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {474--475}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487821}, doi = {10.1109/ISSCC.2013.6487821}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinMM13, author = {Zhicheng Lin and Pui{-}In Mak and Rui Paulo Martins}, title = {A 1.7mW 0.22mm\({}^{\mbox{2}}\) 2.4GHz ZigBee {RX} exploiting a current-reuse blixer + hybrid filter topology in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {448--449}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487809}, doi = {10.1109/ISSCC.2013.6487809}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LinMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiouH13, author = {Chang{-}Yuan Liou and Chih{-}Cheng Hsieh}, title = {A 2.4-to-5.2fJ/conversion-step 10b 0.5-to-4MS/s {SAR} {ADC} with charge-average switching {DAC} in 90nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {280--281}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487735}, doi = {10.1109/ISSCC.2013.6487735}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiouH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiscidiniCCKKOPS13, author = {Antonio Liscidini and SeongHwan Cho and Tony Chan Carusone and Tanay Karnik and Mike Keaveney and Brian Otis and Aaron Partridge and Christoph Sandner}, title = {{F5:} Frequency generation and clock distribution}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {508--509}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487604}, doi = {10.1109/ISSCC.2013.6487604}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiscidiniCCKKOPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuHKSMCTF13, author = {Yong Liu and Ping{-}Hsuan Hsieh and Seongwon Kim and Jae{-}sun Seo and Robert K. Montoye and Leland Chang and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 0.1pJ/b 5-to-10Gb/s charge-recycling stacked low-power {I/O} for on-chip signaling in 45nm {CMOS} {SOI}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {400--401}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487787}, doi = {10.1109/ISSCC.2013.6487787}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LiuHKSMCTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuHVBHDG13, author = {Yao{-}Hong Liu and Xiongchuan Huang and Maja Vidojkovic and Ao Ba and Pieter Harpe and Guido Dolmans and Harmke de Groot}, title = {A 1.9nJ/b 2.4GHz multistandard (Bluetooth Low Energy/Zigbee/IEEE802.15.6) transceiver for personal/body-area networks}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {446--447}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487808}, doi = {10.1109/ISSCC.2013.6487808}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LiuHVBHDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuWK13, author = {Wen{-}Chuen Liu and Yi{-}Hsiang Wang and Tai{-}Haur Kuo}, title = {An adaptive load-line tuning {IC} for photovoltaic module integrated mobile device with 470{\(\mathrm{\mu}\)}s transient time, over 99{\%} steady-state accuracy and 94{\%} power conversion efficiency}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {70--71}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487641}, doi = {10.1109/ISSCC.2013.6487641}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiuWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuYSCLBYZYOSAACGHJKLMMNPPSWYPKHWNTHMGTYOOTHIFMSQ13, author = {Tz{-}Yi Liu and Tian Hong Yan and Roy Scheuerlein and Yingchang Chen and Jeffrey KoonYee Lee and Gopinath Balakrishnan and Gordon Yee and Henry Zhang and Alex Yap and Jingwen Ouyang and Takahiko Sasaki and Sravanti Addepalli and Ali Al{-}Shamma and Chin{-}Yu Chen and Mayank Gupta and Greg Hilton and Saurabh Joshi and Achal Kathuria and Vincent Lai and Deep Masiwal and Masahide Matsumoto and Anurag Nigam and Anil Pai and Jayesh Pakhale and Chang Hua Siau and Xiaoxia Wu and Ronald Yin and Liping Peng and Jang Yong Kang and Sharon Huynh and Huijuan Wang and Nicolas Nagel and Yoichiro Tanaka and Masaaki Higashitani and Tim Minvielle and Chandu Gorla and Takayuki Tsukamoto and Takeshi Yamaguchi and Mutsumi Okajima and Takayuki Okamura and Satoru Takase and Takahiko Hara and Hirofumi Inoue and Luca Fasoli and Mehrdad Mofidi and Ritu Shrivastava and Khandker Quader}, title = {A 130.7mm\({}^{\mbox{2}}\) 2-layer 32Gb ReRAM memory device in 24nm technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {210--211}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487703}, doi = {10.1109/ISSCC.2013.6487703}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiuYSCLBYZYOSAACGHJKLMMNPPSWYPKHWNTHMGTYOOTHIFMSQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LopezAMWEBPYG13, author = {Carolina Mora Lopez and Alexandru Andrei and Srinjoy Mitra and Marleen Welkenhuysen and Wolfgang Eberle and Carmen Bartic and Robert Puers and Refet Firat Yazicioglu and Georges G. E. Gielen}, title = {An implantable 455-active-electrode 52-channel {CMOS} neural probe}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {288--289}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487738}, doi = {10.1109/ISSCC.2013.6487738}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LopezAMWEBPYG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuA13, author = {Yue Lu and Elad Alon}, title = {A 66Gb/s 46mW 3-tap decision-feedback equalizer in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {30--31}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487623}, doi = {10.1109/ISSCC.2013.6487623}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LuA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuLKTY13, author = {Yan Lu and Xing Li and Wing{-}Hung Ki and Chi{-}Ying Tsui and C. Patrick Yue}, title = {A 13.56MHz fully integrated 1X/2X active rectifier with compensated bias current for inductively powered devices}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {66--67}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487639}, doi = {10.1109/ISSCC.2013.6487639}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LuLKTY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuWPGSLNHC13, author = {Chao Lu and Hua Wang and C. H. Peng and Ankush Goel and SangWon Son and Paul C. P. Liang and Ali M. Niknejad and H. C. Hwang and George Chien}, title = {A 24.7dBm all-digital {RF} transmitter for multimode broadband applications in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {332--333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487757}, doi = {10.1109/ISSCC.2013.6487757}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LuWPGSLNHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MammeiMMS13, author = {Enrico Mammei and Enrico Monaco and Andrea Mazzanti and Francesco Svelto}, title = {A 33.6-to-46.2GHz 32nm {CMOS} {VCO} with 177.5dBc/Hz minimum noise {FOM} using inductor splitting for tuning extension}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {350--351}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487765}, doi = {10.1109/ISSCC.2013.6487765}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MammeiMMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MansuriJKHSBORMC13, author = {Mozhgan Mansuri and James E. Jaussi and Joseph T. Kennedy and Tzu{-}Chien Hsueh and Sudip Shekhar and Ganesh Balamurugan and Frank O'Mahony and Clark Roberts and Randy Mooney and Bryan Casper}, title = {A scalable 0.128-to-1Tb/s 0.8-to-2.6pJ/b 64-lane parallel {I/O} in 32nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {402--403}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487788}, doi = {10.1109/ISSCC.2013.6487788}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MansuriJKHSBORMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MaruyamaBC13, author = {Yuki Maruyama and Jordana Blacksberg and Edoardo Charbon}, title = {A 1024{\texttimes}8 700ps time-gated {SPAD} line sensor for laser raman spectroscopy and {LIBS} in space and rover-based planetary exploration}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {110--111}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487659}, doi = {10.1109/ISSCC.2013.6487659}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MaruyamaBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MasselHPCSHS13, author = {Francesco Massel and Tero T. Heikkila and Juha{-}Matti Pirkkalainen and Sung{-}Un Cho and Heini Saloniemi and Pertti J. Hakonen and Mika A. Sillanp{\"{a}}{\"{a}}}, title = {Microwave amplification with nanomechanical resonators}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {198--199}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487698}, doi = {10.1109/ISSCC.2013.6487698}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MasselHPCSHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MattissonT13, author = {Sven Mattisson and Koji Takinam}, title = {Session 19 overview: Wireless transceivers for smart devices}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {326--327}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487849}, doi = {10.1109/ISSCC.2013.6487849}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MattissonT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/McLachlanGCCL13, author = {Roddy C. McLachlan and Alan Gillespie and Michael C. W. Coln and Douglas Chisholm and Denise T. Lee}, title = {A 20b clockless {DAC} with sub-ppm-linearity 7.5nV/vHz-noise and 0.05ppm/{\textdegree}C-stability}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {278--279}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487734}, doi = {10.1109/ISSCC.2013.6487734}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/McLachlanGCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Mead13, author = {Carver Mead}, title = {The evolution of technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {26}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487621}, doi = {10.1109/ISSCC.2013.6487621}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Mead13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MikhemarMMD13, author = {Mohyee Mikhemar and David Murphy and Ahmad Mirzaei and Hooman Darabi}, title = {A phase-noise and spur filtering technique using reciprocal-mixing cancellation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {86--87}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487648}, doi = {10.1109/ISSCC.2013.6487648}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MikhemarMMD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MitraPBLWPHY13, author = {Srinjoy Mitra and Jan Putzeys and Francesco Battaglia and Carolina Mora Lopez and Marleen Welkenhuysen and Cyriel M. A. Pennartz and Chris Van Hoof and Refet Firat Yazicioglu}, title = {24-channel dual-band wireless neural recorder with activity-dependent power consumption}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {292--293}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487740}, doi = {10.1109/ISSCC.2013.6487740}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MitraPBLWPHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MiuraSTK13, author = {Noriyuki Miura and Mitsuko Saito and Masao Taguchi and Tadahiro Kuroda}, title = {A 6nW inductive-coupling wake-up transceiver for reducing standby power of non-contact memory card by 500{\texttimes}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {214--215}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487705}, doi = {10.1109/ISSCC.2013.6487705}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MiuraSTK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Miyabe13, author = {Yoshiyuki Miyabe}, title = {"Smart life solutions" from home to city}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {12--17}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487619}, doi = {10.1109/ISSCC.2013.6487619}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Miyabe13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MiyaharaSKSHS13, author = {Yuichi Miyahara and Mitsuhiro Sano and Kazuo Koyama and Toshikazu Suzuki and Koichi Hamashita and Bang{-}Sup Song}, title = {Adaptive cancellation of gain and nonlinearity errors in pipelined ADCs}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {282--283}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487736}, doi = {10.1109/ISSCC.2013.6487736}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MiyaharaSKSHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MiyashitaYHKKOU13, author = {Daisuke Miyashita and Ryo Yamaki and Kazunori Hashiyoshi and Hiroyuki Kobayashi and Shouhei Kousai and Yukihito Oowaki and Yasuo Unekawa}, title = {A 10.4pJ/b (32, 8) {LDPC} decoder with time-domain analog and digital mixed-signal processing}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {420--421}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487796}, doi = {10.1109/ISSCC.2013.6487796}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MiyashitaYHKKOU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MizuharaSKTMTIK13, author = {Wataru Mizuhara and Tsunaaki Shidei and Atsutake Kosuge and Tsutomu Takeya and Noriyuki Miura and Masao Taguchi and Hiroki Ishikuro and Tadahiro Kuroda}, title = {A 0.15mm-thick non-contact connector for {MIPI} using vertical directional coupler}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {200--201}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487699}, doi = {10.1109/ISSCC.2013.6487699}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MizuharaSKTMTIK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Momeni13, author = {Omeed Momeni}, title = {A 260GHz amplifier with 9.2dB gain and -3.9dBm saturated power in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {140--141}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487672}, doi = {10.1109/ISSCC.2013.6487672}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Momeni13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MongeRNCZWHTE13, author = {Manuel Monge and Mayank Raj and Meisam Honarvar Nazari and Jay Han{-}Chieh Chang and Yu Zhao and James D. Weiland and Mark S. Humayun and Yu{-}Chong Tai and Azita Emami{-}Neyestanak}, title = {A fully intraocular 0.0169mm\({}^{\mbox{2}}\)/pixel 512-channel self-calibrating epiretinal prosthesis in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {296--297}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487742}, doi = {10.1109/ISSCC.2013.6487742}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MongeRNCZWHTE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MorieMMBOOSD13, author = {Takashi Morie and Takuji Miki and Kazuo Matsukawa and Yoji Bando and Takeshi Okumoto and Koji Obata and Shiro Sakiyama and Shiro Dosho}, title = {A 71dB-SNDR 50MS/s 4.2mW {CMOS} {SAR} {ADC} by {SNR} enhancement techniques utilizing noise}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {272--273}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487731}, doi = {10.1109/ISSCC.2013.6487731}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MorieMMBOOSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MossSGSOLWCNWLRPS13, author = {Benjamin Moss and Chen Sun and Michael Georgas and Jeffrey Shainline and Jason Orcutt and Jonathan C. Leu and Mark T. Wade and Yu{-}Hsin Chen and Kareem Nammari and Xiaoxi Wang and Hanqing Li and Rajeev J. Ram and Milos A. Popovic and Vladimir Stojanovic}, title = {A 1.23pJ/b 2.5Gb/s monolithically integrated optical carrier-injection ring modulator and all-digital driver circuit in commercial 45nm {SOI}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {126--127}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487666}, doi = {10.1109/ISSCC.2013.6487666}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MossSGSOLWCNWLRPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MurmannI13, author = {Boris Murmann and Tetsuya Iizuka}, title = {Session 26 overview: High-speed data converters}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {460--461}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487856}, doi = {10.1109/ISSCC.2013.6487856}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MurmannI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MurmannSWW13, author = {Boris Murmann and Jafar Savoj and Piet Wambacq and Jieh{-}Tsorng Wu}, title = {{F6:} Mixed-signal/RF design and modeling in next-generation {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {510--511}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487605}, doi = {10.1109/ISSCC.2013.6487605}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MurmannSWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NasoBCCCCDSCFGGILMMMOPPPPRRRSSSSTMGFVHG13, author = {Giovanni Naso and L. Botticchio and M. Castelli and C. Cerafogli and M. Cichocki and P. Conenna and Andrea D'Alessandro and Luca De Santis and Domenico Di Cicco and W. Di Francesco and M. L. Gallese and Girolamo Gallo and Michele Incarnati and C. Lattaro and Agostino Macerola and G. G. Marotta and Violante Moschiano and D. Orlandi and F. Paolini and S. Perugini and Luigi Pilolli and P. Pistilli and G. Rizzo and F. Rori and Massimo Rossini and Giovanni Santin and Emanuele Sirizotti and A. Smaniotto and U. Siciliani and Marco Tiburzi and R. Meyer and A. Goda and B. Filipiak and Tommaso Vali and Mark Helm and Ramin Ghodsi}, title = {A 128Gb 3b/cell {NAND} flash design using 20nm planar-cell technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {218--219}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487707}, doi = {10.1109/ISSCC.2013.6487707}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NasoBCCCCDSCFGGILMMMOPPPPRRRSSSSTMGFVHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NatsuiSSNTMSMHKIEOH13, author = {Masanori Natsui and Daisuke Suzuki and Noboru Sakimura and Ryusuke Nebashi and Yukihide Tsuji and Ayuka Morioka and Tadahiko Sugibayashi and Sadahiko Miura and Hiroaki Honjo and Keizo Kinoshita and Shoji Ikeda and Tetsuo Endoh and Hideo Ohno and Takahiro Hanyu}, title = {Nonvolatile logic-in-memory array processor in 90nm {MTJ/MOS} achieving 75{\%} leakage reduction using cycle-based power gating}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {194--195}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487696}, doi = {10.1109/ISSCC.2013.6487696}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NatsuiSSNTMSMHKIEOH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NautaS13, author = {Bram Nauta and Trudy Stetzler}, title = {International technical program committee}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {525--526}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487609}, doi = {10.1109/ISSCC.2013.6487609}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NautaS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NiclassSMOK13, author = {Cristiano Niclass and Mineki Soga and Hiroyuki Matsubara and Masaru Ogawa and Manabu Kagami}, title = {A 0.18{\(\mathrm{\mu}\)}m {CMOS} SoC for a 100m-range 10fps 200{\texttimes}96-pixel time-of-flight depth sensor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {488--489}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487827}, doi = {10.1109/ISSCC.2013.6487827}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NiclassSMOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NonisGSCD13, author = {Roberto Nonis and Werner Grollitsch and Thomas Santa and Dmytro Cherniak and Nicola Da Dalt}, title = {A 2.4psrms-jitter digital {PLL} with Multi-Output Bang-Bang Phase Detector and phase-interpolator-based fractional-N divider}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {356--357}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487768}, doi = {10.1109/ISSCC.2013.6487768}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/NonisGSCD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OgataHKATSKST13, author = {Yuuki Ogata and Yasuo Hidaka and Yoichi Koyanagi and Sadanori Akiya and Yuji Terao and Kosuke Suzuki and Keisuke Kashiwa and Masanobu Suzuki and Hirotaka Tamura}, title = {32Gb/s 28nm {CMOS} time-interleaved transmitter compatible with {NRZ} receiver with {DFE}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {40--41}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487628}, doi = {10.1109/ISSCC.2013.6487628}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OgataHKATSKST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OnizukaSO13, author = {Kohei Onizuka and Shigehito Saigusa and Shoji Otaka}, title = {A 1.8GHz linear {CMOS} power amplifier with supply-path switching scheme for {WCDMA/LTE} applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {90--91}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487650}, doi = {10.1109/ISSCC.2013.6487650}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OnizukaSO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OuZQLHYYCFZLJZY13, author = {Peng Ou and Jiajie Zhang and Heng Quan and Yi Li and Maofei He and Zheng Yu and Xueqiu Yu and Shile Cui and Jie Feng and Shikai Zhu and Jie Lin and Ming{-}e Jing and Xiaoyang Zeng and Zhiyi Yu}, title = {A 65nm 39GOPS/W 24-core processor with 11Tb/s/W packet-controlled circuit-switched double-layer network-on-chip and heterogeneous execution array}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {56--57}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487635}, doi = {10.1109/ISSCC.2013.6487635}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OuZQLHYYCFZLJZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekSLKPK13, author = {Seungwook Paek and Wongyu Shin and Jaeyoung Lee and Hyo{-}Eun Kim and Jun{-}Seok Park and Lee{-}Sup Kim}, title = {All-digital hybrid temperature sensor network for dense thermal monitoring}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {260--261}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487726}, doi = {10.1109/ISSCC.2013.6487726}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PaekSLKPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaidimarriGWCB13, author = {Arun Paidimarri and Danielle Griffith and Alice Wang and Anantha P. Chandrakasan and Gangadhar Burra}, title = {A 120nW 18.5kHz {RC} oscillator with comparator offset cancellation for {\(\pm\)}0.25{\%} temperature stability}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {184--185}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487692}, doi = {10.1109/ISSCC.2013.6487692}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaidimarriGWCB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParikhKHJTMWKSY13, author = {Samir Parikh and Tony Kao and Yasuo Hidaka and Jian Jiang and Asako Toda and Scott McLeod and William W. Walker and Yoichi Koyanagi and Toshiyuki Shibuya and Jun Yamada}, title = {A 32Gb/s wireline receiver with a low-frequency equalizer, {CTLE} and 2-tap {DFE} in 28nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {28--29}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487622}, doi = {10.1109/ISSCC.2013.6487622}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParikhKHJTMWKSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKKLPBY13, author = {Junyoung Park and Injoon Hong and Gyeonghoon Kim and Youchang Kim and Kyuho Jason Lee and Seongwook Park and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {168--169}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487685}, doi = {10.1109/ISSCC.2013.6487685}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKKLPBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkTZ13, author = {Youn Sung Park and Yaoyu Tao and Zhengya Zhang}, title = {A 1.15Gb/s fully parallel nonbinary {LDPC} decoder with fine-grained dynamic clock gating}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {422--423}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487797}, doi = {10.1109/ISSCC.2013.6487797}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkTZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkYLKPKCOOMKJLKP13, author = {Yongha Park and Chang{-}Hyo Yu and Kilwhan Lee and Hyunsuk Kim and Youngeun Park and Chun{-}Ho Kim and Yunseok Choi and Jinhong Oh and Changhoon Oh and Gurnrack Moon and Sangduk Kim and Horang Jang and Jin{-}Aeon Lee and Chinhyun Kim and Sungho Park}, title = {72.5GFLOPS 240Mpixel/s 1080p 60fps multi-format video codec application processor enabled with {GPGPU} for fused multimedia application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {160--161}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487681}, doi = {10.1109/ISSCC.2013.6487681}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkYLKPKCOOMKJLKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PartridgeN13, author = {Aaron Partridge and Young{-}Sun Na}, title = {Session 22 overview: Sensors {\&} displays}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {378--379}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487852}, doi = {10.1109/ISSCC.2013.6487852}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PartridgeN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PerrottP13, author = {Michael H. Perrott and Geert Van der Plas}, title = {Session 15 overview: Data converter techniques}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {266--267}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487845}, doi = {10.1109/ISSCC.2013.6487845}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PerrottP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PetkovBB13, author = {Vladimir P. Petkov and Ganesh K. Balachandran and Jochen Beintner}, title = {A fully differential charge-balanced accelerometer for Electronic Stability Control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {380--381}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487778}, doi = {10.1109/ISSCC.2013.6487778}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PetkovBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PfeifferR13, author = {Ullrich R. Pfeiffer and Gabriel M. Rebeiz}, title = {Session 8 overview: Millimeter-wave techniques}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {134--135}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487838}, doi = {10.1109/ISSCC.2013.6487838}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PfeifferR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PiloAAHLLPSSWY13, author = {Harold Pilo and Chad A. Adams and Igor Arsovski and Robert M. Houle and Steve Lamphier and Michael M. Lee and Frank Pavlik and Sushma N. Sambatur and Adnan Seferagic and Richard Wu and Mohammad Imran Younus}, title = {A 64Mb {SRAM} in 22nm {SOI} technology featuring fine-granularity power gating and low-energy power-supply-partition techniques for 37{\%} leakage reduction}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {322--323}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487753}, doi = {10.1109/ISSCC.2013.6487753}, timestamp = {Sun, 10 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PiloAAHLLPSSWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PolleyP13, author = {Michael Polley and Yongha Park}, title = {Session 9 overview: Mobile application processors and media accelerators}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {152--153}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487839}, doi = {10.1109/ISSCC.2013.6487839}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PolleyP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PoultonDCEGTG13, author = {John W. Poulton and William J. Dally and Xi Chen and John G. Eyles and Thomas H. Greer and Stephen G. Tell and C. Thomas Gray}, title = {A 0.54pJ/b 20Gb/s ground-referenced single-ended short-haul serial link in 28nm {CMOS} for advanced packaging applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {404--405}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487789}, doi = {10.1109/ISSCC.2013.6487789}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PoultonDCEGTG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ProeselRS13, author = {Jonathan E. Proesel and Alexander V. Rylyakov and Clint Schow}, title = {Optical receivers using {DFE-IIR} equalization}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {130--131}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487668}, doi = {10.1109/ISSCC.2013.6487668}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ProeselRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/QaziAC13, author = {Masood Qazi and Ajith Amerasekera and Anantha P. Chandrakasan}, title = {A 3.4pJ FeRAM-enabled {D} flip-flop in 0.13{\(\mathrm{\mu}\)}m {CMOS} for nonvolatile processing in digital systems}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {192--193}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487695}, doi = {10.1109/ISSCC.2013.6487695}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/QaziAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RaghavanCSMPVHMC13, author = {Bharath Raghavan and Delong Cui and Ullas Singh and Hassan Maarefi and Dave Pi and Anand Vasani and Zhi Chao Huang and Afshin Momtaz and Jun Cao}, title = {A sub-2W 39.8-to-44.6Gb/s transmitter and receiver chipset with {SFI-5.2} interface in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {32--33}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487624}, doi = {10.1109/ISSCC.2013.6487624}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/RaghavanCSMPVHMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RaiteriLRC13, author = {Daniele Raiteri and Pieter van Lieshout and Arthur H. M. van Roermund and Eugenio Cantatore}, title = {An organic VCO-based {ADC} for quasi-static signals achieving 1LSB {INL} at 6b resolution}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {108--109}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487658}, doi = {10.1109/ISSCC.2013.6487658}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RaiteriLRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RiehlFHA13, author = {Patrick Riehl and Paul Fowers and Hao{-}Ping Hong and Michael Ashburn}, title = {An AC-coupled hybrid envelope modulator for {HSUPA} transmitters with 80{\%} modulator efficiency}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {364--365}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487771}, doi = {10.1109/ISSCC.2013.6487771}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RiehlFHA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RitheRITC13, author = {Rahul Rithe and Priyanka Raina and Nathan Ickes and Srikanth V. Tenneti and Anantha P. Chandrakasan}, title = {Reconfigurable processor for energy-scalable computational photography}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {164--165}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487683}, doi = {10.1109/ISSCC.2013.6487683}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RitheRITC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Roger13, author = {Frederic Roger}, title = {A 200mW 100MHz-to-4GHz 11\({}^{\mbox{th}}\)-order complex analog memory polynomial predistorter for wireless infrastructure {RF} amplifiers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {94--95}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487652}, doi = {10.1109/ISSCC.2013.6487652}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Roger13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RossiCGLOHPSUYC13, author = {Paolo Rossi and Nicola Codega and Danilo Gerna and Antonio Liscidini and Daniele Ottini and Yong He and Alberto Pirola and Enrico Sacchi and Gregory Uehara and Chao Yang and Rinaldo Castello}, title = {An {LTE} transmitter using a class-A/B power mixer}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {340--341}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487761}, doi = {10.1109/ISSCC.2013.6487761}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RossiCGLOHPSUYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RuffieuxR13, author = {David Ruffieux and Yogesh K. Ramadass}, title = {Session 6 overview: Emerging medical and sensor technologies technology directions subcommittee}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {96--97}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487836}, doi = {10.1109/ISSCC.2013.6487836}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RuffieuxR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RuffieuxSGLPSZMODSA13, author = {David Ruffieux and Nicola Scolari and Fr{\'{e}}d{\'{e}}ric Giroud and Thanh{-}Chau Le and Silvio Dalla Piazza and Felix Staub and Kai Zoschke and Charles Alix Manier and Hermann Oppermann and James Dekker and Tommi Suni and Giorgio Allegato}, title = {A versatile timing microsystem based on wafer-level packaged {XTAL/BAW} resonators with sub-{\(\mathrm{\mu}\)}W {RTC} mode and programmable {HF} clocks}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {196--197}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487697}, doi = {10.1109/ISSCC.2013.6487697}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RuffieuxSGLPSZMODSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SansenDLHN13, author = {Willy Sansen and Hooman Darabi and John R. Long and Ali Hajimiri and Ali M. Niknejad}, title = {{RF} blocks for wireless transceivers}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {518}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487611}, doi = {10.1109/ISSCC.2013.6487611}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SansenDLHN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SavojM13, author = {Jafar Savoj and Chris Mangelsdorf}, title = {Session 10 overview: Analog techniques}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {170--171}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487840}, doi = {10.1109/ISSCC.2013.6487840}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SavojM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeolKCHBLCK13, author = {Ji{-}Hwan Seol and Young{-}Ju Kim and Sang{-}Hye Chung and Kyung{-}Soo Ha and Seung{-}Jun Bae and Jung{-}Bae Lee and Joo{-}Sun Choi and Lee{-}Sup Kim}, title = {An 8Gb/s 0.65mW/Gb/s forwarded-clock receiver using an {ILO} with dual feedback loop and quadrature injection scheme}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {410--411}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487792}, doi = {10.1109/ISSCC.2013.6487792}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeolKCHBLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SetterbergPRHASKWCMPPJDAB13, author = {Brian Setterberg and Ken Poulton and Sourja Ray and Dan J. Huber and Valentin Abramzon and Guenter Steinbach and John P. Keane and Bernd Wuppermann and Mathew Clayson and Matthew Martin and Rizwan Pasha and Edda Peeters and Annemie Jacobs and Filip Demarsin and Adnan Al{-}Adnani and Peter Brandt}, title = {A 14b 2.5GS/s 8-way-interleaved pipelined {ADC} with background calibration and digital dynamic linearity correction}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {466--467}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487817}, doi = {10.1109/ISSCC.2013.6487817}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SetterbergPRHASKWCMPPJDAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShalmanyDM13, author = {Saleh Heidary Shalmany and Dieter Draxelmayr and Kofi A. A. Makinwa}, title = {A micropower battery current sensor with {\(\pm\)}0.03{\%} (3{\(\sigma\)}) inaccuracy from -40 to +85{\textdegree}C}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {386--387}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487781}, doi = {10.1109/ISSCC.2013.6487781}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShalmanyDM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShcherbakovaPBMS13, author = {Olga Shcherbakova and Lucio Pancheri and Gian{-}Franco Dalla Betta and Nicola Massari and David Stoppa}, title = {3D camera based on linear-mode gain-modulated avalanche photodiodes}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {490--491}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487828}, doi = {10.1109/ISSCC.2013.6487828}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShcherbakovaPBMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinKJYL13, author = {Hyungcheol Shin and Seunghoon Ko and Hongjae Jang and Ilhyun Yun and Kwyro Lee}, title = {A 55dB {SNR} with 240Hz frame scan rate mutual capacitor 30{\texttimes}24 touch-screen panel read-out {IC} using code-division multiple sensing technique}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {388--389}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487782}, doi = {10.1109/ISSCC.2013.6487782}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinKJYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinSKKLSMKIKCBHJLCHSH13, author = {Youngmin Shin and Ken Shin and Prashant Kenkare and Rajesh Kashyap and Hoi{-}Jin Lee and Dongjoo Seo and Brian Millar and Yohan Kwon and Ravi Iyengar and Min{-}Su Kim and Ahsan Chowdhury and Sung{-}il Bae and Inpyo Hong and Wookyeong Jeong and Aaron Lindner and Ukrae Cho and Keith Hawkins and Jae{-}Cheol Son and Seung Ho Hwang}, title = {28nm high- metal-gate heterogeneous quad-core CPUs for high-performance and energy-efficient mobile application processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {154--155}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487678}, doi = {10.1109/ISSCC.2013.6487678}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinSKKLSMKIKCBHJLCHSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShuTCLC13, author = {Yun{-}Shiang Shu and Jui{-}Yuan Tsai and Ping Chen and Tien{-}Yu Lo and Pao{-}Cheng Chiu}, title = {A 28fJ/conv-step {CT} {\(\Delta\)}{\(\Sigma\)} modulator with 78dB {DR} and 18MHz {BW} in 28nm {CMOS} using a highly digital multibit quantizer}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {268--269}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487729}, doi = {10.1109/ISSCC.2013.6487729}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShuTCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShulakerRHCGWM13, author = {Max M. Shulaker and Jelle Van Rethy and Gage Hills and Hong{-}Yu Chen and Georges G. E. Gielen and H.{-}S. Philip Wong and Subhasish Mitra}, title = {Experimental demonstration of a fully digital capacitive sensor interface built entirely using carbon-nanotube FETs}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {112--113}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487660}, doi = {10.1109/ISSCC.2013.6487660}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShulakerRHCGWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiderisH13, author = {Constantine Sideris and Ali Hajimiri}, title = {An integrated magnetic spectrometer for multiplexed biosensing}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {300--301}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487744}, doi = {10.1109/ISSCC.2013.6487744}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SiderisH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SinangilC13, author = {Mahmut E. Sinangil and Anantha P. Chandrakasan}, title = {An {SRAM} using output prediction to reduce BL-switching activity and statistically-gated {SA} for up to 1.9{\texttimes} reduction in energy/access}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {318--319}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487751}, doi = {10.1109/ISSCC.2013.6487751}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SinangilC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SinderenJLHASRNVWHKVHJSBTHUTPSSTJDAGBKM13, author = {Jan van Sinderen and Gerben W. de Jong and Frank Leong and Xin He and Melina Apostolidou and Harish Kundur Subramaniyan and Robert Rutten and Jan Niehof and Jos Verlinden and Hao Wang and Anton Hoogstraate and Ka Chun Kwok and Rene Verlinden and Reinier Hoogendoorn and Dennis Jeurissen and Anton Salfelner and Ewald Bergler and Javier M. Velandia Torres and Christopher J. Haji{-}Michael and Thomas Unterweger and Esa Tarvainen and Martin Posch and Reinhold Schmidt and Markus Stattmann and Jacek Tyminski and Patrick Jean and S{\'{e}}bastien Darfeuille and Olivier Aymard and Alexis le Grontec and Claire Boucey and Christophe Kelma and Guillaume Monnerie}, title = {Wideband {UHF} ISM-band transceiver supporting multichannel reception and {DSSS} modulation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {454--455}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487812}, doi = {10.1109/ISSCC.2013.6487812}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SinderenJLHASRNVWHKVHJSBTHUTPSSTJDAGBKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SinghBS13, author = {Teja Singh and Joshua Bell and Shane Southard}, title = {Jaguar: {A} next-generation low-power x86-64 core}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {52--53}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487633}, doi = {10.1109/ISSCC.2013.6487633}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SinghBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongHLBY13, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An 87mA{\(\cdot\)}min iontophoresis controller {IC} with dual-mode impedance sensor for patch-type transdermal drug delivery system}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {98--99}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487653}, doi = {10.1109/ISSCC.2013.6487653}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongHLBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLLHKCCK13, author = {Junyoung Song and Hyun{-}Woo Lee and Soo{-}Bin Lim and Sewook Hwang and Yunsaing Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Chulwoo Kim}, title = {An adaptive-bandwidth {PLL} for avoiding noise interference and DFE-less fast precharge sampling for over 10Gb/s/pin graphics {DRAM} interface}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {312--313}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487749}, doi = {10.1109/ISSCC.2013.6487749}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLLHKCCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Spiegel13, author = {Jan Van der Spiegel}, title = {{ES1:} Student research preview}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {512}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487596}, doi = {10.1109/ISSCC.2013.6487596}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Spiegel13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/StanzioneLSNYH13, author = {Stefano Stanzione and Chris van Liempd and Rob van Schaijk and Yasuyuki Naito and Refet Firat Yazicioglu and Chris Van Hoof}, title = {A self-biased 5-to-60V input voltage and 25-to-1600{\(\mathrm{\mu}\)}W integrated {DC-DC} buck converter with fully analog {MPPT} algorithm reaching up to 88{\%} end-to-end efficiency}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {74--75}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487643}, doi = {10.1109/ISSCC.2013.6487643}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/StanzioneLSNYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/StetzlerCN13, author = {Trudy Stetzler and Anantha P. Chandrakasan and Bram Nauta}, title = {{EP1:} Antiques from the innovations attic}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {514}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487593}, doi = {10.1109/ISSCC.2013.6487593}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/StetzlerCN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Su13, author = {Lisa T. Su}, title = {"Architecting the future through heterogeneous computing"}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {8--11}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487618}, doi = {10.1109/ISSCC.2013.6487618}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Su13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SukegawaUNKKHHKFWITNNHF13, author = {Shunichi Sukegawa and Taku Umebayashi and Tsutomu Nakajima and Hiroshi Kawanobe and Ken Koseki and Isao Hirota and Tsutomu Haruta and Masanori Kasai and Koji Fukumoto and Toshifumi Wakano and Keishi Inoue and Hiroshi Takahashi and Takashi Nagano and Yoshikazu Nitta and Teruo Hirayama and Noriyuki Fukushima}, title = {A 1/4-inch 8Mpixel back-illuminated stacked {CMOS} image sensor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {484--485}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487825}, doi = {10.1109/ISSCC.2013.6487825}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SukegawaUNKKHHKFWITNNHF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SunMFWDBZGHS13, author = {Yaoming Sun and Miroslav Marinkovic and Gunter Fischer and Wolfgang Winkler and Wojciech Debski and Stefan Beer and Thomas Zwick and Mekdes G. Girma and J{\"{u}}rgen Hasch and Christoph Scheytt}, title = {A low-cost miniature 120GHz SiP {FMCW/CW} radar sensor with software linearization}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {148--149}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487676}, doi = {10.1109/ISSCC.2013.6487676}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SunMFWDBZGHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SundstromASESMODWEM13, author = {Lars Sundstr{\"{o}}m and Martin Anderson and Roland Strandberg and Staffan Ek and Jim Svensson and Fenghao Mu and Thomas Olsson and Imad ud Din and Leif R. Wilhelmsson and Daniel Eckerbert and Sven Mattisson}, title = {A receiver for {LTE} Rel-11 and beyond supporting non-contiguous carrier aggregation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {336--337}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487759}, doi = {10.1109/ISSCC.2013.6487759}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SundstromASESMODWEM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TachibanaHTSKKSNSYU13, author = {Fumihiko Tachibana and Osamu Hirabayashi and Yasuhisa Takeyama and Miyako Shizuno and Atsushi Kawasumi and Keiichi Kushida and Azuma Suzuki and Yusuke Niki and Shinichi Sasaki and Tomoaki Yabe and Yasuo Unekawa}, title = {A 27{\%} active and 85{\%} standby power reduction in dual-power-supply {SRAM} using {BL} power calculator and digitally controllable retention circuit}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {320--321}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487752}, doi = {10.1109/ISSCC.2013.6487752}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TachibanaHTSKKSNSYU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TaiCR13, author = {Wei Tai and L. Richard Carley and David S. Ricketts}, title = {A 0.7W fully integrated 42GHz power amplifier with 10{\%} {PAE} in 0.13{\(\mathrm{\mu}\)}m SiGe BiCMOS}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {142--143}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487673}, doi = {10.1109/ISSCC.2013.6487673}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TaiCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TakaiS13, author = {Yasuhiro Takai and James Sung}, title = {Session 17 overview: High-performance {DRAM} interfaces}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {304--305}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487847}, doi = {10.1109/ISSCC.2013.6487847}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TakaiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TakayaNSKUKI13, author = {Satoshi Takaya and Makoto Nagata and Atsushi Sakai and Takashi Kariya and Shiro Uchiyama and Harufumi Kobayashi and Hiroaki Ikeda}, title = {A 100GB/s wide {I/O} with 4096b TSVs through an active silicon interposer with in-place waveform capturing}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {434--435}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487803}, doi = {10.1109/ISSCC.2013.6487803}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TakayaNSKUKI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TakeMIK13, author = {Yasuhiro Take and Noriyuki Miura and Hiroki Ishikuro and Tadahiro Kuroda}, title = {3D clock distribution using vertically/horizontally-coupled resonators}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {258--259}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487725}, doi = {10.1109/ISSCC.2013.6487725}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TakeMIK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TakemotoYYCLM13, author = {Takashi Takemoto and Hiroki Yamashita and Toru Yazaki and Norio Chujo and Yong Lee and Yasunobu Matsuoka}, title = {A 4{\texttimes} 25-to-28Gb/s 4.9mW/Gb/s -9.7dBm high-sensitivity optical receiver based on 65nm {CMOS} for board-to-board interconnects}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {118--119}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487662}, doi = {10.1109/ISSCC.2013.6487662}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TakemotoYYCLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanSCKSYSYLS13, author = {Chun{-}Geik Tan and Fei Song and Tieng Yi Choke and Ming Kong and De{-}Cheng Song and Chee{-}Hong Yong and Weimin Shu and Zong Hua You and Yi{-}Hsien Lin and Osama Shana'a}, title = {A universal {GNSS} (GPS/Galileo/Glonass/Beidou) SoC with a 0.25mm\({}^{\mbox{2}}\) radio in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {334--335}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487758}, doi = {10.1109/ISSCC.2013.6487758}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TanSCKSYSYLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanakamaruDT13, author = {Shuhei Tanakamaru and Masafumi Doi and Ken Takeuchi}, title = {Unified solid-state-storage architecture with {NAND} flash memory and ReRAM that tolerates 32{\texttimes} higher {BER} for big-data applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {226--227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487711}, doi = {10.1109/ISSCC.2013.6487711}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TanakamaruDT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangWWL13, author = {Zhangwen Tang and Xiongxiong Wan and Minggui Wang and Jie Liu}, title = {A 50-to-930MHz quadrature-output fractional-N frequency synthesizer with 770-to-1860MHz single-inductor {LC-VCO} and without noise folding effect for multistandard {DTV} tuners}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {358--359}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487769}, doi = {10.1109/ISSCC.2013.6487769}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TangWWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ThakkarNHA13, author = {Chintan Thakkar and Nathan Narevsky and Christopher D. Hull and Elad Alon}, title = {A mixed-signal 32-coefficient {RX-FFE} 100-coefficient {DFE} for an 8Gb/s 60GHz receiver in 65nm {LP} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {238--239}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487716}, doi = {10.1109/ISSCC.2013.6487716}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ThakkarNHA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TieboutZ13, author = {Marc Tiebout and Jing{-}Hong Conan Zhan}, title = {Session 20 overview: Frequency generation}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {344--345}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487850}, doi = {10.1109/ISSCC.2013.6487850}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TieboutZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TingLSKT13, author = {Clifford Ting and Joshua Liang and Ali Sheikholeslami and Masaya Kibune and Hirotaka Tamura}, title = {A blind baud-rate ADC-based {CDR}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {122--123}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487664}, doi = {10.1109/ISSCC.2013.6487664}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TingLSKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TohidianMS13, author = {Massoud Tohidian and Iman Madadi and Robert Bogdan Staszewski}, title = {A 2mW 800MS/s 7th-order discrete-time {IIR} filter with 400kHz-to-30MHz {BW} and 100dB stop-band rejection in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {174--175}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487687}, doi = {10.1109/ISSCC.2013.6487687}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TohidianMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsaiC13, author = {Tsung{-}Heng Tsai and Kai Chen}, title = {A 3.4mW photovoltaic energy-harvesting charger with integrated maximum power point tracking and battery management}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {72--73}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487642}, doi = {10.1109/ISSCC.2013.6487642}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TsaiC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsukizawaSMTSMKKSNMUYSMSYYSS13, author = {Takayuki Tsukizawa and Naganori Shirakata and Tadashi Morita and Koichiro Tanaka and Junji Sato and Yohei Morishita and Masaki Kanemaru and Ryo Kitamura and Takahiro Shima and Toshifumi Nakatani and Kenji Miyanaga and Tomoya Urushihara and Hiroyuki Yoshikawa and Takenori Sakamoto and Hiroyuki Motozuka and Yoshinori Shirakawa and Naoya Yosoku and Akira Yamamoto and Ryosuke Shiozaki and Noriaki Saito}, title = {A fully integrated 60GHz {CMOS} transceiver chipset based on WiGig/IEEE802.11ad with built-in self calibration for mobile applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {230--231}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487712}, doi = {10.1109/ISSCC.2013.6487712}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TsukizawaSMTSMKKSNMUYSMSYYSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/VermaKLLLPVZS13, author = {Shwetabh Verma and Athos Kasapi and Li{-}min Lee and Dean Liu and Dimitri Loizos and Song{-}Hee Paik and Aida Varzaghani and Sotirios Zogopoulos and Stefanos Sidiropoulos}, title = {A 10.3GS/s 6b flash {ADC} for 10G Ethernet applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {462--463}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487815}, doi = {10.1109/ISSCC.2013.6487815}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/VermaKLLLPVZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/VidojkovicSKMBTVPILMLSW13, author = {Vojkan Vidojkovic and Viki Szortyka and Khaled Khalaf and Giovanni Mangraviti and Steven Brebels and Wim Van Thillo and Kristof Vaesen and Bertrand Parvais and Vadim Issakov and Mike Libois and Michiaki Matsuo and John R. Long and Charlotte Soens and Piet Wambacq}, title = {A low-power radio chipset in 40nm {LP} {CMOS} with beamforming for 60GHz high-data-rate wireless communication}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {236--237}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487715}, doi = {10.1109/ISSCC.2013.6487715}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/VidojkovicSKMBTVPILMLSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/VigrahamK13, author = {Baradwaj Vigraham and Peter R. Kinget}, title = {A self-duty-cycled and synchronized {UWB} receiver SoC consuming 375pJ/b for -76.5dBm sensitivity at 2Mb/s}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {444--445}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487807}, doi = {10.1109/ISSCC.2013.6487807}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/VigrahamK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangCNWCH13, author = {Zheng Wang and Pei{-}Yuan Chiang and Peyman Nazari and Chun{-}Cheng Wang and Zhiming Chen and Payam Heydari}, title = {A 210GHz fully integrated differential transceiver with fundamental-frequency {VCO} in 32nm {SOI} {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {136--137}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487670}, doi = {10.1109/ISSCC.2013.6487670}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WangCNWCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WarnockCHRPCSMCMJSSDGBMSWMSPW13, author = {James D. Warnock and Yuen H. Chan and Hubert Harrer and David L. Rude and Ruchir Puri and Sean M. Carey and Gerard Salem and Guenter Mayer and Yiu{-}Hing Chan and Mark D. Mayo and Adam Jatkowski and Gerald Strevig and Leon J. Sigal and Ayan Datta and Anne Gattiker and Aditya Bansal and Doug Malone and Thomas Strach and Huajun Wen and Pak{-}kin Mak and Chung{-}Lung Kevin Shum and Donald W. Plass and Charles F. Webb}, title = {5.5GHz system z microprocessor and multi-chip module}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {46--47}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487630}, doi = {10.1109/ISSCC.2013.6487630}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WarnockCHRPCSMCMJSSDGBMSWMSPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WhatmoughDB13, author = {Paul N. Whatmough and Shidhartha Das and David M. Bull}, title = {A low-power 1GHz razor {FIR} accelerator with time-borrow tracking pipeline and approximate error correction in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {428--429}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487800}, doi = {10.1109/ISSCC.2013.6487800}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WhatmoughDB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuBSL13, author = {Wanghua Wu and Xuefei Bai and Robert Bogdan Staszewski and John R. Long}, title = {A 56.4-to-63.4GHz spurious-free all-digital fractional-N {PLL} in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {352--353}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487766}, doi = {10.1109/ISSCC.2013.6487766}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuBSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuDGMSSSWWW13, author = {Xiaotie Wu and Bipin Dama and Prakash Gothoskar and Peter Metz and Kal Shastri and Sanjay Sunder and Jan Van der Spiegel and Yifan Wang and Mark Webster and Will Wilson}, title = {A 20Gb/s {NRZ/PAM-4} 1V transmitter in 40nm {CMOS} driving a Si-photonic modulator in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {128--129}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487667}, doi = {10.1109/ISSCC.2013.6487667}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuDGMSSSWWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuQMEDMOCK13, author = {Qiyang Wu and Tony Quach and Aji Mattamana and Salma Elabd and Steven R. Dooley and Jamin J. McCue and Pompei L. Orlando and Gregory L. Creech and Waleed Khalil}, title = {A 10mW 37.8GHz current-redistribution BiCMOS {VCO} with an average {FOMT} of -193.5dBc/Hz}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {150--151}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487677}, doi = {10.1109/ISSCC.2013.6487677}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuQMEDMOCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangF13, author = {Se{-}Hyun Yang and Eric Fluhr}, title = {Session 3 overview: Processors}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {44--45}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487833}, doi = {10.1109/ISSCC.2013.6487833}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YangF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangPCKPRC13, author = {Junhyeok Yang and Sang{-}Hui Park and Jung{-}Min Choi and Hyunsik Kim and Changbyung Park and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {A highly noise-immune touch controller using Filtered-Delta-Integration and a charge-interpolation technique for 10.1-inch capacitive touch-screen panels}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {390--391}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487783}, doi = {10.1109/ISSCC.2013.6487783}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YangPCKPRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YaziciogluK13, author = {Firat Yazicioglu and Taechan Kim}, title = {Session 16 overview: Biomedical circuits {\&} systems}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {284--285}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487846}, doi = {10.1109/ISSCC.2013.6487846}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YaziciogluK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YeCKCAN13, author = {Lu Ye and Jiashu Chen and Lingkai Kong and Philippe Cathelin and Elad Alon and Ali M. Niknejad}, title = {A digitally modulated 2.4GHz {WLAN} transmitter with integrated phase path and dynamic load modulation in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {330--331}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487756}, doi = {10.1109/ISSCC.2013.6487756}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YeCKCAN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YiBLLOL13, author = {Xiang Yi and Chirn Chye Boon and Hang Liu and Jia{-}fu Lin and Jian Cheng Ong and Wei Meng Lim}, title = {A 57.9-to-68.3GHz 24.6mW frequency synthesizer with in-phase injection-coupled {QVCO} in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {354--355}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487767}, doi = {10.1109/ISSCC.2013.6487767}, timestamp = {Tue, 03 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YiBLLOL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuLLHCOCNT13, author = {Hung{-}Chang Yu and Kai{-}Chun Lin and Ku{-}Feng Lin and Chin{-}Yi Huang and Yu{-}Der Chih and Tong{-}Chern Ong and Tsung{-}Yung Jonathan Chang and Sreedhar Natarajan and Luan C. Tran}, title = {Cycling endurance optimization scheme for 1Mb {STT-MRAM} in 40nm technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {224--225}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487710}, doi = {10.1109/ISSCC.2013.6487710}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuLLHCOCNT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhangNGKAKZCM13, author = {Bo Zhang and Ali Nazemi and Adesh Garg and Namik Kocaman and Mahmoud Reza Ahmadi and Mehdi Khanpour and Heng Zhang and Jun Cao and Afshin Momtaz}, title = {A 195mW / 55mW dual-path receiver {AFE} for multistandard 8.5-to-11.5 Gb/s serial links in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {34--35}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487625}, doi = {10.1109/ISSCC.2013.6487625}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ZhangNGKAKZCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhangWKMO13, author = {Fan Zhang and Keping Wang and Jabeom Koo and Yasunori Miyahara and Brian P. Otis}, title = {A 1.6mW 300mV-supply 2.4GHz receiver with -94dBm sensitivity for energy-harvesting applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {456--457}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487813}, doi = {10.1109/ISSCC.2013.6487813}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ZhangWKMO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhaoVLH13, author = {Yi Zhao and Leonardo Vera and John R. Long and David L. Harame}, title = {A 10Gb/s 6Vpp differential modulator driver in 0.18{\(\mathrm{\mu}\)}m SiGe-BiCMOS}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {132--133}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487669}, doi = {10.1109/ISSCC.2013.6487669}, timestamp = {Fri, 18 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ZhaoVLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isscc/2013, title = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6480926/proceeding}, isbn = {978-1-4673-4515-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.