Search dblp for Publications

export results for "toc:db/conf/isqed/isqed2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isqed/AgarwalS19,
  author       = {Vaibhav Agarwal and
                  Sneh Saurabh},
  title        = {Application of Probabilistic Spin Logic {(PSL)} in Detecting Satisfiability
                  of a Boolean Function},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {70--75},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697357},
  doi          = {10.1109/ISQED.2019.8697357},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AgarwalS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AlsafrjalaniAR19,
  author       = {Mohamad Hammam Alsafrjalani and
                  Tosiron Adegbija and
                  Lokesh Ramamoorthi},
  title        = {Evaluating Design Space Subsetting for Multi-Objective Optimization
                  in Configurable Systems},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {104--109},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697511},
  doi          = {10.1109/ISQED.2019.8697511},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AlsafrjalaniAR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AmerR19,
  author       = {Sherif Amer and
                  Garrett S. Rose},
  title        = {A Multi-Driver Write Scheme for Reliable and Energy Efficient 1S1R
                  ReRAM Crossbar Arrays},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {64--69},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697361},
  doi          = {10.1109/ISQED.2019.8697361},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AmerR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AsharV19,
  author       = {Pranav Ashar and
                  Vinod Viswanath},
  title        = {Closing the Verification Gap with Static Sign-off},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {343--347},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697608},
  doi          = {10.1109/ISQED.2019.8697608},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AsharV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CaoZR19,
  author       = {Yuting Cao and
                  Hao Zheng and
                  Sandip Ray},
  title        = {A Communication-Centric Observability Selection for Post-Silicon System-on-Chip
                  Integration Debug},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {278--283},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697394},
  doi          = {10.1109/ISQED.2019.8697394},
  timestamp    = {Sat, 25 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CaoZR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChawdaPRS19,
  author       = {Pradeep Chawda and
                  Anupriya Prasad and
                  Kunjal Rathod and
                  Kritika Solanki},
  title        = {An Automated Design Flow for Synthesis of Optimal Switching Power
                  Supply},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697699},
  doi          = {10.1109/ISQED.2019.8697699},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChawdaPRS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChhabriaS19,
  author       = {Vidya A. Chhabria and
                  Sachin S. Sapatnekar},
  title        = {Impact of Self-heating on Performance and Reliability in FinFET and
                  {GAAFET} Designs},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {235--240},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697786},
  doi          = {10.1109/ISQED.2019.8697786},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChhabriaS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DaramKY19,
  author       = {Anurag Reddy Daram and
                  Dhireesha Kudithipudi and
                  Angel Yanguas{-}Gil},
  title        = {Task-Based Neuromodulation Architecture for Lifelong Learning},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {191--197},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697362},
  doi          = {10.1109/ISQED.2019.8697362},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DaramKY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DasK19,
  author       = {Shantonu Das and
                  Dae Hyun Kim},
  title        = {A Non-Slicing 3-D Floorplan Representation for Monolithic 3-D {IC}
                  Design},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {323--328},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697605},
  doi          = {10.1109/ISQED.2019.8697605},
  timestamp    = {Fri, 06 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DasK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DeyF19,
  author       = {Sumon Dey and
                  Paul D. Franzon},
  title        = {An Application Specific Processor Architecture with 3D Integration
                  for Recurrent Neural Networks},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {183--190},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697413},
  doi          = {10.1109/ISQED.2019.8697413},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DeyF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DhangHM19,
  author       = {Dharanidhar Dhang and
                  Syed Ali Hasnain and
                  Rabi N. Mahapatra},
  title        = {MReC: {A} Multilayer Photonic Reservoir Computing Architecture},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {170--175},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697621},
  doi          = {10.1109/ISQED.2019.8697621},
  timestamp    = {Thu, 08 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DhangHM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DongCKJKS19,
  author       = {Zhipeng Dong and
                  Xi Cao and
                  M. Ahosan Ul Karim and
                  Vivek Joshi and
                  Torsten Klick and
                  Joerg Schmid},
  title        = {Simulation Based Assessment of {SRAM} Data Retention Voltage},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {98--103},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697425},
  doi          = {10.1109/ISQED.2019.8697425},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DongCKJKS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/EshratifarEP19,
  author       = {Amir Erfan Eshratifar and
                  Amirhossein Esmaili and
                  Massoud Pedram},
  title        = {Towards Collaborative Intelligence Friendly Architectures for Deep
                  Learning},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {14--19},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697647},
  doi          = {10.1109/ISQED.2019.8697647},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/EshratifarEP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FangSZWQ19,
  author       = {Haowen Fang and
                  Amar Shrestha and
                  Ziyi Zhao and
                  Yanzhi Wang and
                  Qinru Qiu},
  title        = {A General Framework to Map Neural Networks onto Neuromorphic Processor},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {20--25},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697495},
  doi          = {10.1109/ISQED.2019.8697495},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/FangSZWQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GangulyMS19,
  author       = {Antara Ganguly and
                  Rajeev Muralidhar and
                  Virendra Singh},
  title        = {Towards Energy Efficient non-von Neumann Architectures for Deep Learning},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {335--342},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697354},
  doi          = {10.1109/ISQED.2019.8697354},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GangulyMS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GoswamiMDB19,
  author       = {Kaustav Goswami and
                  Hemanta Kumar Mondal and
                  Shirshendu Das and
                  Dip Sankar Banerjee},
  title        = {State Preserving Dynamic {DRAM} Bank Re-Configurations for Enhanced
                  Power Efficiency},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {131--137},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697347},
  doi          = {10.1109/ISQED.2019.8697347},
  timestamp    = {Thu, 23 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GoswamiMDB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GroszewskiL19,
  author       = {Alexander J. Groszewski and
                  Travis Lenz},
  title        = {Deterministic Stochastic Computation Using Parallel Datapaths},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {138--144},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697451},
  doi          = {10.1109/ISQED.2019.8697451},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GroszewskiL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuptaD19,
  author       = {Barkha Gupta and
                  W. Rhett Davis},
  title        = {Characterization of Fast, Accurate Leakage Power Models for {IEEE}
                  {P2416}},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {39--44},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697565},
  doi          = {10.1109/ISQED.2019.8697565},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GuptaD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuptaMGA19,
  author       = {Prateek Gupta and
                  Harshini Mandadapu and
                  Shirisha Gourishetty and
                  Zia Abbas},
  title        = {Robust Transistor Sizing for Improved Performances in Digital Circuits
                  using Optimization Algorithms},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {85--91},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697718},
  doi          = {10.1109/ISQED.2019.8697718},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GuptaMGA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Haj-YahyaRMC19,
  author       = {Jawad Haj{-}Yahya and
                  Efraim Rotem and
                  Avi Mendelson and
                  Anupam Chattopadhyay},
  title        = {A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {123--130},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697544},
  doi          = {10.1109/ISQED.2019.8697544},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Haj-YahyaRMC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Haj-YahyaWPBC19,
  author       = {Jawad Haj{-}Yahya and
                  Ming Ming Wong and
                  Vikramkumar Pudi and
                  Shivam Bhasin and
                  Anupam Chattopadhyay},
  title        = {Lightweight Secure-Boot Architecture for {RISC-V} System-on-Chip},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {216--223},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697657},
  doi          = {10.1109/ISQED.2019.8697657},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Haj-YahyaWPBC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HaraTAH19,
  author       = {Kazuaki Hara and
                  Naoki Takeuchi and
                  Masashi Aono and
                  Yuko Hara{-}Azumi},
  title        = {Amoeba-Inspired Stochastic Hardware {SAT} Solver},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {151--156},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697729},
  doi          = {10.1109/ISQED.2019.8697729},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HaraTAH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HemmatD19,
  author       = {Maedeh Hemmat and
                  Azadeh Davoodi},
  title        = {Dynamic Reconfiguration of CNNs for Input-Dependent Approximation},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {176--182},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697843},
  doi          = {10.1109/ISQED.2019.8697843},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HemmatD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HosseiniPKKM19,
  author       = {Morteza Hosseini and
                  Hirenkumar Paneliya and
                  Utteja Kallakuri and
                  Mohit Khatwani and
                  Tinoosh Mohsenin},
  title        = {Minimizing Classification Energy of Binarized Neural Network Inference
                  for Wearable Devices},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {259--264},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697574},
  doi          = {10.1109/ISQED.2019.8697574},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HosseiniPKKM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HuangCW19,
  author       = {Ya{-}Chi Huang and
                  Meng{-}Hsueh Chiang and
                  Shui{-}Jinn Wang},
  title        = {Speed Optimization of Vertically Stacked Gate-All-Around MOSFETs with
                  Inner Spacers for Low Power and Ultra-Low Power Applications},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {231--234},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697706},
  doi          = {10.1109/ISQED.2019.8697706},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HuangCW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HugginsDF19,
  author       = {Billy Huggins and
                  W. Rhett Davis and
                  Paul D. Franzon},
  title        = {Estimating Pareto Optimum Fronts to Determine Knob Settings in Electronic
                  Design Automation Tools},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {304--310},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697576},
  doi          = {10.1109/ISQED.2019.8697576},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HugginsDF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IshidaNFK19,
  author       = {Tsutomu Ishida and
                  Izumi Nitta and
                  Daisuke Fukuda and
                  Yuzi Kanazawa},
  title        = {Deep Learning-Based Wafer-Map Failure Pattern Recognition Framework},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {291--297},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697407},
  doi          = {10.1109/ISQED.2019.8697407},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/IshidaNFK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IslamNMI19,
  author       = {A. K. M. Mahfuzul Islam and
                  Shinichi Nishizawa and
                  Yusuke Matsui and
                  Yoshinobu Ichida},
  title        = {Drive-Strength Selection for Synthesis of Leakage-Dominant Circuits},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {298--303},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697877},
  doi          = {10.1109/ISQED.2019.8697877},
  timestamp    = {Fri, 09 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/IslamNMI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KasarabadaCV19,
  author       = {Yasaswy Kasarabada and
                  Suyuan Chen and
                  Ranga Vemuri},
  title        = {On SAT-Based Attacks On Encrypted Sequential Logic Circuits},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {204--211},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697421},
  doi          = {10.1109/ISQED.2019.8697421},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KasarabadaCV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Katayounnneshatpour19,
  author       = {Katayoun Neshatpour and
                  Farnaz Behnia and
                  Houman Homayoun and
                  Avesta Sasan},
  title        = {Exploiting Energy-Accuracy Trade-off through Contextual Awareness
                  in Multi-Stage Convolutional Neural Networks},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {265--270},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697497},
  doi          = {10.1109/ISQED.2019.8697497},
  timestamp    = {Tue, 29 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Katayounnneshatpour19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LewandowskiK19,
  author       = {Matthew Lewandowski and
                  Srinivas Katkoori},
  title        = {A Darwinian Genetic Algorithm for State Encoding Based Finite State
                  Machine Watermarking},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {210--215},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697760},
  doi          = {10.1109/ISQED.2019.8697760},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LewandowskiK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiSH19,
  author       = {Chaofan Li and
                  Sachin S. Sapatnekar and
                  Jiang Hu},
  title        = {Fast Mapping-Based High-Level Synthesis of Pipelined Circuits},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {33--38},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697596},
  doi          = {10.1109/ISQED.2019.8697596},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiSH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinC19,
  author       = {Rung{-}Bin Lin and
                  Yu{-}Xiang Chiang},
  title        = {Impact of Double-Row Height Standard Cells on Placement and Routing},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {317--322},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697712},
  doi          = {10.1109/ISQED.2019.8697712},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinH19,
  author       = {Hung{-}Han Lin and
                  Vita Pi{-}Ho Hu},
  title        = {Device Designs and Analog Performance Analysis for Negative-Capacitance
                  Vertical-Tunnel {FET}},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {241--246},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697625},
  doi          = {10.1109/ISQED.2019.8697625},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LinH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinK19,
  author       = {Sheng{-}En David Lin and
                  Dae Hyun Kim},
  title        = {Routing Complexity Minimization of Monolithic Three-Dimensional Integrated
                  Circuits},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {329--334},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697450},
  doi          = {10.1109/ISQED.2019.8697450},
  timestamp    = {Fri, 15 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuBSZ19,
  author       = {Xu Liu and
                  Alessandro Bernardini and
                  Ulf Schlichtmann and
                  Xing Zhou},
  title        = {A Compact Model of Negative Bias Temperature Instability Suitable
                  for Gate-Level Circuit Simulation},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {76--80},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697494},
  doi          = {10.1109/ISQED.2019.8697494},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuBSZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuV19,
  author       = {Xiaobang Liu and
                  Ranga Vemuri},
  title        = {Assertion Coverage Aware Trace Signal Selection in Post-Silicon Validation},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {271--277},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697793},
  doi          = {10.1109/ISQED.2019.8697793},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MiyasakaMF19,
  author       = {Yukio Miyasaka and
                  Ashish Mittal and
                  Masahiro Fujita},
  title        = {Synthesis of Algorithm Considering Communication Structure of Distributed/Parallel
                  Computing},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {45--51},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697224},
  doi          = {10.1109/ISQED.2019.8697224},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MiyasakaMF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NajafiFLLB19,
  author       = {M. Hassan Najafi and
                  S. Rasoul Faraji and
                  Bingzhe Li and
                  David J. Lilja and
                  Kia Bazargan},
  title        = {Accelerating Deterministic Bit-Stream Computing with Resolution Splitting},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {157--162},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697443},
  doi          = {10.1109/ISQED.2019.8697443},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NajafiFLLB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PasandiNP19,
  author       = {Ghasem Pasandi and
                  Shahin Nazarian and
                  Massoud Pedram},
  title        = {Approximate Logic Synthesis: {A} Reinforcement Learning-Based Technology
                  Mapping Approach},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {26--32},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697679},
  doi          = {10.1109/ISQED.2019.8697679},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PasandiNP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PrabhuPT19,
  author       = {Prabhu B. M. Prasad and
                  Khyamling Parane and
                  Basavaraj Talawar},
  title        = {High-Performance NoCs Employing the {DSP48E1} Blocks of the Xilinx
                  FPGAs},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {163--169},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697444},
  doi          = {10.1109/ISQED.2019.8697444},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PrabhuPT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RamanAD19,
  author       = {Madhu Raman and
                  Nizar Abdallah and
                  Julien Dunoyer},
  title        = {An Artificial Intelligence Approach to {EDA} Software Testing: Application
                  to Net Delay Algorithms in FPGAs},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {311--316},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697652},
  doi          = {10.1109/ISQED.2019.8697652},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RamanAD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RoohiAFD19,
  author       = {Arman Roohi and
                  Shaahin Angizi and
                  Deliang Fan and
                  Ronald F. DeMara},
  title        = {Processing-In-Memory Acceleration of Convolutional Neural Networks
                  for Energy-Effciency, and Power-Intermittency Resilience},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {8--13},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697572},
  doi          = {10.1109/ISQED.2019.8697572},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RoohiAFD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SarmaKBM19,
  author       = {Jitumani Sarma and
                  Akash Katiyar and
                  Rakesh Biswas and
                  Hemanta Kumar Mondal},
  title        = {Power-aware IoT based Smart Health Monitoring using Wireless Body
                  Area Network},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697739},
  doi          = {10.1109/ISQED.2019.8697739},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SarmaKBM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SetoNAKD19,
  author       = {Kenshu Seto and
                  Hamid Nejatollahi and
                  Jiyoung An and
                  Sujin Kang and
                  Nikil D. Dutt},
  title        = {Small Memory Footprint Neural Network Accelerators},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {253--258},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697641},
  doi          = {10.1109/ISQED.2019.8697641},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SetoNAKD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShreyaK19,
  author       = {Sonal Shreya and
                  Brajesh Kumar Kaushik},
  title        = {Low Restoration-Energy Differential Spin Hall Effect {MRAM} for High-Speed
                  Nonvolatile {SRAM} Application},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {58--63},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697426},
  doi          = {10.1109/ISQED.2019.8697426},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShreyaK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SimKKGKR19,
  author       = {Joonseop Sim and
                  Minsu Kim and
                  Yeseong Kim and
                  Saransh Gupta and
                  Behnam Khaleghi and
                  Tajana Rosing},
  title        = {{MAPIM:} Mat Parallelism for High Performance Processing in Non-volatile
                  Memory Architecture},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {145--150},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697441},
  doi          = {10.1109/ISQED.2019.8697441},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SimKKGKR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangGF19,
  author       = {Peikun Wang and
                  Amir Masoud Gharehbaghi and
                  Masahiro Fujita},
  title        = {Automatic Test Pattern Generation for Double Stuck-at Faults Based
                  on Test Patterns of Single Faults},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {284--290},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697831},
  doi          = {10.1109/ISQED.2019.8697831},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangGF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangGQ19,
  author       = {Qian Wang and
                  Mingze Gao and
                  Gang Qu},
  title        = {PUF-PassSE: {A} {PUF} based Password Strength Enhancer for IoT Applications},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {198--203},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697540},
  doi          = {10.1109/ISQED.2019.8697540},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangGQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WongSSFPN19,
  author       = {Alvin D. Wong and
                  Kevin Su and
                  Hang Sun and
                  Arash Fayyazi and
                  Massoud Pedram and
                  Shahin Nazarian},
  title        = {VeriSFQ: {A} Semi-formal Verification Framework and Benchmark for
                  Single Flux Quantum Technology},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {224--230},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697701},
  doi          = {10.1109/ISQED.2019.8697701},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WongSSFPN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XuA19,
  author       = {Zheng Xu and
                  Jacob Abraham},
  title        = {Resilient Reorder Buffer Design for Network-on-Chip},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {92--97},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697766},
  doi          = {10.1109/ISQED.2019.8697766},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/XuA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YanSFPPN19,
  author       = {Ming Yan and
                  Yuntao Song and
                  Yiyu Feng and
                  Ghasem Pasandi and
                  Massoud Pedram and
                  Shahin Nazarian},
  title        = {kNN-CAM: {A} k-Nearest Neighbors-based Configurable Approximate Floating
                  Point Multiplier},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697584},
  doi          = {10.1109/ISQED.2019.8697584},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/YanSFPPN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YangZW19,
  author       = {Xiaokun Yang and
                  Yunxiang Zhang and
                  Lei Wu},
  title        = {A Scalable Image/Video Processing Platform with Open Source Design
                  and Verification Environment},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {110--116},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697816},
  doi          = {10.1109/ISQED.2019.8697816},
  timestamp    = {Sat, 04 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YangZW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YuSMLS19,
  author       = {Huan Yu and
                  Jaemin Shin and
                  Tim Michalka and
                  Mourad Larbi and
                  Madhavan Swaminathan},
  title        = {Behavioral Modeling of Tunable {I/O} Drivers with Pre-emphasis Using
                  Neural Networks},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {247--252},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697597},
  doi          = {10.1109/ISQED.2019.8697597},
  timestamp    = {Sat, 04 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/YuSMLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZabihiZMCRPKWS19,
  author       = {Masoud Zabihi and
                  Zhengyang Zhao and
                  D. C. Mahendra and
                  Zamshed I. Chowdhury and
                  Salonik Resch and
                  Thomas Peterson and
                  Ulya R. Karpuzcu and
                  Jianping Wang and
                  Sachin S. Sapatnekar},
  title        = {Using Spin-Hall MTJs to Build an Energy-Efficient In-memory Computation
                  Platform},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {52--57},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697377},
  doi          = {10.1109/ISQED.2019.8697377},
  timestamp    = {Tue, 28 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZabihiZMCRPKWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isqed/2019,
  title        = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8682005/proceeding},
  isbn         = {978-1-7281-0392-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics