Search dblp for Publications

export results for "toc:db/conf/isqed/isqed2018.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isqed/AbuAPM18,
  author       = {Horaira Abu and
                  Salem Abdennadher and
                  Benoit Provost and
                  Harry Muljono},
  title        = {Augmenting {ESD} and {EOS} physical analysis with per pin {ESD} and
                  leakage {DFT}},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {20--24},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357259},
  doi          = {10.1109/ISQED.2018.8357259},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AbuAPM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Afzali-KushaSP18,
  author       = {Hassan Afzali{-}Kusha and
                  Alireza Shafaei and
                  Massoud Pedram},
  title        = {A 125mV 2ns-access-time 16Kb {SRAM} design based on a 6T hybrid TFET-FinFET
                  cell},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {280--285},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357301},
  doi          = {10.1109/ISQED.2018.8357301},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Afzali-KushaSP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BaiB18,
  author       = {Kangjun Bai and
                  Yang Yi Bradley},
  title        = {A path to energy-efficient spiking delayed feedback reservoir computing
                  system for brain-inspired neuromorphic processors},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {322--328},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357307},
  doi          = {10.1109/ISQED.2018.8357307},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BaiB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Bernstein18,
  author       = {Kerry Bernstein},
  title        = {Murphy was an optimist: Embracing asymmetry in electronics},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357253},
  doi          = {10.1109/ISQED.2018.8357253},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Bernstein18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Chawda18,
  author       = {Pradeep Kumar Chawda},
  title        = {A simplified methodology for complex analog module layout generation},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {82--87},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357269},
  doi          = {10.1109/ISQED.2018.8357269},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Chawda18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Chawda18a,
  author       = {Pradeep Kumar Chawda},
  title        = {An automated design flow for synthesis of optimal multi-layer multi-shape
                  {PCB} coils for inductive sensing applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {290--295},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357303},
  doi          = {10.1109/ISQED.2018.8357303},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Chawda18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChawdaS18,
  author       = {Pradeep Kumar Chawda and
                  Shrikrishna Srinivasan},
  title        = {An automated flow for design validation of switched mode power supply},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {227--231},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357292},
  doi          = {10.1109/ISQED.2018.8357292},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChawdaS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenCCLC18,
  author       = {Jheng{-}Yi Chen and
                  Ming{-}Yu Chang and
                  Shi{-}Hao Chen and
                  Jia{-}Wei Lee and
                  Meng{-}Hsueh Chiang},
  title        = {Body-biasing assisted vmin optimization for 5nm-node multi-Vt {FD-SOI}
                  6T-SRAM},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {151--155},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357280},
  doi          = {10.1109/ISQED.2018.8357280},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenCCLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChiangTL18,
  author       = {Yu{-}Cheng Chiang and
                  Shr{-}Cheng Tsai and
                  Rung{-}Bin Lin},
  title        = {Recognition of regular layout structures},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {75--81},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357268},
  doi          = {10.1109/ISQED.2018.8357268},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ChiangTL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CiprutF18,
  author       = {Albert Ciprut and
                  Eby G. Friedman},
  title        = {On the write energy of non-volatile resistive crossbar arrays with
                  selectors},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {184--188},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357285},
  doi          = {10.1109/ISQED.2018.8357285},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/CiprutF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DaiLJW18,
  author       = {Jindun Dai and
                  Renjie Li and
                  Xin Jiang and
                  Takahiro Watanabe},
  title        = {PDA-HyPAR: Path-diversity-aware hybrid planar adaptive routing algorithm
                  for 3D NoCs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {131--137},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357277},
  doi          = {10.1109/ISQED.2018.8357277},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DaiLJW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DautovM18,
  author       = {Ruslan Dautov and
                  Sergey G. Mosin},
  title        = {A technique to aggregate classes of analog fault diagnostic data based
                  on association rule mining},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {238--243},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357294},
  doi          = {10.1109/ISQED.2018.8357294},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DautovM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DiricanOM18,
  author       = {Aydin Dirican and
                  Cagatay Ozmen and
                  Martin Margala},
  title        = {A droop measurement built-in self-test circuit for digital low-dropout
                  regulators},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {8--13},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357257},
  doi          = {10.1109/ISQED.2018.8357257},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DiricanOM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DongWWQ18,
  author       = {Linxi Dong and
                  Haonan Wang and
                  Gaofeng Wang and
                  Weimin Qiu},
  title        = {A wireless multifunctional monitoring system of tower body running
                  state based on {MEMS} acceleration sensor},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {357--363},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357313},
  doi          = {10.1109/ISQED.2018.8357313},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DongWWQ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ElghazaliSO18,
  author       = {Mahdi Elghazali and
                  Manoj Sachdev and
                  Ajoy Opal},
  title        = {An {ESD} transient clamp with 494 pA leakage current in {GP} 65 nm
                  {CMOS} technology},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {214--220},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357290},
  doi          = {10.1109/ISQED.2018.8357290},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ElghazaliSO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ErozanGBAT18,
  author       = {Ahmet Turan Erozan and
                  Mohammad Saber Golanbari and
                  Rajendra Bishnoi and
                  Jasmin Aghassi{-}Hagmann and
                  Mehdi Baradaran Tahoori},
  title        = {Design and evaluation of physical unclonable function for inorganic
                  printed electronics},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {419--424},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357323},
  doi          = {10.1109/ISQED.2018.8357323},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ErozanGBAT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FakhruddinLKHC18,
  author       = {Mohammed Fakhruddin and
                  Kuok{-}Khian Lo and
                  James Karp and
                  Michael J. Hart and
                  Min{-}Hsing P. Chen},
  title        = {Verification methodology to guarantee low routing resistance to well
                  taps},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {257--261},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357297},
  doi          = {10.1109/ISQED.2018.8357297},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/FakhruddinLKHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Girard18,
  author       = {Patrick Girard},
  title        = {Power-aware testing in the Era of IoT},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357254},
  doi          = {10.1109/ISQED.2018.8357254},
  timestamp    = {Sat, 21 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Girard18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GolanbariKBT18,
  author       = {Mohammad Saber Golanbari and
                  Saman Kiamehr and
                  Rajendra Bishnoi and
                  Mehdi Baradaran Tahoori},
  title        = {Reliable memory {PUF} design for low-power applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {207--213},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357289},
  doi          = {10.1109/ISQED.2018.8357289},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GolanbariKBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuanMA18,
  author       = {Wenkai Guan and
                  Milad Ghorbani Moghaddam and
                  Cristinel Ababei},
  title        = {Uncertainty aware mapping of embedded systems for reliability, performance,
                  and energy},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {176--183},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357284},
  doi          = {10.1109/ISQED.2018.8357284},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/GuanMA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuoVGS18,
  author       = {Xinfei Guo and
                  Vaibhav Verma and
                  Patricia Gonzalez{-}Guerrero and
                  Mircea R. Stan},
  title        = {When "things" get older: Exploring circuit aging in IoT applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {296--301},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357304},
  doi          = {10.1109/ISQED.2018.8357304},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GuoVGS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HeC18,
  author       = {Jiacong He and
                  Joseph Callenes{-}Sloan},
  title        = {Optimizing energy in a {DRAM} based hybrid cache},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357262},
  doi          = {10.1109/ISQED.2018.8357262},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HeC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HuangPN18,
  author       = {Victor Huang and
                  Chenyun Pan and
                  Azad Naeemi},
  title        = {Generic system-level modeling and optimization for beyond {CMOS} device
                  applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {196--200},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357287},
  doi          = {10.1109/ISQED.2018.8357287},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HuangPN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ImaniPR18,
  author       = {Mohsen Imani and
                  Daniel Peroni and
                  Tajana Rosing},
  title        = {Program acceleration using nearest distance associative search},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357263},
  doi          = {10.1109/ISQED.2018.8357263},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ImaniPR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ImaniWR18,
  author       = {Mohsen Imani and
                  Pushen Wang and
                  Tajana Rosing},
  title        = {Deep neural network acceleration framework under hardware uncertainty},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {389--394},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357318},
  doi          = {10.1109/ISQED.2018.8357318},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ImaniWR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IslamK18,
  author       = {Sheikh Ariful Islam and
                  Srinivas Katkoori},
  title        = {High-level synthesis of key based obfuscated {RTL} datapaths},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {407--412},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357321},
  doi          = {10.1109/ISQED.2018.8357321},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/IslamK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JadidiAKD18,
  author       = {Amin Jadidi and
                  Mohammad Arjomand and
                  Mahmut T. Kandemir and
                  Chita R. Das},
  title        = {Hybrid-comp: {A} criticality-aware compressed last-level cache},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357260},
  doi          = {10.1109/ISQED.2018.8357260},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JadidiAKD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JantschAKASRTLD18,
  author       = {Axel Jantsch and
                  Arman Anzanpour and
                  Hedyeh A. Kholerdi and
                  Iman Azimi and
                  Lydia C. Siafara and
                  Amir M. Rahmani and
                  Nima Taherinejad and
                  Pasi Liljeberg and
                  Nikil D. Dutt},
  title        = {Hierarchical dynamic goal management for IoT systems},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {370--375},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357315},
  doi          = {10.1109/ISQED.2018.8357315},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JantschAKASRTLD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JavvajiST18,
  author       = {Pavan Kumar Javvaji and
                  Basim Shanyour and
                  Spyros Tragoudas},
  title        = {Test set identification for improved delay defect coverage in the
                  presence of statistical delays},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {14--19},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357258},
  doi          = {10.1109/ISQED.2018.8357258},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JavvajiST18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KamakshiGPSC18,
  author       = {Divya Akella Kamakshi and
                  Xinfei Guo and
                  Harsh N. Patel and
                  Mircea R. Stan and
                  Benton H. Calhoun},
  title        = {A post-silicon hold time closure technique using data-path tunable-buffers
                  for variation-tolerance in sub-threshold designs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {341--346},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357310},
  doi          = {10.1109/ISQED.2018.8357310},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KamakshiGPSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KarimiT18,
  author       = {Sara Karimi and
                  Jelena Trajkovic},
  title        = {Comparative study and prediction modeling of photonic ring Network
                  on Chip architectures},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {119--126},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357275},
  doi          = {10.1109/ISQED.2018.8357275},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KarimiT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KawamuraYT18,
  author       = {Kazushi Kawamura and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {A loop structure optimization targeting high-level synthesis of fast
                  number theoretic transform},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {106--111},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357273},
  doi          = {10.1109/ISQED.2018.8357273},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KawamuraYT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimK18,
  author       = {Juyeon Kim and
                  Taewhan Kim},
  title        = {Energy-optimal dynamic voltage scaling in multicore platforms with
                  reconfigurable power distribution network},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357261},
  doi          = {10.1109/ISQED.2018.8357261},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KimK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KimK18a,
  author       = {Joohan Kim and
                  Taewhan Kim},
  title        = {Clock buffer and flip-flop co-optimization for reducing peak current
                  noise},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {94--99},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357271},
  doi          = {10.1109/ISQED.2018.8357271},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KimK18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiBL018,
  author       = {Jialing Li and
                  Kangjun Bai and
                  Lingjia Liu and
                  Yang Yi},
  title        = {A deep learning based approach for analog hardware implementation
                  of delayed feedback reservoir computing system},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {308--313},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357305},
  doi          = {10.1109/ISQED.2018.8357305},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiBL018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiNYL18,
  author       = {Bingzhe Li and
                  M. Hassan Najafi and
                  Bo Yuan and
                  David J. Lilja},
  title        = {Quantized neural networks with new stochastic multipliers},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {376--382},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357316},
  doi          = {10.1109/ISQED.2018.8357316},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiNYL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiaoZ18,
  author       = {Tuotian Liao and
                  Lihong Zhang},
  title        = {Parasitic-aware gm/ID-based many-objective analog/RF circuit sizing},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {100--105},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357272},
  doi          = {10.1109/ISQED.2018.8357272},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiaoZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinCYLZHX18,
  author       = {Bin Lin and
                  Kai Cong and
                  Zhenkun Yang and
                  Zhi{-}gang Liao and
                  Tao Zhan and
                  Christopher Havlicek and
                  Fei Xie},
  title        = {Concolic testing of SystemC designs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357256},
  doi          = {10.1109/ISQED.2018.8357256},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinCYLZHX18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinHL18,
  author       = {Kuen{-}Wey Lin and
                  Masanori Hashimoto and
                  Yih{-}Lang Li},
  title        = {Near-future traffic evaluation based navigation for automated driving
                  vehicles considering traffic uncertainties},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {425--431},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357324},
  doi          = {10.1109/ISQED.2018.8357324},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LinHW18,
  author       = {Chun{-}Xun Lin and
                  Tsung{-}Wei Huang and
                  Martin D. F. Wong},
  title        = {Routing at compile time},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {169--175},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357283},
  doi          = {10.1109/ISQED.2018.8357283},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LinHW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MaL18,
  author       = {Cong Ma and
                  David J. Lilja},
  title        = {Parallel implementation of finite state machines for reducing the
                  latency of stochastic computing},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357309},
  doi          = {10.1109/ISQED.2018.8357309},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MaL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MaZYR0HHW18,
  author       = {Xiaolong Ma and
                  Yipeng Zhang and
                  Geng Yuan and
                  Ao Ren and
                  Zhe Li and
                  Jie Han and
                  Jingtong Hu and
                  Yanzhi Wang},
  title        = {An area and energy efficient design of domain-wall memory-based deep
                  convolutional neural networks using stochastic computing},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {314--321},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357306},
  doi          = {10.1109/ISQED.2018.8357306},
  timestamp    = {Sat, 08 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MaZYR0HHW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MansourEAKS18,
  author       = {Ahmad Mansour and
                  Ahmed El{-}Naggar and
                  Bassma Al{-}Abassy and
                  Mostafa Khamis and
                  Ahmed Shalaby},
  title        = {A 4-PAM interconnect in network-on-chip for high-throughput and latency-sensitive
                  applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {112--118},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357274},
  doi          = {10.1109/ISQED.2018.8357274},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MansourEAKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MehtaHCBMLDN18,
  author       = {Raghav Mehta and
                  Yuyang Huang and
                  Mingxi Cheng and
                  Shrey Bagga and
                  Nishant Mathur and
                  Ji Li and
                  Jeffrey Draper and
                  Shahin Nazarian},
  title        = {High performance training of deep neural networks using pipelined
                  hardware acceleration and distributed memory},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {383--388},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357317},
  doi          = {10.1109/ISQED.2018.8357317},
  timestamp    = {Fri, 31 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MehtaHCBMLDN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MiyamotoH18,
  author       = {Mami Miyamoto and
                  Kiyoharu Hamaguchi},
  title        = {Extracting hardware assertions including word-level relations over
                  multiple clock cycles},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {244--250},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357295},
  doi          = {10.1109/ISQED.2018.8357295},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MiyamotoH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ModyDSMN18,
  author       = {Mihir Mody and
                  Kumar Desappan and
                  Pramod Swami and
                  Manu Mathew and
                  Soyeb Nagori},
  title        = {Low cost and power CNN/deep learning solution for automated driving},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {432--436},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357325},
  doi          = {10.1109/ISQED.2018.8357325},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ModyDSMN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MroszczykP18,
  author       = {Przemyslaw Mroszczyk and
                  Vasilis F. Pavlidis},
  title        = {Ultra-low swing {CMOS} transceiver for 2.5-D integrated systems},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {262--267},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357298},
  doi          = {10.1109/ISQED.2018.8357298},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MroszczykP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NautiyalNBDDSSK18,
  author       = {Vivek Nautiyal and
                  Nishant Nukala and
                  Fakhruddin Ali Bohra and
                  Sagar Dwivedi and
                  Jitendra Dasani and
                  Satinderjit Singh and
                  Gaurav Singla and
                  Martin Kinkade},
  title        = {Logic-based row redundancy technique designed in 7nm FinFET technology
                  for embedded SRAMs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {274--279},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357300},
  doi          = {10.1109/ISQED.2018.8357300},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NautiyalNBDDSSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NazemiEP18,
  author       = {Mahdi Nazemi and
                  Amir Erfan Eshratifar and
                  Massoud Pedram},
  title        = {A hardware-friendly algorithm for scalable training and deployment
                  of dimensionality reduction models on {FPGA}},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {395--400},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357319},
  doi          = {10.1109/ISQED.2018.8357319},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NazemiEP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NishizawaO18,
  author       = {Shinichi Nishizawa and
                  Hidetoshi Onodera},
  title        = {Process variation aware D-Flip-Flop design using regression analysis},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {88--93},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357270},
  doi          = {10.1109/ISQED.2018.8357270},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/NishizawaO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Pajouhi18,
  author       = {Zoha Pajouhi},
  title        = {Energy efficient neuromorphic processing using spintronic memristive
                  device with dedicated synaptic and neuron terminology},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {61--68},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357266},
  doi          = {10.1109/ISQED.2018.8357266},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Pajouhi18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PandeyGTRB18,
  author       = {Archana Pandey and
                  Pitul Garg and
                  Shobhit Tyagi and
                  Rajeev Ranjan and
                  Anand Bulusu},
  title        = {A modified method of logical effort for FinFET circuits considering
                  impact of fin-extension effects},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {189--195},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357286},
  doi          = {10.1109/ISQED.2018.8357286},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PandeyGTRB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PatelNSMJ18,
  author       = {Ujas Patel and
                  Sai Nimmalapudi and
                  Harvey Stiegler and
                  Andrew Marshall and
                  Keith Jarreau},
  title        = {Enhancing circuit operation using analog floating gates},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {221--226},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357291},
  doi          = {10.1109/ISQED.2018.8357291},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PatelNSMJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PaulCTY18,
  author       = {Paul C.{-}P. Paul and
                  Pei{-}Yu Chiang and
                  Der{-}Cherng Tarng and
                  Chih{-}Yu Yang},
  title        = {Mathematical derivation, circuits design and clinical experiments
                  of measuring blood flow volume {(BFV)} at arteriovenous fistula {(AVF)}
                  of hemodialysis {(HD)} patients using a newly-developed photoplethysmography
                  {(PPG)} sensor},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {353--356},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357312},
  doi          = {10.1109/ISQED.2018.8357312},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PaulCTY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PrasadC18,
  author       = {Anupriya Prasad and
                  Pradeep Chawda},
  title        = {Power management factors and techniques for IoT design devices},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {364--369},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357314},
  doi          = {10.1109/ISQED.2018.8357314},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PrasadC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PratasDWBY18,
  author       = {Frederico Pratas and
                  Thomas Dedes and
                  Andrew Webber and
                  Majid Bemanian and
                  Itai Yarom},
  title        = {Measuring the effectiveness of {ISO26262} compliant self test library},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {156--161},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357281},
  doi          = {10.1109/ISQED.2018.8357281},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PratasDWBY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/QianCCW18,
  author       = {Gaorong Qian and
                  Yuhua Cheng and
                  Guoxiong Chen and
                  Gaofeng Wang},
  title        = {New {AC} resistance calculation of printed spiral coils for wireless
                  power transfer},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {286--289},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357302},
  doi          = {10.1109/ISQED.2018.8357302},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/QianCCW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RaachiniAISKCS18,
  author       = {Anthony Mattar El Raachini and
                  Hussein Alawieh and
                  Adam Issa and
                  Zainab Swaidan and
                  Rouwaida Kanj and
                  Ali Chehab and
                  Mazen A. R. Saghir},
  title        = {Double error cellular automata-based error correction with skip-mode
                  compact syndrome coding for resilient {PUF} design},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {413--418},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357322},
  doi          = {10.1109/ISQED.2018.8357322},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RaachiniAISKCS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RoohiZD18,
  author       = {Arman Roohi and
                  Ramtin Zand and
                  Ronald F. DeMara},
  title        = {Synthesis of normally-off boolean circuits: An evolutionary optimization
                  approach utilizing spintronic devices},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {49--54},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357264},
  doi          = {10.1109/ISQED.2018.8357264},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RoohiZD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RoutMJGD18,
  author       = {Sidhartha Sankar Rout and
                  Hemanta Kumar Mondal and
                  Rohan Juneja and
                  Sri Harsha Gade and
                  Sujay Deb},
  title        = {Dynamic NoC platform for varied application needs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {232--237},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357293},
  doi          = {10.1109/ISQED.2018.8357293},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RoutMJGD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RyooAKK18,
  author       = {Jihyun Ryoo and
                  Meena Arunachalam and
                  Rahul Khanna and
                  Mahmut T. Kandemir},
  title        = {Efficient {K} nearest neighbor algorithm implementations for throughput-oriented
                  architectures},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {144--150},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357279},
  doi          = {10.1109/ISQED.2018.8357279},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RyooAKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SayyaparajuAR18,
  author       = {Sagarvarma Sayyaparaju and
                  Sherif Amer and
                  Garrett S. Rose},
  title        = {A bi-memristor synapse with spike-timing-dependent plasticity for
                  on-chip learning in memristive neuromorphic systems},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {69--74},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357267},
  doi          = {10.1109/ISQED.2018.8357267},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SayyaparajuAR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShinMBSHS18,
  author       = {Zuitoku Shin and
                  Shumpei Morita and
                  Song Bian and
                  Michihiro Shintani and
                  Masayuki Hiromoto and
                  Takashi Sato},
  title        = {A study on NBTI-induced delay degradation considering stress frequency
                  dependence},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {251--256},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357296},
  doi          = {10.1109/ISQED.2018.8357296},
  timestamp    = {Mon, 17 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShinMBSHS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SiddiqiJR18,
  author       = {Arif Siddiqi and
                  Navneet Jain and
                  Mahbub Rashed},
  title        = {Back-bias generator for post-fabrication threshold voltage tuning
                  applications in 22nm {FD-SOI} process},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {268--273},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357299},
  doi          = {10.1109/ISQED.2018.8357299},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SiddiqiJR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SimICKR18,
  author       = {Joonseop Sim and
                  Mohsen Imani and
                  Woojin Choi and
                  Yeseong Kim and
                  Tajana Rosing},
  title        = {{LUPIS:} Latch-up based ultra efficient processing in-memory system},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {55--60},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357265},
  doi          = {10.1109/ISQED.2018.8357265},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SimICKR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SinghJHFG18,
  author       = {Kamlesh Singh and
                  Hailong Jiao and
                  Jos Huisken and
                  Hamed Fatemi and
                  Jos{\'{e}} Pineda de Gyvez},
  title        = {Low power latch based design with smart retiming},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {329--334},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357308},
  doi          = {10.1109/ISQED.2018.8357308},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SinghJHFG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TanwirHL18,
  author       = {Sarmad Tanwir and
                  Michael S. Hsiao and
                  Loganathan Lingappan},
  title        = {An online framework for diagnosis of multiple defects in scan chains},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {162--168},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357282},
  doi          = {10.1109/ISQED.2018.8357282},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/TanwirHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VaisbandBI18,
  author       = {Boris Vaisband and
                  Adeel Ahmad Bajwa and
                  Subramanian S. Iyer},
  title        = {Network on interconnect fabric},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {138--143},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357278},
  doi          = {10.1109/ISQED.2018.8357278},
  timestamp    = {Mon, 21 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VaisbandBI18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VratonjicSKMBG18,
  author       = {Milena Vratonjic and
                  Harmander Singh and
                  Gautam Kumar and
                  Roumi Mohamed and
                  Ashish Bajaj and
                  Ken Gainey},
  title        = {Power and performance aware memory-controller voting mechanism},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {127--130},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357276},
  doi          = {10.1109/ISQED.2018.8357276},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/VratonjicSKMBG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangMKM18,
  author       = {Huan Wang and
                  Jean{-}Fran{\c{c}}ois Millithaler and
                  Ronald W. Knepper and
                  Martin Margala},
  title        = {Terahertz travelling wave amplifier design using Ballistic Deflection
                  Transistor},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {201--206},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357288},
  doi          = {10.1109/ISQED.2018.8357288},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WangMKM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XuWLS18,
  author       = {Xiaowei Xu and
                  Tianchen Wang and
                  Qing Lu and
                  Yiyu Shi},
  title        = {Resource constrained cellular neural networks for real-time obstacle
                  detection using FPGAs},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {437--440},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357326},
  doi          = {10.1109/ISQED.2018.8357326},
  timestamp    = {Tue, 28 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/XuWLS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YangUS18,
  author       = {Tongxin Yang and
                  Tomoaki Ukezono and
                  Toshinori Sato},
  title        = {A low-power configurable adder for approximate applications},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {347--352},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357311},
  doi          = {10.1109/ISQED.2018.8357311},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YangUS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangNKKY18,
  author       = {Zhiming Zhang and
                  Laurent Njilla and
                  Charles A. Kamhoua and
                  Kevin A. Kwiat and
                  Qiaoyan Yu},
  title        = {Securing FPGA-based obsolete component replacement for legacy systems},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {401--406},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357320},
  doi          = {10.1109/ISQED.2018.8357320},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangNKKY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isqed/2018,
  title        = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8354411/proceeding},
  isbn         = {978-1-5386-1214-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}