Search dblp for Publications

export results for "toc:db/conf/islped/islped2018.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AndreevKZCA18,
  author       = {Artem Aleksandrovich Andreev and
                  Fulya Kaplan and
                  Marina Zapater and
                  Ayse K. Coskun and
                  David Atienza},
  title        = {Design Optimization of 3D Multi-Processor System-on-Chip with Integrated
                  Flow Cell Arrays},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {42:1--42:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218606},
  doi          = {10.1145/3218603.3218606},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AndreevKZCA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ArshadBR18,
  author       = {Sana Arshad and
                  Azam Beg and
                  Rashad Ramzan},
  title        = {A 2.6 mW Single-Ended Positive Feedback {LNA} for 5G Applications},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {53:1--53:5},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218619},
  doi          = {10.1145/3218603.3218619},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ArshadBR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BaekCBMMP18,
  author       = {Donkyu Baek and
                  Yukai Chen and
                  Alberto Bocca and
                  Alberto Macii and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Battery-Aware Energy Model of Drone Delivery Tasks},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {49:1--49:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218614},
  doi          = {10.1145/3218603.3218614},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BaekCBMMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BanerjeeKC18,
  author       = {Arijit Banerjee and
                  Sumanth Kamineni and
                  Benton H. Calhoun},
  title        = {Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs
                  for Low-VMIN IoT and Cognitive Applications},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {32:1--32:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218628},
  doi          = {10.1145/3218603.3218628},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BanerjeeKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangPSL18,
  author       = {Kyungwook Chang and
                  Sai Pentapati and
                  Da Eun Shim and
                  Sung Kyu Lim},
  title        = {Road to High-Performance 3D ICs: Performance Optimization Methodologies
                  for Monolithic 3D ICs},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {33:1--33:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218636},
  doi          = {10.1145/3218603.3218636},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChangPSL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenCCCHWS18,
  author       = {Shuo{-}Han Chen and
                  Yuan{-}Hao Chang and
                  Tseng{-}Yi Chen and
                  Yu{-}Ming Chang and
                  Pei{-}Wen Hsiao and
                  Hsin{-}Wen Wei and
                  Wei{-}Kuan Shih},
  title        = {Enhancing the Energy Efficiency of Journaling File System via Exploiting
                  Multi-Write Modes on {MLC} {NVRAM}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {23:1--23:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218632},
  doi          = {10.1145/3218603.3218632},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenCCCHWS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenHBC18,
  author       = {Zhe Chen and
                  Andrew Howe and
                  Hugh T. Blair and
                  Jason Cong},
  title        = {{CLINK:} Compact {LSTM} Inference Kernel for Energy Efficient Neurofeedback
                  Devices},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {2:1--2:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218637},
  doi          = {10.1145/3218603.3218637},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenHBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiSKK18,
  author       = {Seungkyu Choi and
                  Jaehyeong Sim and
                  Myeonggu Kang and
                  Lee{-}Sup Kim},
  title        = {TrainWare: {A} Memory Optimized Weight Update Architecture for On-Device
                  Convolutional Neural Network Training},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {19:1--19:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218625},
  doi          = {10.1145/3218603.3218625},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiSKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FarrokhbakhtKJH18,
  author       = {Hossein Farrokhbakht and
                  Hadi Mardani Kamali and
                  Natalie D. Enright Jerger and
                  Shaahin Hessabi},
  title        = {{SPONGE:} {A} Scalable Pivot-based On/Off Gating Engine for Reducing
                  Static Power in NoC Routers},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {17:1--17:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218635},
  doi          = {10.1145/3218603.3218635},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/FarrokhbakhtKJH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GarrettYZ18,
  author       = {Tyler Garrett and
                  Jun Yang and
                  Youtao Zhang},
  title        = {Enabling Intra-Plane Parallel Block Erase in {NAND} Flash to Alleviate
                  the Impact of Garbage Collection},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {22:1--22:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218627},
  doi          = {10.1145/3218603.3218627},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GarrettYZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuP18,
  author       = {Hongxiang Gu and
                  Miodrag Potkonjak},
  title        = {Efficient and Secure Group Key Management in IoT using Multistage
                  Interconnected {PUF}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {8:1--8:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218646},
  doi          = {10.1145/3218603.3218646},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuoGP18,
  author       = {Jia Guo and
                  Hongxiang Gu and
                  Miodrag Potkonjak},
  title        = {Efficient Image Sensor Subsampling for DNN-Based Image Classification},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {40:1--40:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218618},
  doi          = {10.1145/3218603.3218618},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuoGP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeKLYZ18,
  author       = {Xin He and
                  Liu Ke and
                  Wenyan Lu and
                  Guihai Yan and
                  Xuan Zhang},
  title        = {AxTrain: Hardware-Oriented Neural Network Training for Approximate
                  Inference},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {20:1--20:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218643},
  doi          = {10.1145/3218603.3218643},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HeKLYZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HwangCDJKPJ18,
  author       = {Jeongho Hwang and
                  Hong{-}Seok Choi and
                  Hyungrok Do and
                  Gyu{-}Seob Jeong and
                  Daehyun Koh and
                  Seong Ho Park and
                  Deog{-}Kyoon Jeong},
  title        = {4-Channel Push-Pull {VCSEL} Drivers for {HDMI} Active Optical Cable
                  in 0.18-{\(\mu\)}m {CMOS}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {11:1--11:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218629},
  doi          = {10.1145/3218603.3218629},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HwangCDJKPJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ImaniGGR18,
  author       = {Mohsen Imani and
                  Ricardo Garcia and
                  Saransh Gupta and
                  Tajana Rosing},
  title        = {{RMAC:} Runtime Configurable Floating Point Multiplier for Approximate
                  Computing},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {12:1--12:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218621},
  doi          = {10.1145/3218603.3218621},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ImaniGGR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IyengarVNGMJ18,
  author       = {Anirudh Srikant Iyengar and
                  Deepak Vontela and
                  Ithihasa Reddy Nirmala and
                  Swaroop Ghosh and
                  Seyedhamidreza Motaman and
                  Jae{-}Won Jang},
  title        = {Threshold Defined Camouflaged Gates in 65nm Technology for Reverse
                  Engineering Protection},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {6:1--6:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218641},
  doi          = {10.1145/3218603.3218641},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IyengarVNGMJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KangJP18,
  author       = {Gyuseong Kang and
                  Yunho Jang and
                  Jongsun Park},
  title        = {Spin Orbit Torque Device based Stochastic Multi-bit Synapses for On-chip
                  {STDP} Learning},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {21:1--21:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218654},
  doi          = {10.1145/3218603.3218654},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KangJP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KangLHC18,
  author       = {Chih{-}Kai Kang and
                  Chun{-}Han Lin and
                  Pi{-}Cheng Hsiu and
                  Ming{-}Syan Chen},
  title        = {HomeRun: {HW/SW} Co-Design for Program Atomicity on Self-Powered Intermittent
                  Systems},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {29:1--29:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218633},
  doi          = {10.1145/3218603.3218633},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KangLHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KeHZ18,
  author       = {Liu Ke and
                  Xin He and
                  Xuan Zhang},
  title        = {NNest: Early-Stage Design Space Exploration Tool for Neural Network
                  Inference Accelerators},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {4:1--4:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218647},
  doi          = {10.1145/3218603.3218647},
  timestamp    = {Thu, 02 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KeHZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhanG18,
  author       = {Mohammad Nasim Imtiaz Khan and
                  Swaroop Ghosh},
  title        = {Information Leakage Attacks on Emerging Non-Volatile Memory and Countermeasures},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {25:1--25:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218649},
  doi          = {10.1145/3218603.3218649},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KhanG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKAK18,
  author       = {Yulhwa Kim and
                  Hyungjun Kim and
                  Daehyun Ahn and
                  Jae{-}Joon Kim},
  title        = {Input-Splitting of Large Neural Networks for Power-Efficient Accelerator
                  with Resistive Crossbar Memory Array},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {41:1--41:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218605},
  doi          = {10.1145/3218603.3218605},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKAK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKKK18,
  author       = {Jinseok Kim and
                  Yulhwa Kim and
                  Sungho Kim and
                  Jae{-}Joon Kim},
  title        = {Compact Convolution Mapping on Neuromorphic Hardware using Axonal
                  Delay},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {3:1--3:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218639},
  doi          = {10.1145/3218603.3218639},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimS18,
  author       = {Dongkwun Kim and
                  Mingoo Seok},
  title        = {Better-Than-Worst-Case Design Methodology for a Compact Integrated
                  Switched-Capacitor {DC-DC} Converter},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {46:1--46:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218610},
  doi          = {10.1145/3218603.3218610},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeCPPYK18,
  author       = {Jae{-}Whan Lee and
                  Joo{-}Hyung Chae and
                  Jihwan Park and
                  Hyunkyu Park and
                  Jaekwang Yun and
                  Suhwan Kim},
  title        = {Energy-Efficient Dynamic Comparator with Active Inductor for Receiver
                  of Memory Interfaces},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {10:1--10:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218620},
  doi          = {10.1145/3218603.3218620},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeCPPYK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeLCGSSC18,
  author       = {Cheng{-}Ting Lee and
                  Yun{-}Hao Liang and
                  Pai H. Chou and
                  Ali Heydari Gorji and
                  Seyede Mahya Safavi and
                  Wen{-}Chan Shih and
                  Wen{-}Tsuen Chen},
  title        = {EcoMicro: {A} Miniature Self-Powered Inertial Sensor Node Based on
                  Bluetooth Low Energy},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {30:1--30:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218648},
  doi          = {10.1145/3218603.3218648},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeLCGSSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuWK18,
  author       = {Zhenhong Liu and
                  Daniel Wong and
                  Nam Sung Kim},
  title        = {Load-Triggered Warp Approximation on {GPU}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218626},
  doi          = {10.1145/3218603.3218626},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiuWK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LuWW18,
  author       = {Lejie Lu and
                  Yong Wang and
                  Hui Wu},
  title        = {An Energy-Efficient High-Swing {PAM-4} Voltage-Mode Transmitter},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {9:1--9:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218651},
  doi          = {10.1145/3218603.3218651},
  timestamp    = {Fri, 18 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LuWW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MahmoodiS18,
  author       = {Mohammad Reza Mahmoodi and
                  Dmitri B. Strukov},
  title        = {Breaking POps/J Barrier with Analog Multiplier Circuits Based on Nonvolatile
                  Memories},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {39:1--39:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218613},
  doi          = {10.1145/3218603.3218613},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MahmoodiS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MondalS18,
  author       = {Ankit Mondal and
                  Ankur Srivastava},
  title        = {In-situ Stochastic Training of {MTJ} Crossbar based Neural Networks},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {51:1--51:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218616},
  doi          = {10.1145/3218603.3218616},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MondalS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NarayanaswamyPS18,
  author       = {Swaminathan Narayanaswamy and
                  Sangyoung Park and
                  Sebastian Steinhorst and
                  Samarjit Chakraborty},
  title        = {Multi-Pattern Active Cell Balancing Architecture and Equalization
                  Strategy for Battery Packs},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {43:1--43:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218607},
  doi          = {10.1145/3218603.3218607},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NarayanaswamyPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NazemiP18,
  author       = {Mahdi Nazemi and
                  Massoud Pedram},
  title        = {Deploying Customized Data Representation and Approximate Computing
                  in Machine Learning Applications},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {48:1--48:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218612},
  doi          = {10.1145/3218603.3218612},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NazemiP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PagliariMP18,
  author       = {Daniele Jahier Pagliari and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Dynamic Bit-width Reconfiguration for Energy-Efficient Deep Learning
                  Hardware},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {47:1--47:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218611},
  doi          = {10.1145/3218603.3218611},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PagliariMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PandeyPCR18,
  author       = {Pramesh Pandey and
                  Asmita Pal and
                  Koushik Chakraborty and
                  Sanghamitra Roy},
  title        = {Reliability and Uniformity Enhancement in 8T-SRAM based PUFs operating
                  at {NTC}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {7:1--7:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218642},
  doi          = {10.1145/3218603.3218642},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PandeyPCR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkLC18,
  author       = {Jihoon Park and
                  Seokjun Lee and
                  Hojung Cha},
  title        = {App-Oriented Thermal Management of Mobile Devices},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {36:1--36:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218622},
  doi          = {10.1145/3218603.3218622},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ParkLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Pyne18,
  author       = {Sumanta Pyne},
  title        = {Scheduling of Hybrid Battery-Supercapacitor Control Instructions for
                  Longevity in Systems with Power Gating},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {45:1--45:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218609},
  doi          = {10.1145/3218603.3218609},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Pyne18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RappPH18,
  author       = {Martin Rapp and
                  Anuj Pathania and
                  J{\"{o}}rg Henkel},
  title        = {Pareto-Optimal Power- and Cache-Aware Task Mapping for Many-Cores
                  with Distributed Shared Last-Level Cache},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {16:1--16:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218630},
  doi          = {10.1145/3218603.3218630},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RappPH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaviL18,
  author       = {Gokul Subramanian Ravi and
                  Mikko H. Lipasti},
  title        = {Aggressive Slack Recycling via Transparent Pipelines},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {15:1--15:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218623},
  doi          = {10.1145/3218603.3218623},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaviL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ReisNH18,
  author       = {Dayane Alfenas Reis and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  title        = {Computing in memory with FeFETs},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {24:1--24:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218640},
  doi          = {10.1145/3218603.3218640},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ReisNH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Scheffer18,
  author       = {Louis K. Scheffer},
  title        = {Insights from Biology: Low Power Circuits in the Fruit Fly},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {54:1--54:3},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3241337},
  doi          = {10.1145/3218603.3241337},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Scheffer18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShabanianBBCR18,
  author       = {Tahmoures Shabanian and
                  Aatreyi Bal and
                  Prabal Basu and
                  Koushik Chakraborty and
                  Sanghamitra Roy},
  title        = {{ACE-GPU:} Tackling Choke Point Induced Performance Bottlenecks in
                  a Near-Threshold Computing {GPU}},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {28:1--28:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218644},
  doi          = {10.1145/3218603.3218644},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShabanianBBCR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShylendraBT18,
  author       = {Ahish Shylendra and
                  Swarup Bhunia and
                  Amit Ranjan Trivedi},
  title        = {Intrinsic and Database-free Watermarking in ICs by Exploiting Process
                  and Design Dependent Variability in Metal-Oxide-Metal Capacitances},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {44:1--44:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218608},
  doi          = {10.1145/3218603.3218608},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShylendraBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SrinivasaRLCHYS18,
  author       = {Srivatsa Rangachar Srinivasa and
                  Akshay Krishna Ramanathan and
                  Xueqing Li and
                  Wei{-}Hao Chen and
                  Fu{-}Kuo Hsueh and
                  Chih{-}Chao Yang and
                  Chang{-}Hong Shen and
                  Jia{-}Min Shieh and
                  Sumeet Kumar Gupta and
                  Meng{-}Fan Marvin Chang and
                  Swaroop Ghosh and
                  Jack Sampson and
                  Vijaykrishnan Narayanan},
  title        = {A Monolithic-3D {SRAM} Design with Enhanced Robustness and In-Memory
                  Computation Support},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218645},
  doi          = {10.1145/3218603.3218645},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SrinivasaRLCHYS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SrinivasanFSZSO18,
  author       = {Vijayalakshmi Srinivasan and
                  Bruce M. Fleischer and
                  Sunil Shukla and
                  Matthew M. Ziegler and
                  Joel Silberman and
                  Jinwook Oh and
                  Jungwook Choi and
                  Silvia M. Mueller and
                  Ankur Agrawal and
                  Tina Babinsky and
                  Nianzheng Cao and
                  Chia{-}Yu Chen and
                  Pierce Chuang and
                  Thomas W. Fox and
                  George Gristede and
                  Michael Guillorn and
                  Howard Haynie and
                  Michael J. Klaiber and
                  Dongsoo Lee and
                  Shih{-}Hsien Lo and
                  Gary W. Maier and
                  Michael Scheuermann and
                  Swagath Venkataramani and
                  Christos Vezyrtzis and
                  Naigang Wang and
                  Fanchieh Yee and
                  Ching Zhou and
                  Pong{-}Fei Lu and
                  Brian W. Curran and
                  Leland Chang and
                  Kailash Gopalakrishnan},
  title        = {Across the Stack Opportunities for Deep Learning Acceleration},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {35:1--35:2},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3241339},
  doi          = {10.1145/3218603.3241339},
  timestamp    = {Tue, 22 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SrinivasanFSZSO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TajasobRDB18,
  author       = {Sarvenaz Tajasob and
                  Morteza Rezaalipour and
                  Masoud Dehyadegari and
                  Mahdi Nazm Bojnordi},
  title        = {Designing Efficient Imprecise Adders using Multi-bit Approximate Building
                  Blocks},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {13:1--13:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218638},
  doi          = {10.1145/3218603.3218638},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TajasobRDB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ThirumalaRJMVRG18,
  author       = {Sandeep Krishna Thirumala and
                  Arnab Raha and
                  Hrishikesh Jayakumar and
                  Kaisheng Ma and
                  Narayanan Vijaykrishnan and
                  Vijay Raghunathan and
                  Sumeet Kumar Gupta},
  title        = {Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for
                  Intermittently-Powered Systems},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {31:1--31:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218653},
  doi          = {10.1145/3218603.3218653},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ThirumalaRJMVRG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TsiokanosMNK18,
  author       = {Ioannis Tsiokanos and
                  Lev Mukhanov and
                  Dimitrios S. Nikolopoulos and
                  Georgios Karakonstantis},
  title        = {Variation-Aware Pipelined Cores through Path Shaping and Dynamic Cycle
                  Adjustment: Case Study on a Floating-Point Unit},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {52:1--52:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218617},
  doi          = {10.1145/3218603.3218617},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TsiokanosMNK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VaeztourshiziKA18,
  author       = {Marzieh Vaeztourshizi and
                  Mehdi Kamal and
                  Ali Afzali{-}Kusha and
                  Massoud Pedram},
  title        = {An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative
                  Divider},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {14:1--14:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218650},
  doi          = {10.1145/3218603.3218650},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VaeztourshiziKA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VenkataramaniSC18,
  author       = {Swagath Venkataramani and
                  Vijayalakshmi Srinivasan and
                  Jungwook Choi and
                  Kailash Gopalakrishnan and
                  Leland Chang},
  title        = {Taming the beast: Programming Peta-FLOP class Deep Learning Systems},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {18:1},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3241338},
  doi          = {10.1145/3218603.3241338},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VenkataramaniSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuLHLWWYTWZ18,
  author       = {Ke Xu and
                  Yu Li and
                  Bo Huang and
                  Xiangkai Liu and
                  Hong Wang and
                  Zhuoyan Wu and
                  Zhanpeng Yan and
                  Xueying Tu and
                  Tongqing Wu and
                  Daibing Zeng},
  title        = {A Low-power 4096x2160@30fps {H.265/HEVC} Video Encoder for Smart Video
                  Surveillance},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {38:1--38:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218604},
  doi          = {10.1145/3218603.3218604},
  timestamp    = {Wed, 19 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XuLHLWWYTWZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuQYLC18,
  author       = {Zirui Xu and
                  Zhuwei Qin and
                  Fuxun Yu and
                  Chenchen Liu and
                  Xiang Chen},
  title        = {DiReCt: Resource-Aware Dynamic Model Reconfiguration for Convolutional
                  Neural Network in Mobile Systems},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {37:1--37:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218652},
  doi          = {10.1145/3218603.3218652},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/XuQYLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangHF18,
  author       = {Li Yang and
                  Zhezhi He and
                  Deliang Fan},
  title        = {A Fully Onchip Binarized Convolutional Neural Network {FPGA} Impelmentation
                  with Accurate Inference},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {50:1--50:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218615},
  doi          = {10.1145/3218603.3218615},
  timestamp    = {Thu, 14 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangHF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangS18,
  author       = {Zhiyuan Yang and
                  Ankur Srivastava},
  title        = {Value-driven Synthesis for Neural Network ASICs},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {1:1--1:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218634},
  doi          = {10.1145/3218603.3218634},
  timestamp    = {Thu, 17 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangTJSS18,
  author       = {Sheng Zhang and
                  Adrian Tang and
                  Zhewei Jiang and
                  Simha Sethumadhavan and
                  Mingoo Seok},
  title        = {Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point
                  Blacklisting for Mitigating Power-Management Security Attacks},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {5:1--5:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218624},
  doi          = {10.1145/3218603.3218624},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangTJSS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhouIGR18,
  author       = {Minxuan Zhou and
                  Mohsen Imani and
                  Saransh Gupta and
                  Tajana Rosing},
  title        = {{GAS:} {A} Heterogeneous Memory Architecture for Graph Processing},
  booktitle    = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  pages        = {27:1--27:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603.3218631},
  doi          = {10.1145/3218603.3218631},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhouIGR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2018,
  title        = {Proceedings of the International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3218603},
  doi          = {10.1145/3218603},
  isbn         = {978-1-4503-5704-3},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics