Search dblp for Publications

export results for "toc:db/conf/islped/islped2007.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AmelifardP07,
  author       = {Behnam Amelifard and
                  Massoud Pedram},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Design of an efficient power delivery network in an soc to enable
                  dynamic power management},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {328--333},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283850},
  doi          = {10.1145/1283780.1283850},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AmelifardP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BalijepalliSC07,
  author       = {Asha Balijepalli and
                  Saurabh Sinha and
                  Yu Cao},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Compact modeling of carbon nanotube transistor for early stage process-design
                  exploration},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {2--7},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283783},
  doi          = {10.1145/1283780.1283783},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BalijepalliSC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BanerjeeCR07,
  author       = {Nilanjan Banerjee and
                  Jung Hwan Choi and
                  Kaushik Roy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A process variation aware low power synthesis methodology for fixed-point
                  {FIR} filters},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283813},
  doi          = {10.1145/1283780.1283813},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BanerjeeCR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Barroso07,
  author       = {Luiz Andr{\'{e}} Barroso},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {All watts considered},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {346},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283853},
  doi          = {10.1145/1283780.1283853},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Barroso07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BhojwaniLM07,
  author       = {Praveen Bhojwani and
                  Jason D. Lee and
                  Rabi N. Mahapatra},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {{SAPP:} scalable and adaptable peak power management in nocs},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {340--345},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283852},
  doi          = {10.1145/1283780.1283852},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BhojwaniLM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BorkarD07,
  author       = {Shekhar Borkar and
                  William J. Dally},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Future of on-chip interconnection architectures},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {122},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283806},
  doi          = {10.1145/1283780.1283806},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BorkarD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BowmanASW07,
  author       = {Keith A. Bowman and
                  Alaa R. Alameldeen and
                  Srikanth T. Srinivasan and
                  Chris Wilkerson},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Impact of die-to-die and within-die parameter variations on the throughput
                  distribution of multi-core processors},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {50--55},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283792},
  doi          = {10.1145/1283780.1283792},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BowmanASW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChaoTK07,
  author       = {Chao Lu and
                  Chi{-}Ying Tsui and
                  Wing{-}Hung Ki},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Vibration energy scavenging and management for ultra low power applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {316--321},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283848},
  doi          = {10.1145/1283780.1283848},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChaoTK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Chau07,
  author       = {Robert Chau},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Nanotechnology for low-power and high-speed nanoelectronics applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283781},
  doi          = {10.1145/1283780.1283781},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Chau07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenLLK07,
  author       = {Yiran Chen and
                  Hai Li and
                  Jing Li and
                  Cheng{-}Kok Koh},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Variable-latency adder (VL-adder): new arithmetic circuit design practice
                  to overcome {NBTI}},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {195--200},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283822},
  doi          = {10.1145/1283780.1283822},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenLLK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenR07,
  author       = {Min Chen and
                  Gabriel A. Rinc{\'{o}}n{-}Mora},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Single inductor, multiple input, multiple output {(SIMIMO)} power
                  mixer-charger-supply system},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {310--315},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283846},
  doi          = {10.1145/1283780.1283846},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenZ07,
  author       = {Sizhong Chen and
                  Tong Zhang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Low power soft-output signal detector design for wireless {MIMO} communication
                  systems},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {232--237},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283831},
  doi          = {10.1145/1283780.1283831},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoKC07,
  author       = {Youngjin Cho and
                  Younghyun Kim and
                  Naehyuck Chang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {{PVS:} passive voltage scaling for wireless sensor networks},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {135--140},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283810},
  doi          = {10.1145/1283780.1283810},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChoKC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiCFHWB07,
  author       = {Jeonghwan Choi and
                  Chen{-}Yong Cher and
                  Hubertus Franke and
                  Hendrik F. Hamann and
                  Alan J. Weger and
                  Pradip Bose},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Thermal-aware task scheduling at the system software level},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {213--218},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283826},
  doi          = {10.1145/1283780.1283826},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiCFHWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DhimanR07,
  author       = {Gaurav Dhiman and
                  Tajana Simunic Rosing},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Dynamic voltage frequency scaling for multi-tasking systems using
                  online learning},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {207--212},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283825},
  doi          = {10.1145/1283780.1283825},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DhimanR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DuarteTWMG07,
  author       = {David E. Duarte and
                  Greg Taylor and
                  Keng L. Wong and
                  Usman Mughal and
                  George L. Geannopoulos},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Advanced thermal sensing circuit and test techniques used in a high
                  performance 65nm processor},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {304--309},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283845},
  doi          = {10.1145/1283780.1283845},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DuarteTWMG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FatemiAP07,
  author       = {Hanif Fatemi and
                  Behnam Amelifard and
                  Massoud Pedram},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Power optimal {MTCMOS} repeater insertion for global buses},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {98--103},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283802},
  doi          = {10.1145/1283780.1283802},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/FatemiAP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FerzliNK07,
  author       = {Imad A. Ferzli and
                  Farid N. Najm and
                  Lars Kruse},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Early power grid verification under circuit current uncertainties},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {116--121},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283805},
  doi          = {10.1145/1283780.1283805},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/FerzliNK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GolubevaLMP07,
  author       = {Olga Golubeva and
                  Mirko Loghi and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Locality-driven architectural cache sub-banking for leakage energy
                  reduction},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {274--279},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283839},
  doi          = {10.1145/1283780.1283839},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GolubevaLMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuEK07,
  author       = {Jie Gu and
                  Hanyong Eom and
                  Chris H. Kim},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Sleep transistor sizing and control for resonant supply noise damping},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {80--85},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283798},
  doi          = {10.1145/1283780.1283798},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuEK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GunadiL07,
  author       = {Erika Gunadi and
                  Mikko H. Lipasti},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Power-aware operand delivery},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {375--378},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283862},
  doi          = {10.1145/1283780.1283862},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GunadiL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuptaRSWB07,
  author       = {Meeta Sharma Gupta and
                  Krishna K. Rangan and
                  Michael D. Smith and
                  Gu{-}Yeon Wei and
                  David M. Brooks},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Towards a software approach to mitigate voltage emergencies},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283808},
  doi          = {10.1145/1283780.1283808},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuptaRSWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HansonKGRRR07,
  author       = {Heather Hanson and
                  Stephen W. Keckler and
                  Soraya Ghiasi and
                  Karthick Rajamani and
                  Freeman L. Rawson III and
                  Juan Rubio},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Thermal response to {DVFS:} analysis with an Intel Pentium {M}},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {219--224},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283827},
  doi          = {10.1145/1283780.1283827},
  timestamp    = {Mon, 03 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/HansonKGRRR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HelmsMHN07,
  author       = {Domenik Helms and
                  Olaf Meyer and
                  Marko Hoyer and
                  Wolfgang Nebel},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Voltage- and ABB-island optimization in high level synthesis},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {153--158},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283814},
  doi          = {10.1145/1283780.1283814},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HelmsMHN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HerbertM07,
  author       = {Sebastian Herbert and
                  Diana Marculescu},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Analysis of dynamic voltage/frequency scaling in chip-multiprocessors},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {38--43},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283790},
  doi          = {10.1145/1283780.1283790},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HerbertM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HsiehKWH07,
  author       = {Jen{-}Wei Hsieh and
                  Tei{-}Wei Kuo and
                  Po{-}Liang Wu and
                  Yu{-}Chung Huang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Energy-efficient and performance-enhanced disks using flash-memory
                  cache},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {334--339},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283851},
  doi          = {10.1145/1283780.1283851},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HsiehKWH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HwangJR07,
  author       = {Myeong{-}Eun Hwang and
                  Seong{-}Ook Jung and
                  Kaushik Roy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Slope interconnect effort: gate-interconnect interdependentdelay model
                  for {CMOS} logic gates},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {387--390},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283865},
  doi          = {10.1145/1283780.1283865},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HwangJR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JenkalD07,
  author       = {Ravi Jenkal and
                  W. Rhett Davis},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {An architecture for energy efficient sphere decoding},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {244--249},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283833},
  doi          = {10.1145/1283780.1283833},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JenkalD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JoshiKKWC07,
  author       = {Rajiv V. Joshi and
                  Rouwaida Kanj and
                  Keunwoo Kim and
                  Richard Q. Williams and
                  Ching{-}Te Chuang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A floating-body dynamic supply boosting technique for low-voltage
                  sram in nanoscale {PD/SOI} {CMOS} technologies},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283784},
  doi          = {10.1145/1283780.1283784},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JoshiKKWC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KahngMS07,
  author       = {Andrew B. Kahng and
                  Swamy Muddu and
                  Puneet Sharma},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Detailed placement for leakage reduction using systematic through-pitch
                  variation},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {110--115},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283804},
  doi          = {10.1145/1283780.1283804},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KahngMS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KandemirSK07,
  author       = {Mahmut T. Kandemir and
                  Seung Woo Son and
                  Mustafa Karak{\"{o}}y},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Improving disk reuse for reducing power consumption},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {129--134},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283809},
  doi          = {10.1145/1283780.1283809},
  timestamp    = {Wed, 20 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KandemirSK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KawokgyS07,
  author       = {Mohamed Kawokgy and
                  C. Andr{\'{e}} T. Salama},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A low-power {CSCD} asynchronous viterbi decoder for wireless applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {363--366},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283859},
  doi          = {10.1145/1283780.1283859},
  timestamp    = {Mon, 15 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KawokgyS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KeaneKK07,
  author       = {John Keane and
                  Tony Tae{-}Hyoung Kim and
                  Chris H. Kim},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {An on-chip {NBTI} sensor for measuring {PMOS} threshold voltage degradation},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {189--194},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283821},
  doi          = {10.1145/1283780.1283821},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KeaneKK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimMR07,
  author       = {Keejong Kim and
                  Hamid Mahmoodi and
                  Kaushik Roy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A low-power {SRAM} using bit-line charge-recycling technique},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {177--182},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283819},
  doi          = {10.1145/1283780.1283819},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimMR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KleinAALS07,
  author       = {Felipe Klein and
                  Guido Araujo and
                  Rodolfo Azevedo and
                  Roberto Leao and
                  Luiz C. V. dos Santos},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A multi-model power estimation engine for accuracy optimization},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {280--285},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283840},
  doi          = {10.1145/1283780.1283840},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KleinAALS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KuI07,
  author       = {Ja Chun Ku and
                  Yehea I. Ismail},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Thermal-aware methodology for repeater insertion in low-power {VLSI}
                  circuits},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {86--91},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283799},
  doi          = {10.1145/1283780.1283799},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KuI07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KulkarniKR07,
  author       = {Jaydeep P. Kulkarni and
                  Keejong Kim and
                  Kaushik Roy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A 160 mV, fully differential, robust schmitt trigger based sub-threshold
                  {SRAM}},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {171--176},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283818},
  doi          = {10.1145/1283780.1283818},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KulkarniKR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiYYC07,
  author       = {Chi{-}Feng Li and
                  Ping{-}Hung Yuh and
                  Chia{-}Lin Yang and
                  Yao{-}Wen Chang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Post-placement leakage optimization for partially dynamically reconfigurable
                  FPGAs},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {92--97},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283801},
  doi          = {10.1145/1283780.1283801},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiYYC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuZ07,
  author       = {Yang Liu and
                  Tong Zhang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {On the selection of arithmetic unit structure in voltage overscaled
                  soft digital signal processing},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {250--255},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283834},
  doi          = {10.1145/1283780.1283834},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MalkowskiRKI07,
  author       = {Konrad Malkowski and
                  Padma Raghavan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Phase-aware adaptive hardware selection for power-efficient scientific
                  computations},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {403--406},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283869},
  doi          = {10.1145/1283780.1283869},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MalkowskiRKI07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MedardoniBM07,
  author       = {Simone Medardoni and
                  Davide Bertozzi and
                  Enrico Macii},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Power-optimal {RTL} arithmetic unit soft-macro selection strategy
                  for leakage-sensitive technologies},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {159--164},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283815},
  doi          = {10.1145/1283780.1283815},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MedardoniBM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MercierDL07,
  author       = {Julien Mercier and
                  Christian Dufaza and
                  Mathieu Lisart},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Signoff power methodology for contactless smartcards},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {407--410},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283870},
  doi          = {10.1145/1283780.1283870},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MercierDL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MinC07,
  author       = {Jung{-}Hi Min and
                  Hojung Cha},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Reducing display power in DVS-enabled handheld systems},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {395--398},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283867},
  doi          = {10.1145/1283780.1283867},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MinC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MohapatraKR07,
  author       = {Debabrata Mohapatra and
                  Georgios Karakonstantis and
                  Kaushik Roy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Low-power process-variation tolerant arithmetic units using input-based
                  elastic clocking},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {74--79},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283797},
  doi          = {10.1145/1283780.1283797},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MohapatraKR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MoranchoLO07,
  author       = {Enric Morancho and
                  Jos{\'{e}} Mar{\'{\i}}a Llaber{\'{\i}}a and
                  {\`{A}}ngel Oliv{\'{e}}},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {On reducing energy-consumption by late-inserting instructions into
                  the issue queue},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {371--374},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283861},
  doi          = {10.1145/1283780.1283861},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MoranchoLO07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MukhopadhyayKC07,
  author       = {Saibal Mukhopadhyay and
                  Keunwoo Kim and
                  Ching{-}Te Chuang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Design and analysis of Thin-BOX {FD/SOI} devices for low-power and
                  stable {SRAM} in sub-50nm technologies},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {20--25},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283786},
  doi          = {10.1145/1283780.1283786},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MukhopadhyayKC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NiemierAHBPPD07,
  author       = {Michael T. Niemier and
                  M. Alam and
                  Xiaobo Sharon Hu and
                  Gary H. Bernstein and
                  Wolfgang Porod and
                  M. Putney and
                  J. DeAngelis},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Clocking structures and power analysis for nanomagnet-based logic
                  devices},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {26--31},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283787},
  doi          = {10.1145/1283780.1283787},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NiemierAHBPPD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OzturkKS07,
  author       = {Ozcan Ozturk and
                  Mahmut T. Kandemir and
                  Seung Woo Son},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {An ilp based approach to reducing energy consumption in nocbased {CMPS}},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {411--414},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283871},
  doi          = {10.1145/1283780.1283871},
  timestamp    = {Wed, 20 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/OzturkKS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PatelLP07,
  author       = {Kimish Patel and
                  Wonbok Lee and
                  Massoud Pedram},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Minimizing power dissipation during write operation to register files},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {183--188},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283820},
  doi          = {10.1145/1283780.1283820},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PatelLP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Patterson07,
  author       = {David A. Patterson},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {The parallel computing landscape: a Berkeley view},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {231},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283829},
  doi          = {10.1145/1283780.1283829},
  timestamp    = {Thu, 13 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Patterson07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PowellV07,
  author       = {Michael D. Powell and
                  T. N. Vijaykumar},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Resource area dilation to reduce power density in throughput servers},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {268--273},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283838},
  doi          = {10.1145/1283780.1283838},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PowellV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PuGCH07,
  author       = {Yu Pu and
                  Jos{\'{e}} de Jesus Pineda de Gyvez and
                  Henk Corporaal and
                  Yajun Ha},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {V\({}_{\mbox{t}}\) balancing and device sizing towards high yield
                  of sub-threshold static logic gates},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {355--358},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283857},
  doi          = {10.1145/1283780.1283857},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PuGCH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoVC07,
  author       = {Ravishankar Rao and
                  Sarma B. K. Vrudhula and
                  Chaitali Chakrabarti},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Throughput of multi-core processors under thermal constraints},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {201--206},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283824},
  doi          = {10.1145/1283780.1283824},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoVC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SafiAMVA07,
  author       = {Elham Safi and
                  Patrick Akl and
                  Andreas Moshovos and
                  Andreas G. Veneris and
                  Aggeliki Arapoyanni},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {On the latency, energy and area of checkpointed, superscalar register
                  alias tables},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {379--382},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283863},
  doi          = {10.1145/1283780.1283863},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SafiAMVA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Saint-LaurentMB07,
  author       = {Martin Saint{-}Laurent and
                  Baker Mohammad and
                  Paul Bassett},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A 65-nm pulsed latch with a single clocked transistor},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {347--350},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283855},
  doi          = {10.1145/1283780.1283855},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Saint-LaurentMB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SarkarLW07,
  author       = {Aveek Sarkar and
                  Shen Lin and
                  Kai Wang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A methodology for analysis and verification of power gated circuits
                  with correlated results},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {351--354},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283856},
  doi          = {10.1145/1283780.1283856},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SarkarLW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SathanurPBMMP07,
  author       = {Ashoka Visweswara Sathanur and
                  Antonio Pullini and
                  Luca Benini and
                  Alberto Macii and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Timing-driven row-based power gating},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {104--109},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283803},
  doi          = {10.1145/1283780.1283803},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SathanurPBMMP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SeoSBKK07,
  author       = {Jae{-}sun Seo and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Himanshu Kaul and
                  Ram Krishnamurthy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A robust edge encoding technique for energy-efficient multi-cycle
                  interconnect},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {68--73},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283796},
  doi          = {10.1145/1283780.1283796},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SeoSBKK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SethuramanV07,
  author       = {Balasubramanian Sethuraman and
                  Ranga Vemuri},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Multicasting based topology generation and core mapping for a power
                  efficient networks-on-chip},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {399--402},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283868},
  doi          = {10.1145/1283780.1283868},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SethuramanV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShaoTK07,
  author       = {Hui Shao and
                  Chi{-}Ying Tsui and
                  Wing{-}Hung Ki},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A micro power management system and maximum output power control for
                  solar energy harvesting applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {298--303},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283844},
  doi          = {10.1145/1283780.1283844},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShaoTK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SharkeyBB07,
  author       = {Joseph J. Sharkey and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Evaluating design tradeoffs in on-chip power management for CMPs},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283791},
  doi          = {10.1145/1283780.1283791},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SharkeyBB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShimingXY07,
  author       = {Shiming Han and
                  Xiaobo Wu and
                  Yang Liu},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {High-efficiency synchronous dual-output switched-capacitor dc-dc converter
                  with digital state machine control},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {292--297},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283843},
  doi          = {10.1145/1283780.1283843},
  timestamp    = {Thu, 07 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShimingXY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShimizuTIG07,
  author       = {Kazunori Shimizu and
                  Nozomu Togawa and
                  Takeshi Ikenaga and
                  Satoshi Goto},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Power-efficient {LDPC} code decoder architecture},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {359--362},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283858},
  doi          = {10.1145/1283780.1283858},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShimizuTIG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SongM07,
  author       = {Minkyu Song and
                  Dongsheng Ma},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A fast-transient over-sampled delta-sigma adaptive {DC-DC} converter
                  for power-efficient noise-sensitive devices},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {286--291},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283842},
  doi          = {10.1145/1283780.1283842},
  timestamp    = {Fri, 21 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SongM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SzeC07,
  author       = {Vivienne Sze and
                  Anantha P. Chandrakasan},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A 0.4-V {UWB} baseband processor},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {262--267},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283837},
  doi          = {10.1145/1283780.1283837},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SzeC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TawfikK07,
  author       = {Sherif A. Tawfik and
                  Volkan Kursun},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Dual signal frequencies and voltage levels for low power and temperature-gradient
                  tolerant clock distribution},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {62--67},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283795},
  doi          = {10.1145/1283780.1283795},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TawfikK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TodriCM07,
  author       = {Aida Todri and
                  Shih{-}Chieh Chang and
                  Malgorzata Marek{-}Sadowska},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Electromigration and voltage drop aware power grid optimization for
                  power gated ICs},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {391--394},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283866},
  doi          = {10.1145/1283780.1283866},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TodriCM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Wang07,
  author       = {Zhenhua Wang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Adaptive analog biasing: a robustness-enhanced low-power technique
                  for analog baseband design},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {383--386},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283864},
  doi          = {10.1145/1283780.1283864},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Wang07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WooSKJJLY07,
  author       = {Jeong{-}Ho Woo and
                  Ju{-}Ho Sohn and
                  Hyejung Kim and
                  Jongcheol Jeong and
                  Euljoo Jeong and
                  Suk Joong Lee and
                  Hoi{-}Jun Yoo},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A low power multimedia SoC with fully programmable 3D graphics and
                  {MPEG4/H.264/JPEG} for mobile devices},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {238--243},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283832},
  doi          = {10.1145/1283780.1283832},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WooSKJJLY07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XianLL07,
  author       = {Changjiu Xian and
                  Yung{-}Hsiang Lu and
                  Zhiyuan Li},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A programming environment with runtime energy characterization for
                  energy-aware applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {141--146},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283811},
  doi          = {10.1145/1283780.1283811},
  timestamp    = {Wed, 20 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/XianLL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuC07,
  author       = {Ke Xu and
                  Chiu{-}sing Choy},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Low-power {H.264/AVC} baseline decoder for portable applications},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {256--261},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283835},
  doi          = {10.1145/1283780.1283835},
  timestamp    = {Wed, 19 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XuC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YounKK07,
  author       = {Sungjune Youn and
                  Hyunhee Kim and
                  Jihong Kim},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {A reusability-aware cache memory sharing technique for high-performance
                  low-power CMPs with private {L2} caches},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {56--61},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283793},
  doi          = {10.1145/1283780.1283793},
  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YounKK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhaiDBMS07,
  author       = {Bo Zhai and
                  Ronald G. Dreslinski and
                  David T. Blaauw and
                  Trevor N. Mudge and
                  Dennis Sylvester},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Energy efficient near-threshold chip multi-processing},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {32--37},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283789},
  doi          = {10.1145/1283780.1283789},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhaiDBMS07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangCK07,
  author       = {Sushu Zhang and
                  Karam S. Chatha and
                  Goran Konjevod},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Approximation algorithms for power minimization of earliest deadline
                  first and rate monotonic schedules},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {225--230},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283828},
  doi          = {10.1145/1283780.1283828},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangCK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangCZ07,
  author       = {Mingming Zhang and
                  Xiaotao Chang and
                  Ge Zhang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Reducing cache energy consumption by tag encoding in embedded processors},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {367--370},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283860},
  doi          = {10.1145/1283780.1283860},
  timestamp    = {Wed, 08 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangCZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhouTB07,
  author       = {Yu Zhou and
                  Shijo Thekkel and
                  Swarup Bhunia},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Low power {FPGA} design using hybrid {CMOS-NEMS} approach},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {14--19},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283785},
  doi          = {10.1145/1283780.1283785},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhouTB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhouZM07,
  author       = {Quming Zhou and
                  Lin Zhong and
                  Kartik Mohanram},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Power signal processing: a new perspective for power analysis and
                  optimization},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {165--170},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283816},
  doi          = {10.1145/1283780.1283816},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhouZM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhuoCC07,
  author       = {Jianli Zhuo and
                  Chaitali Chakrabarti and
                  Naehyuck Chang},
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Energy management of {DVS-DPM} enabled embedded systems powered by
                  fuel cell-battery hybrid source},
  booktitle    = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  pages        = {322--327},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1283780.1283849},
  doi          = {10.1145/1283780.1283849},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhuoCC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2007,
  editor       = {Diana Marculescu and
                  Anand Raghunathan and
                  Ali Keshavarzi and
                  Vijaykrishnan Narayanan},
  title        = {Proceedings of the 2007 International Symposium on Low Power Electronics
                  and Design, 2007, Portland, OR, USA, August 27-29, 2007},
  publisher    = {{ACM}},
  year         = {2007},
  isbn         = {978-1-59593-709-4},
  timestamp    = {Thu, 17 Apr 2008 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics