Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2005-6.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/AdrianGC05,
  author       = {Victor Adrian and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang},
  title        = {A combined interpolatorless interpolation and high accuracy sampling
                  process for digital class {D} amplifiers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5405--5408},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465858},
  doi          = {10.1109/ISCAS.2005.1465858},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AdrianGC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AfkalAN05,
  author       = {B. Afkal and
                  Ali Afzali{-}Kusha and
                  Mahmoud El Nokali},
  title        = {Efficient power model for crossbar interconnects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5858--5861},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465971},
  doi          = {10.1109/ISCAS.2005.1465971},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AfkalAN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Akatimagool05,
  author       = {Somsak Akatimagool},
  title        = {Fast iterative method package for high frequency circuits analysis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5970--5973},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465999},
  doi          = {10.1109/ISCAS.2005.1465999},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Akatimagool05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AksinGM05,
  author       = {Devrim Yilmaz Aksin and
                  Stefano Gregori and
                  Franco Maloberti},
  title        = {High-efficiency power amplifier for wireless sensor networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5898--5901},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465981},
  doi          = {10.1109/ISCAS.2005.1465981},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AksinGM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlippiV05,
  author       = {Cesare Alippi and
                  Giovanni Vanini},
  title        = {An application-level methodology to guide the design of intelligent-processing,
                  power-aware passive RFIDs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5509--5512},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465884},
  doi          = {10.1109/ISCAS.2005.1465884},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlippiV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AltunKA05,
  author       = {Oguz Altun and
                  Jinseok Koh and
                  Phillip E. Allen},
  title        = {A 1.5V multirate multibit sigma delta modulator for {GSM/WCDMA} in
                  a 90 nm digital {CMOS} process},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5577--5580},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465901},
  doi          = {10.1109/ISCAS.2005.1465901},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AltunKA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmayaUDR05,
  author       = {Jes{\'{u}}s Ruiz{-}Amaya and
                  Jos{\'{e}} M. de la Rosa and
                  Manuel Delgado{-}Restituto and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Behavioral modeling simulation and high-level synthesis of pipeline
                  {A/D} converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5609--5612},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465909},
  doi          = {10.1109/ISCAS.2005.1465909},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AmayaUDR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmiraF05,
  author       = {Abbes Amira and
                  Peter Farrell},
  title        = {An automatic face recognition system based on wavelet transforms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6252--6255},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466069},
  doi          = {10.1109/ISCAS.2005.1466069},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AmiraF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AniruddhanA05,
  author       = {Sankaran Aniruddhan and
                  David J. Allstot},
  title        = {Architectural issues in base-station frequency synthesizers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6034--6037},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466015},
  doi          = {10.1109/ISCAS.2005.1466015},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AniruddhanA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ArenaFFVB05,
  author       = {Paolo Arena and
                  Luigi Fortuna and
                  Mattia Frasca and
                  Guido Vagliasindi and
                  Adriano Basile},
  title        = {{CNN} wave based computation for robot navigation on {ACE16K}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5818--5821},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465961},
  doi          = {10.1109/ISCAS.2005.1465961},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ArenaFFVB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BadaouiV05,
  author       = {Raoul F. Badaoui and
                  Ranga Vemuri},
  title        = {Analog {VLSI} circuit-level synthesis using multi-placement structures},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5978--5981},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466001},
  doi          = {10.1109/ISCAS.2005.1466001},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BadaouiV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaggaHHLSL05,
  author       = {Sumit Bagga and
                  Sandro A. P. Haddad and
                  Koen van Hartingsveldt and
                  Simon Lee and
                  Wouter A. Serdijn and
                  John R. Long},
  title        = {An interference rejection filter for an ultra-wideband quadrature
                  downconversion autocorrelation receiver},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5357--5360},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465846},
  doi          = {10.1109/ISCAS.2005.1465846},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaggaHHLSL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaglioSB05,
  author       = {Salvatore Baglio and
                  Vincenzo Sacco and
                  Adi R. Bulsara},
  title        = {Read-out circuit in RT-fluxgate},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5910--5913},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465984},
  doi          = {10.1109/ISCAS.2005.1465984},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BaglioSB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BanhT05,
  author       = {Xuan{-}Quang Banh and
                  Yap{-}Peng Tan},
  title        = {Efficient video motion estimation using dual-cross search algorithms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5485--5488},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465878},
  doi          = {10.1109/ISCAS.2005.1465878},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BanhT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BehjatC05,
  author       = {Laleh Behjat and
                  Andy Chiang},
  title        = {Fast integer linear programming based models for {VLSI} global routing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6238--6243},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466066},
  doi          = {10.1109/ISCAS.2005.1466066},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BehjatC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BorkowskiK05,
  author       = {Maciej Borkowski and
                  Juha Kostamovaara},
  title        = {Spurious tone free digital delta-sigma modulator design for {DC} inputs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5601--5604},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465907},
  doi          = {10.1109/ISCAS.2005.1465907},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BorkowskiK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BradyH05,
  author       = {Philomena C. Brady and
                  Paul E. Hasler},
  title        = {Offset compensation in flash ADCs using floating-gate circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6154--6157},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466045},
  doi          = {10.1109/ISCAS.2005.1466045},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BradyH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BreaLVPC05,
  author       = {V{\'{\i}}ctor M. Brea and
                  Mika Laiho and
                  David L{\'{o}}pez Vilari{\~{n}}o and
                  Ari Paasio and
                  Diego Cabello},
  title        = {A one-quadrant discrete-time cellular neural network {CMOS} chip for
                  pixel-level snakes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5798--5801},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465956},
  doi          = {10.1109/ISCAS.2005.1465956},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BreaLVPC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Caruso05,
  author       = {Giuseppe Caruso},
  title        = {Design of {MOS} current mode logic gates - computing the limits of
                  voltage swing and bias current},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5637--5640},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465916},
  doi          = {10.1109/ISCAS.2005.1465916},
  timestamp    = {Wed, 06 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Caruso05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CerqueiraDTR05,
  author       = {Augusto Santiago Cerqueira and
                  Carlos Augusto Duque and
                  Rog{\'{e}}rio Marques Trindade and
                  Mois{\'{e}}s Vidal Ribeiro},
  title        = {Digital system for detection and classification of electrical events},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5417--5420},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465861},
  doi          = {10.1109/ISCAS.2005.1465861},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CerqueiraDTR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChanACC05,
  author       = {Tai{-}Wai Chan and
                  Oscar C. Au and
                  Tak{-}Song Chong and
                  Wing{-}San Chau},
  title        = {A novel content-adaptive interpolation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6260--6263},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466071},
  doi          = {10.1109/ISCAS.2005.1466071},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChanACC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Chang05a,
  author       = {Chun{-}Ming Chang},
  title        = {Voltage-mode high-order OTA-only-without-C low-pass (from 215 {M}
                  to 705 {M} Hz) and band-pass (from 214 {M} to 724 {M} Hz) filter structure},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5950--5953},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465994},
  doi          = {10.1109/ISCAS.2005.1465994},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Chang05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangC05a,
  author       = {Hung{-}An Chang and
                  Homer H. Chen},
  title        = {Directionally weighted color interpolation for digital cameras},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6284--6287},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466077},
  doi          = {10.1109/ISCAS.2005.1466077},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangC05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangLG05,
  author       = {Hsiu{-}Cheng Chang and
                  Chien{-}Chang Lin and
                  Jiun{-}In Guo},
  title        = {A novel low-cost high-performance {VLSI} architecture for {MPEG-4}
                  {AVC/H.264} {CAVLC} decoding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6110--6113},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466034},
  doi          = {10.1109/ISCAS.2005.1466034},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangLG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CharlesA05,
  author       = {Cameron T. Charles and
                  David J. Allstot},
  title        = {A 360{\textdegree} extended range phase detector for type-I PLLs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5457--5460},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465871},
  doi          = {10.1109/ISCAS.2005.1465871},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CharlesA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CharoensakS05,
  author       = {Charoensak Charayaphan and
                  Farook Sattar},
  title        = {A single-chip {FPGA} design for real-time ICA-based blind source separation
                  algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5822--5825},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465962},
  doi          = {10.1109/ISCAS.2005.1465962},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CharoensakS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChawlaTH05,
  author       = {Ravi Chawla and
                  Christopher M. Twigg and
                  Paul E. Hasler},
  title        = {An analog modulator/demodulator using a programmable arbitrary waveform
                  generator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6106--6109},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466033},
  doi          = {10.1109/ISCAS.2005.1466033},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChawlaTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCWM05,
  author       = {Li{-}Hsun Chen and
                  Oscal T.{-}C. Chen and
                  Teng{-}Yi Wang and
                  Yung{-}Cheng Ma},
  title        = {A multiplication-accumulation computation unit with optimized compressors
                  and minimized switching activities},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6118--6121},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466036},
  doi          = {10.1109/ISCAS.2005.1466036},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCWM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenHDMC05,
  author       = {Song Chen and
                  Xianlong Hong and
                  Sheqin Dong and
                  Yuchun Ma and
                  Chung{-}Kuan Cheng},
  title        = {{VLSI} block placement with alignment constraints based on corner
                  block list},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6222--6225},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466062},
  doi          = {10.1109/ISCAS.2005.1466062},
  timestamp    = {Thu, 30 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenHDMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenT05,
  author       = {Yuan Chen and
                  Kei{-}Tee Tiew},
  title        = {A sixth-order subsampling continuous-time bandpass delta-sigma modulator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5589--5592},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465904},
  doi          = {10.1109/ISCAS.2005.1465904},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenYG05,
  author       = {Degang Chen and
                  Zhongjun Yu and
                  Randall L. Geiger},
  title        = {An adaptive, truly background calibration method for high speed pipeline
                  {ADC} design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6190--6193},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466054},
  doi          = {10.1109/ISCAS.2005.1466054},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenYG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiL05,
  author       = {Hsiang{-}Feng Chi and
                  Zhao{-}Hong Lai},
  title        = {A cost-effective memory-based real-valued {FFT} and Hermitian symmetric
                  {IFFT} processor for DMT-based wire-line transmission systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6006--6009},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466008},
  doi          = {10.1109/ISCAS.2005.1466008},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiangDY05,
  author       = {Tsung{-}Hsi Chiang and
                  Lan{-}Rong Dung and
                  Ming{-}Feng Yaung},
  title        = {Modeling and formal verification of dataflow graph in system-level
                  design using Petri net},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5674--5677},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465925},
  doi          = {10.1109/ISCAS.2005.1465925},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiangDY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChidanandanB05,
  author       = {Archana Chidanandan and
                  Magdy A. Bayoumi},
  title        = {Novel systolic array architecture for the decorrelator using conjugate
                  gradient for least squares algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5437--5440},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465866},
  doi          = {10.1109/ISCAS.2005.1465866},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChidanandanB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoOLL05,
  author       = {SeongHwan Cho and
                  Sungmin Ock and
                  Sang{-}Hoon Lee and
                  Joonsuk Lee},
  title        = {A low power pipelined analog-to-digital converter using series sampling
                  capacitors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6178--6181},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466051},
  doi          = {10.1109/ISCAS.2005.1466051},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoOLL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChunJGL05,
  author       = {Chun Luo and
                  Jun Yang and
                  Gugang Gao and
                  Longxing Shi},
  title        = {Domain fault model and coverage metric for SoC verification},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5662--5665},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465922},
  doi          = {10.1109/ISCAS.2005.1465922},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChunJGL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorbishleyH05,
  author       = {Phil Corbishley and
                  David G. Haigh},
  title        = {Rules for systematic synthesis of all-transistor analogue circuits
                  by admittance matrix expansion},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5966--5969},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465998},
  doi          = {10.1109/ISCAS.2005.1465998},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CorbishleyH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DaiWJ05,
  author       = {Foster F. Dai and
                  Shengfang Wei and
                  Richard C. Jaeger},
  title        = {Integrated blind electronic equalizer for fiber dispersion compensation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5750--5753},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465944},
  doi          = {10.1109/ISCAS.2005.1465944},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DaiWJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DangSS05,
  author       = {Dinh Hung Dang and
                  Yvon Savaria and
                  Mohamad Sawan},
  title        = {A novel approach for implementing ultra-high speed flash {ADC} using
                  {MCML} circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6158--6161},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466046},
  doi          = {10.1109/ISCAS.2005.1466046},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DangSS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DengYT05,
  author       = {Ke Deng and
                  Qinye Yin and
                  Hongbo Tian},
  title        = {Uplink channel estimation for space-time block coded multiple-input
                  multiple-output {MC-CDMA} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5738--5741},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465941},
  doi          = {10.1109/ISCAS.2005.1465941},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DengYT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingCHCC05,
  author       = {Li{-}Fu Ding and
                  Shao{-}Yi Chien and
                  Yu{-}Wen Huang and
                  Yu{-}Lin Chang and
                  Liang{-}Gee Chen},
  title        = {Stereo video coding system with hybrid coding based on joint prediction
                  scheme},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6082--6085},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466027},
  doi          = {10.1109/ISCAS.2005.1466027},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DingCHCC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Dudek05,
  author       = {Piotr Dudek},
  title        = {Implementation of {SIMD} vision chip with 128{\texttimes}128 array
                  of analogue processing elements},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5806--5809},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465958},
  doi          = {10.1109/ISCAS.2005.1465958},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Dudek05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErikssonK05,
  author       = {Jan Eriksson and
                  Visa Koivunen},
  title        = {Blind separation of a class of nonlinear {ICA} models},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5890--5893},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465979},
  doi          = {10.1109/ISCAS.2005.1465979},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ErikssonK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FalkowskiY05a,
  author       = {Bogdan J. Falkowski and
                  Shixing Yan},
  title        = {Ternary Walsh transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6202--6205},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466057},
  doi          = {10.1109/ISCAS.2005.1466057},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FalkowskiY05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FangC05,
  author       = {Tao Fang and
                  Lap{-}Pui Chau},
  title        = {Optimal resynchronization for layered video over wireless channel},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6070--6073},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466024},
  doi          = {10.1109/ISCAS.2005.1466024},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FangC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerraginaMBRFRB05,
  author       = {Vincenzo Ferragina and
                  Piero Malcovati and
                  Fausto Borghetti and
                  Andrea Rossini and
                  Franco Ferrari and
                  Nicoletta Ratti and
                  Giuseppe Bertuccio},
  title        = {Implementation of a novel read-out strategy based on a Wilkinson {ADC}
                  for a 16{\texttimes}16 pixel X-ray detector array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5569--5572},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465899},
  doi          = {10.1109/ISCAS.2005.1465899},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerraginaMBRFRB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FolkessonSKD05,
  author       = {Kalle Folkesson and
                  Christer Svensson and
                  B. Knuthammar and
                  A. Dreyfert},
  title        = {A high-level dynamic-error model of a pipelined analog-to-digital
                  converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5625--5628},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465913},
  doi          = {10.1109/ISCAS.2005.1465913},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FolkessonSKD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FornasariMM05,
  author       = {Andrea Fornasari and
                  Piero Malcovati and
                  Franco Maloberti},
  title        = {Improved modeling of sigma-delta modulator non-idealities in Simulink},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5982--5985},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466002},
  doi          = {10.1109/ISCAS.2005.1466002},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FornasariMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FuYK05,
  author       = {Wei{-}Gang Fu and
                  Wei{-}Qi Yan and
                  Mohan S. Kankanhalli},
  title        = {Progressive scrambling for {MP3} audio},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5525--5528},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465888},
  doi          = {10.1109/ISCAS.2005.1465888},
  timestamp    = {Thu, 22 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FuYK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FujiiSNY05,
  author       = {Tetsuro Fujii and
                  Kazuhiro Shirakawa and
                  Mitsuru Nomura and
                  Takahiro Yamaguchi},
  title        = {{SHD} movie distribution system using image container with 4096{\texttimes}2160
                  pixel resolution and 36 bit color},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5918--5921},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465986},
  doi          = {10.1109/ISCAS.2005.1465986},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FujiiSNY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GanK05,
  author       = {Woon S. Gan and
                  Sen M. Kuo},
  title        = {Analysis of nonlinear residual echo suppressors for telecommunications
                  [voice communication applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5994--5997},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466005},
  doi          = {10.1109/ISCAS.2005.1466005},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GanK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GangAE05,
  author       = {Yao Gang and
                  Tughrul Arslan and
                  Ahmet T. Erdogan},
  title        = {An efficient pre-traceback approach for Viterbi decoding in wireless
                  communication},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5441--5444},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465867},
  doi          = {10.1109/ISCAS.2005.1465867},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GangAE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GrassiMB05,
  author       = {Marco Grassi and
                  Piero Malcovati and
                  Andrea Baschirotto},
  title        = {Flexible high-accuracy wide-range gas sensor interface for portable
                  environmental nosing purpose},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5385--5388},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465853},
  doi          = {10.1109/ISCAS.2005.1465853},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GrassiMB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Grivet-TalociaSMC05,
  author       = {Stefano Grivet{-}Talocia and
                  Igor S. Stievano and
                  Ivan A. Maio and
                  Flavio G. Canavero},
  title        = {Linear and nonlinear macromodels for power/signal integrity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5754--5757},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465945},
  doi          = {10.1109/ISCAS.2005.1465945},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Grivet-TalociaSMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GueorguievLL05,
  author       = {Svetoslav Radoslavov Gueorguiev and
                  Saska Lindfors and
                  Torben Larsen},
  title        = {Common-mode stability in low-power {LO} drivers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5505--5508},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465883},
  doi          = {10.1109/ISCAS.2005.1465883},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GueorguievLL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuimaraesSCS05,
  author       = {Leticia V. Guimaraes and
                  Andr{\'{e}} Borin Soares and
                  Viviane Cordeiro and
                  Altamiro Amadeu Susin},
  title        = {Gradient pile up for edge detection on hardware},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5834--5837},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465965},
  doi          = {10.1109/ISCAS.2005.1465965},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuimaraesSCS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoH05,
  author       = {Li Guo and
                  Yih{-}Fang Huang},
  title        = {{SMF} robust filtering in impulsive noise},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5998--6001},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466006},
  doi          = {10.1109/ISCAS.2005.1466006},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaleyWGGS05,
  author       = {David Haley and
                  Chris Winstead and
                  Vincent C. Gaudet and
                  Alex J. Grant and
                  Christian Schlegel},
  title        = {An analog/digital mode-switching {LDPC} codec},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5790--5793},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465954},
  doi          = {10.1109/ISCAS.2005.1465954},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaleyWGGS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HamalainenKH05,
  author       = {Saku Hamalainen and
                  Lauri Koskinen and
                  Kari Halonen},
  title        = {A hardware-based predictive motion estimation algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6114--6117},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466035},
  doi          = {10.1109/ISCAS.2005.1466035},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HamalainenKH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanCCP05,
  author       = {Wei Han and
                  Cheong{-}Fat Chan and
                  Chiu{-}sing Choy and
                  Kong{-}Pang Pun},
  title        = {A speech recognizer with selectable model parameters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5842--5845},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465967},
  doi          = {10.1109/ISCAS.2005.1465967},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanCCP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Hara05,
  author       = {Junichi Hara},
  title        = {An implementation of {JPEG} 2000 interactive image communication system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5922--5925},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465987},
  doi          = {10.1109/ISCAS.2005.1465987},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Hara05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HarpeZR05,
  author       = {Pieter Harpe and
                  Athon Zanikopoulos and
                  Arthur H. M. van Roermund},
  title        = {Digital self-correction of time-interleaved ADCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5541--5544},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465892},
  doi          = {10.1109/ISCAS.2005.1465892},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HarpeZR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeHSGS05,
  author       = {Fei He and
                  William N. N. Hung and
                  Xiaoyu Song and
                  Ming Gu and
                  Jiaguang Sun},
  title        = {Segmented channel routing with pin rearrangements via satisfiability},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6248--6251},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466068},
  doi          = {10.1109/ISCAS.2005.1466068},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeHSGS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeRF05,
  author       = {Zhiyong He and
                  S{\'{e}}bastien Roy and
                  Paul Fortier},
  title        = {High-speed and low-power design of parallel turbo decoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6018--6021},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466011},
  doi          = {10.1109/ISCAS.2005.1466011},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeRF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HekmatMH05,
  author       = {Mohammad Hekmat and
                  Shahriar Mirabbasi and
                  Majid Hashemi},
  title        = {Ground bounce calculation due to simultaneous switching in deep sub-micron
                  integrated circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5617--5620},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465911},
  doi          = {10.1109/ISCAS.2005.1465911},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HekmatMH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HiraiMFF05,
  author       = {Noriyuki Hirai and
                  Hiroki Matsumoto and
                  Toshihiro Furukawa and
                  Kiyoshi Furuya},
  title        = {A consideration of blind source separation using wavelet transform},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5722--5725},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465937},
  doi          = {10.1109/ISCAS.2005.1465937},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HiraiMFF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoL05,
  author       = {Ka{-}yau Ho and
                  Shu{-}hung Leung},
  title        = {A generalized semi-blind channel estimation for pilot-aided {OFDM}
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6086--6089},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466028},
  doi          = {10.1109/ISCAS.2005.1466028},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HosokawaOTH05,
  author       = {Shinji Hosokawa and
                  Shuichi Ohno and
                  Kok Ann Donny Teo and
                  Takao Hinamoto},
  title        = {Pilot tone design for peak-to-average power ratio reduction in {OFDM}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6014--6017},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466010},
  doi          = {10.1109/ISCAS.2005.1466010},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HosokawaOTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuL05a,
  author       = {Chao{-}Yong Hsu and
                  Chun{-}Shien Lu},
  title        = {Near-perfect cover image recovery anti-multiple watermark embedding
                  approaches},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5517--5520},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465886},
  doi          = {10.1109/ISCAS.2005.1465886},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuL05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuLZ05,
  author       = {Sanqing Hu and
                  Derong Liu and
                  Huaguang Zhang},
  title        = {Gradient-based methods for simultaneous blind separation of mixed
                  source signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5690--5693},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465929},
  doi          = {10.1109/ISCAS.2005.1465929},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuLZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuLZSBC05,
  author       = {Bo Hu and
                  Zhao Li and
                  Lili Zhou and
                  Chuanjin Richard Shi and
                  Kwang{-}Hyun Baek and
                  Myung{-}Jun Choe},
  title        = {Model-compiler based efficient statistical circuit analysis: an industry
                  case study of a 4 GHz/6-bit {ADC/DAC/DEMUX} {ASIC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5621--5624},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465912},
  doi          = {10.1109/ISCAS.2005.1465912},
  timestamp    = {Mon, 29 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuLZSBC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangC05a,
  author       = {Shih{-}Hsu Huang and
                  Chun{-}Hua Cheng},
  title        = {A formal approach to the slack driven scheduling problem in high-level
                  synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5633--5636},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465915},
  doi          = {10.1109/ISCAS.2005.1465915},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangC05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLCC05,
  author       = {Yu{-}Wen Huang and
                  Chia{-}Lin Lee and
                  Ching{-}Yeh Chen and
                  Liang{-}Gee Chen},
  title        = {One-pass computation-aware motion estimation with adaptive search
                  strategy},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5469--5472},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465874},
  doi          = {10.1109/ISCAS.2005.1465874},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLCC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangLCH05,
  author       = {Wen{-}Kai Huang and
                  I{-}Ting Lin and
                  Shi{-}Wei Chen and
                  Ing{-}Jer Huang},
  title        = {A cost-effective media processor for embedded applications [audio
                  decoder example]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6122--6125},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466037},
  doi          = {10.1109/ISCAS.2005.1466037},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangLCH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangYH05,
  author       = {Gaoming Huang and
                  Luxi Yang and
                  Zhenya He},
  title        = {Time-delay direction finding based on canonical correlation analysis
                  [electronic warfare applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5409--5412},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465859},
  doi          = {10.1109/ISCAS.2005.1465859},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangYH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuggettMP05,
  author       = {C. Huggett and
                  Koushik Maharatna and
                  K. Paul},
  title        = {On the implementation of 128-pt {FFT/IFFT} for high-performance {WPAN}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5513--5516},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465885},
  doi          = {10.1109/ISCAS.2005.1465885},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuggettMP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwangLTLLC05,
  author       = {Yuh{-}Shyan Hwang and
                  Lu{-}Po Liao and
                  Chia{-}Chun Tsai and
                  Wen{-}Ta Lee and
                  Trong{-}Yen Lee and
                  Jiann{-}Jong Chen},
  title        = {A new CCII-based pipelined analog to digital converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6170--6173},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466049},
  doi          = {10.1109/ISCAS.2005.1466049},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwangLTLLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HwangTL05,
  author       = {Yin{-}Tsung Hwang and
                  Chen{-}Yu Tsai and
                  Cheng{-}Chen Lin},
  title        = {Block-wise adaptive modulation for {OFDM} {WLAN} systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6098--6101},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466031},
  doi          = {10.1109/ISCAS.2005.1466031},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HwangTL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/InoueU05,
  author       = {Kohei Inoue and
                  Kiichi Urahama},
  title        = {{DSVD:} a tensor-based image compression and recognition method},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6308--6311},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466083},
  doi          = {10.1109/ISCAS.2005.1466083},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/InoueU05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IroagaMN05,
  author       = {Echere Iroaga and
                  Boris Murmann and
                  L. Y. Nathawad},
  title        = {A background correction technique for timing errors in time-interleaved
                  analog-to-digital converters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5557--5560},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465896},
  doi          = {10.1109/ISCAS.2005.1465896},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/IroagaMN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IshidaMK05,
  author       = {Takuma Ishida and
                  Shogo Muramatsu and
                  Hisakazu Kikuchi},
  title        = {Lossless implementation of Motion {JPEG2000} integrated with invertible
                  deinterlacing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6328--6331},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466088},
  doi          = {10.1109/ISCAS.2005.1466088},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IshidaMK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JafaripanahAW05,
  author       = {Mehdi Jafaripanah and
                  Bashir M. Al{-}Hashimi and
                  Neil M. White},
  title        = {Adaptive sensor response correction using analog filter compatible
                  with digital technology [load cell sensor applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5389--5392},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465854},
  doi          = {10.1109/ISCAS.2005.1465854},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JafaripanahAW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JalilAA05,
  author       = {Amir Minayi Jalil and
                  Hamidreza Amindavar and
                  Farshad Almasganj},
  title        = {Subband blind equalization using wavelet filter banks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5730--5733},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465939},
  doi          = {10.1109/ISCAS.2005.1465939},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JalilAA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLJ05,
  author       = {Tai{-}Ying Jiang and
                  Chien{-}Nan Jimmy Liu and
                  Jing{-}Yang Jou},
  title        = {Estimating likelihood of correctness for error candidates to assist
                  debugging faulty {HDL} designs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5682--5685},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465927},
  doi          = {10.1109/ISCAS.2005.1465927},
  timestamp    = {Mon, 07 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangZTC05,
  author       = {Guo{-}Ping Jiang and
                  Wei Xing Zheng and
                  Wallace Kit{-}Sang Tang and
                  Guanrong Chen},
  title        = {Integral observer approach for chaos synchronization with transmission
                  disturbances},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6038--6041},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466016},
  doi          = {10.1109/ISCAS.2005.1466016},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangZTC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KangLLKL05,
  author       = {In Koo Kang and
                  Choong{-}Hoon Lee and
                  Hae{-}Yeoun Lee and
                  Jong{-}Tae Kim and
                  Heung{-}Kyu Lee},
  title        = {Averaging attack resilient video fingerprinting},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5529--5532},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465889},
  doi          = {10.1109/ISCAS.2005.1465889},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KangLLKL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhumsatW05,
  author       = {Phanumas Khumsat and
                  Apisak Worapishet},
  title        = {Application of reverse-active npns for compact, wide-tuning f\({}_{\mbox{T}}\)-integration-based
                  filters in SiGe {HBT} BiCMOS technology},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5954--5957},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465995},
  doi          = {10.1109/ISCAS.2005.1465995},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhumsatW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KidaK05,
  author       = {Yuichi Kida and
                  Takuro Kida},
  title        = {The {FIR} filter bank with given analysis filters that minimizes various
                  worst-case measures of error at the same time},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6280--6283},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466076},
  doi          = {10.1109/ISCAS.2005.1466076},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KidaK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimAS05a,
  author       = {Youngbok Kim and
                  Anuj Agarwal and
                  Sameer R. Sonkusale},
  title        = {Broadband dielectric spectroscopy {CMOS} readout circuit for molecular
                  sensing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5906--5909},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465983},
  doi          = {10.1109/ISCAS.2005.1465983},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimAS05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimC05,
  author       = {Hyoung{-}Joong Kim and
                  Yongsoo Choi},
  title        = {A new visual cryptography using natural images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5537--5540},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465891},
  doi          = {10.1109/ISCAS.2005.1465891},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimC05a,
  author       = {Sookjeong Kim and
                  Seungjin Choi},
  title        = {Independent arrays or independent time courses for gene expression
                  time series},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5886--5889},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465978},
  doi          = {10.1109/ISCAS.2005.1465978},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimC05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimHK05,
  author       = {Ju Yeob Kim and
                  Sung Je Hong and
                  Jong Kim},
  title        = {Parallely testable design for detection of neighborhood pattern sensitive
                  faults in high density DRAMs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5854--5857},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465970},
  doi          = {10.1109/ISCAS.2005.1465970},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimHK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimPPK05,
  author       = {Joungho Kim and
                  Junso Pak and
                  Jongbae Park and
                  Hyungsoo Kim},
  title        = {Noise generation, coupling, isolation, and {EM} radiation in high-speed
                  package and {PCB}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5766--5769},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465948},
  doi          = {10.1109/ISCAS.2005.1465948},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimPPK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Kishida05,
  author       = {Kuniharu Kishida},
  title        = {Blind identification of brain mechanism in {MEG}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5694--5697},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465930},
  doi          = {10.1109/ISCAS.2005.1465930},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Kishida05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoWW05,
  author       = {Hung Yang Ko and
                  Yi{-}Chiuan Wang and
                  An{-}Yeu Wu},
  title        = {Digital signal processing engine design for polar transmitter in wireless
                  communication systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6026--6029},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466013},
  doi          = {10.1109/ISCAS.2005.1466013},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoWW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KobayashiSHT05,
  author       = {Tetsuya Kobayashi and
                  Tetsuya Shimamura and
                  Tetsuo Hosoya and
                  Yoshitake Takahashi},
  title        = {Restoration from image degraded by white noise based on iterative
                  spectral subtraction method},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6268--6271},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466073},
  doi          = {10.1109/ISCAS.2005.1466073},
  timestamp    = {Tue, 15 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KobayashiSHT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KohnoIK05,
  author       = {Kiyotaka Kohno and
                  Yujiro Inouye and
                  Mitsuru Kawamoto},
  title        = {An adaptive super-exponential deflation algorithm for blind deconvolution
                  of {MIMO} systems using the matrix pseudo-inversion lemma},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5870--5873},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465974},
  doi          = {10.1109/ISCAS.2005.1465974},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KohnoIK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoivunenE05,
  author       = {Visa Koivunen and
                  Mihai Enescu},
  title        = {Estimating the fading coefficient in mobile {OFDM} systems using state-space
                  model},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6094--6097},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466030},
  doi          = {10.1109/ISCAS.2005.1466030},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoivunenE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KozielBM05,
  author       = {Slawomir Koziel and
                  John W. Bandler and
                  Kaj Madsen},
  title        = {Towards a rigorous formulation of the space mapping technique for
                  engineering design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5605--5608},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465908},
  doi          = {10.1109/ISCAS.2005.1465908},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KozielBM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KratyukVMM05,
  author       = {Volodymyr Kratyuk and
                  Igor Vytyaz and
                  Un{-}Ku Moon and
                  Kartikeya Mayaram},
  title        = {Analysis of supply and ground noise sensitivity in ring and {LC} oscillators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5986--5989},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466003},
  doi          = {10.1109/ISCAS.2005.1466003},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KratyukVMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KreutzMCSC05,
  author       = {M{\'{a}}rcio Eduardo Kreutz and
                  C{\'{e}}sar A. M. Marcon and
                  Luigi Carro and
                  Altamiro Amadeu Susin and
                  Ney Laert Vilar Calazans},
  title        = {Energy and latency evaluation of NoC topologies},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5866--5869},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465973},
  doi          = {10.1109/ISCAS.2005.1465973},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KreutzMCSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaiJC05,
  author       = {Yen{-}Tai Lai and
                  Yung{-}Chuan Jiang and
                  Hong{-}Ming Chu},
  title        = {{BDD} decomposition for mixed {CMOS/PTL} logic circuit synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5649--5652},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465919},
  doi          = {10.1109/ISCAS.2005.1465919},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaiJC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaihoP05,
  author       = {Mika Laiho and
                  Ari Paasio},
  title        = {Dynamically coupled multi-layer mixed-mode {CNN}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5810--5813},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465959},
  doi          = {10.1109/ISCAS.2005.1465959},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LaihoP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeSHLH05,
  author       = {Chih{-}Hung Lee and
                  Chin{-}Hung Su and
                  Shih{-}Hsu Huang and
                  Chih{-}Yuan Lin and
                  Tsai{-}Ming Hsieh},
  title        = {Floorplanning with clock tree estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6244--6247},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466067},
  doi          = {10.1109/ISCAS.2005.1466067},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeSHLH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeonBSH05,
  author       = {Walter D. Leon{-}Salas and
                  Sina Balkir and
                  Khalid Sayood and
                  Michael W. Hoffman},
  title        = {An analog-to-digital converter with Golomb-Rice output codes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5549--5552},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465894},
  doi          = {10.1109/ISCAS.2005.1465894},
  timestamp    = {Wed, 25 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeonBSH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeungW05,
  author       = {Carina K. Leung and
                  Denise M. Wilson},
  title        = {Integrated interface circuits for chemiresistor arrays},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5914--5917},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465985},
  doi          = {10.1109/ISCAS.2005.1465985},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeungW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiCLH05,
  author       = {Gwo{-}Long Li and
                  Mei{-}Juan Chen and
                  Hung{-}Ju Li and
                  Ching{-}Ting Hsu},
  title        = {Efficient search and mode prediction algorithms for motion estimation
                  in {H.264/AVC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5481--5484},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465877},
  doi          = {10.1109/ISCAS.2005.1465877},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiCLH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHL05,
  author       = {Ji Li and
                  Yinhe Han and
                  Xiaowei Li},
  title        = {Deterministic and low power {BIST} based on scan slice overlapping},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5670--5673},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465924},
  doi          = {10.1109/ISCAS.2005.1465924},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHT05,
  author       = {Day{-}Uei Li and
                  Li{-}Ren Huang and
                  Chia{-}Ming Tsai},
  title        = {A 3.5-Gb/s {CMOS} burst-mode laser driver with automatic power control
                  using single power supply},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5501--5504},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465882},
  doi          = {10.1109/ISCAS.2005.1465882},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHZCBYYSP05,
  author       = {Zhuoyuan Li and
                  Xianlong Hong and
                  Qiang Zhou and
                  Yici Cai and
                  Jinian Bian and
                  Hannal Yang and
                  Prashant Saxena and
                  Vijay Pitchumani},
  title        = {A divide-and-conquer 2.5-D floorplanning algorithm based on statistical
                  wirelength estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6230--6233},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466064},
  doi          = {10.1109/ISCAS.2005.1466064},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHZCBYYSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiSDA05,
  author       = {Shengyuan Li and
                  Susanta Sengupta and
                  Huseyin Dinc and
                  Phillip E. Allen},
  title        = {{CMOS} high-linear wide-dynamic range {RF} on-chip filters using Q-enhanced
                  {LC} filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5942--5945},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465992},
  doi          = {10.1109/ISCAS.2005.1465992},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiSDA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lin05,
  author       = {Rung{-}Bin Lin},
  title        = {Coupling reduction analysis of bus-invert coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5862--5865},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465972},
  doi          = {10.1109/ISCAS.2005.1465972},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lin05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinC05,
  author       = {Ching{-}An Lin and
                  Yi{-}Sheng Chen},
  title        = {Blind identification of {MIMO} channels with periodic modulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5702--5705},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465932},
  doi          = {10.1109/ISCAS.2005.1465932},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCWH05,
  author       = {Chang{-}Tzu Lin and
                  De{-}Sheng Chen and
                  Yiwen Wang and
                  Hsin{-}Hsien Ho},
  title        = {Modem floorplanning with abutment and fixed-outline constraints},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6214--6217},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466060},
  doi          = {10.1109/ISCAS.2005.1466060},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCWH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinZZC05,
  author       = {Huaizhong Lin and
                  Bo Zhou and
                  Zengwei Zheng and
                  Chun Chen},
  title        = {Efficient view maintenance in wireless networks [mobile database view
                  maintenance applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6022--6025},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466012},
  doi          = {10.1109/ISCAS.2005.1466012},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinZZC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LopezCLSN05,
  author       = {Sebasti{\'{a}}n L{\'{o}}pez and
                  Gustavo Marrero Callic{\'{o}} and
                  Jos{\'{e}} Francisco L{\'{o}}pez and
                  Roberto Sarmiento and
                  Antonio N{\'{u}}{\~{n}}ez},
  title        = {Low-cost implementation of a super-resolution algorithm for real-time
                  video applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6130--6133},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466039},
  doi          = {10.1109/ISCAS.2005.1466039},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LopezCLSN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaKTK05,
  author       = {Yue Ma and
                  Hiroshi Kawakami and
                  Chi K. Michael Tse and
                  Takuji Kousaka},
  title        = {A subtle link in switched dynamical systems: saddle-node bifurcation
                  meets border collision},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6050--6053},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466019},
  doi          = {10.1109/ISCAS.2005.1466019},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaKTK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaMSS05,
  author       = {M. Ma and
                  E. Masoud and
                  Y. Sun and
                  John M. Senior},
  title        = {A hybrid space-time and collaborative coding scheme for wireless communications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6102--6105},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466032},
  doi          = {10.1109/ISCAS.2005.1466032},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaMSS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaZN05,
  author       = {Yuan Ma and
                  Xiqun Zhu and
                  Robert W. Newcomb},
  title        = {Cost effective high voltage driver for large channel count optical
                  {MEMS} switch applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5397--5400},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465856},
  doi          = {10.1109/ISCAS.2005.1465856},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaZN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandrekarS05,
  author       = {Rohan Mandrekar and
                  Madhavan Swaminathan},
  title        = {Delay extraction from frequency domain data for causal macro-modeling
                  of passive networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5758--5761},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465946},
  doi          = {10.1109/ISCAS.2005.1465946},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MandrekarS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Marsili05,
  author       = {Stefano Marsili},
  title        = {Algorithm for peak to average power ratio reduction operating at symbol
                  rate},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5497--5500},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465881},
  doi          = {10.1109/ISCAS.2005.1465881},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Marsili05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartinsHVF05,
  author       = {Miguel A. Martins and
                  Koen van Hartingsveldt and
                  Chris J. M. Verhoeven and
                  Jorge R. Fernandes},
  title        = {A wide-band low-noise amplifier with double loop feedback},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5353--5356},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465845},
  doi          = {10.1109/ISCAS.2005.1465845},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartinsHVF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MasudaI05,
  author       = {Masayuki Masuda and
                  Kazuhito Ito},
  title        = {Rapid and precise instruction set evaluation for application specific
                  processor design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6210--6213},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466059},
  doi          = {10.1109/ISCAS.2005.1466059},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MasudaI05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MasudaOA05,
  author       = {Hiroo Masuda and
                  Shin{-}ichi Ohkawa and
                  Masakazu Aoki},
  title        = {Approach for physical design in sub-100 nm era},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5934--5937},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465990},
  doi          = {10.1109/ISCAS.2005.1465990},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MasudaOA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsuoKH05,
  author       = {Mitsuhiro Matsuo and
                  Masaru Kamada and
                  Hiromasa Habuchi},
  title        = {Design of {UWB} pulses based on B-splines},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5425--5428},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465863},
  doi          = {10.1109/ISCAS.2005.1465863},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsuoKH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MesgarzadehA05,
  author       = {Behzad Mesgarzadeh and
                  Atila Alvandpour},
  title        = {A study of injection locking in ring oscillators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5465--5468},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465873},
  doi          = {10.1109/ISCAS.2005.1465873},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MesgarzadehA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MollaHM05,
  author       = {M. Khademul Islam Molla and
                  Keikichi Hirose and
                  Nobuaki Minematsu},
  title        = {Audio source separation by source localization with Hilbert spectrum},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5734--5737},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465940},
  doi          = {10.1109/ISCAS.2005.1465940},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MollaHM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MovahedianAB05,
  author       = {Hamid Movahedian and
                  Meysam Azin and
                  Mehrdad Sharif Bakhtiar},
  title        = {An 8-bit 160 MS/s folding-interpolating {ADC} with optimized active
                  averaging/interpolating network},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6150--6153},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466044},
  doi          = {10.1109/ISCAS.2005.1466044},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MovahedianAB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NagaseYKS05,
  author       = {Yukinori Nagase and
                  Takahiko Yamamoto and
                  Takao Kawamura and
                  Kazunori Sugahara},
  title        = {Hardware realization of panoramic camera with speaker-oriented face
                  extraction for teleconferencing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6256--6259},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466070},
  doi          = {10.1109/ISCAS.2005.1466070},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NagaseYKS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NakanishiSIF05a,
  author       = {Isao Nakanishi and
                  Hiroyuki Sakamoto and
                  Yoshio Itoh and
                  Yutaka Fukui},
  title        = {{DWT} domain multi-matcher on-line signature verification system},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5413--5416},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465860},
  doi          = {10.1109/ISCAS.2005.1465860},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NakanishiSIF05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NakayamaYS05,
  author       = {Tomoyuki Nakayama and
                  Toshihiko Yamasaki and
                  Tadashi Shibata},
  title        = {A low-power switched-current {CDMA} matched filter employing MOS-linear
                  matching cell and output {A/D} converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5365--5368},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465848},
  doi          = {10.1109/ISCAS.2005.1465848},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NakayamaYS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NakhlaAN05,
  author       = {Natalie Nakhla and
                  Ramachandra Achar and
                  Michel S. Nakhla},
  title        = {Accurate and closed-form {SPICE} compatible passive macromodels for
                  distributed interconnects with frequency dependent parameters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5770--5773},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465949},
  doi          = {10.1109/ISCAS.2005.1465949},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NakhlaAN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NavasURF05,
  author       = {Ramon Tortosa Navas and
                  Jos{\'{e}} M. de la Rosa and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez and
                  Francisco Vidal Fern{\'{a}}ndez Fern{\'{a}}ndez},
  title        = {A direct synthesis method of cascaded continuous-time sigma-delta
                  modulators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5585--5588},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465903},
  doi          = {10.1109/ISCAS.2005.1465903},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NavasURF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NieKT05,
  author       = {Tingyuan Nie and
                  Tomoo Kisaka and
                  Masahiko Toyonaga},
  title        = {A post layout watermarking method for {IP} protection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6206--6209},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466058},
  doi          = {10.1109/ISCAS.2005.1466058},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NieKT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Nishiguchi05,
  author       = {Nobuyuki Nishiguchi},
  title        = {An advance {RTL} to {GDS2} design methodology for 90 nm and below
                  system LSIs to solve timing closure, signal integrity and design for
                  manufacturing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5938--5941},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465991},
  doi          = {10.1109/ISCAS.2005.1465991},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Nishiguchi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzalevliTH05,
  author       = {Erhan Ozalevli and
                  Christopher M. Twigg and
                  Paul E. Hasler},
  title        = {10-bit programmable voltage-output digital-analog converter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5553--5556},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465895},
  doi          = {10.1109/ISCAS.2005.1465895},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OzalevliTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OzcelikKB05,
  author       = {Izzet Ozcelik and
                  Izzet Kale and
                  Buyurman Baykal},
  title        = {Decision feedback equalizer with the blind matched filter estimation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5746--5749},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465943},
  doi          = {10.1109/ISCAS.2005.1465943},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OzcelikKB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanKO05,
  author       = {Zhibin Pan and
                  Koji Kotani and
                  Tadahiro Ohmi},
  title        = {Improved fast encoding method for vector quantization based on subvector
                  technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6332--6335},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466089},
  doi          = {10.1109/ISCAS.2005.1466089},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanKO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanellaPM05,
  author       = {Massimo Panella and
                  Maurizio Paschero and
                  Fabio Massimo Frattale Mascioli},
  title        = {A modular RC-active network for vibration damping in piezo-electro-mechanical
                  beams},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5393--5396},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465855},
  doi          = {10.1109/ISCAS.2005.1465855},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanellaPM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkDOL05,
  author       = {Hyung{-}Min Park and
                  Chandra Shekhar Dhir and
                  Do{-}Kwan Oh and
                  Soo{-}Young Lee},
  title        = {Filterbank-based blind signal separation with estimated sound direction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5874--5877},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465975},
  doi          = {10.1109/ISCAS.2005.1465975},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkDOL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkK05,
  author       = {In{-}Cheol Park and
                  Se{-}Hyeon Kang},
  title        = {Scheduling algorithm for partially parallel architecture of {LDPC}
                  decoder by matrix permutation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5778--5781},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465951},
  doi          = {10.1109/ISCAS.2005.1465951},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PavanS05,
  author       = {Shanthi Pavan and
                  Shankar Shivappa},
  title        = {Analysis of traveling wave and transversal analog adaptive equalizers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5962--5965},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465997},
  doi          = {10.1109/ISCAS.2005.1465997},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PavanS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PaytonH05,
  author       = {Michael Walter Payton and
                  Fat Duen Ho},
  title        = {A physically-derived large-signal nonquasi-static {MOSFET} model for
                  computer aided device and circuit simulation part-II the {CMOS} {NOR}
                  gate and the {CMOS} {NAND} gate},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5657--5661},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465921},
  doi          = {10.1109/ISCAS.2005.1465921},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PaytonH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PekauHH05,
  author       = {Holly Pekau and
                  Lee Hartley and
                  James W. Haslett},
  title        = {A re-configurable high-speed {CMOS} track and latch comparator with
                  rail-to-rail input for {IF} digitization [software radio receiver
                  applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5369--5372},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465849},
  doi          = {10.1109/ISCAS.2005.1465849},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PekauHH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PetrescuOD05,
  author       = {Tudor Petrescu and
                  Jacques Oksman and
                  Pierre Duhamel},
  title        = {Synthesis of hybrid filter banks by global frequency domain least
                  square solving},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5565--5568},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465898},
  doi          = {10.1109/ISCAS.2005.1465898},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PetrescuOD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PiperY05,
  author       = {Johan Piper and
                  Jiren Yuan},
  title        = {Design considerations of a floating-point {ADC} with embedded {S/H}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6166--6169},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466048},
  doi          = {10.1109/ISCAS.2005.1466048},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PiperY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PrincipiSP05,
  author       = {Emanuele Principi and
                  Stefano Squartini and
                  Francesco Piazza},
  title        = {An {ICA} based approach for blind deconvolution of three-dimensional
                  signals},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5714--5717},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465935},
  doi          = {10.1109/ISCAS.2005.1465935},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PrincipiSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ProchaskaMB05,
  author       = {Marcus Prochaska and
                  Wolfgang Mathis and
                  Alexander Belski},
  title        = {Bifurcation analysis of on-chip {LC} VCOs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5445--5448},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465868},
  doi          = {10.1109/ISCAS.2005.1465868},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ProchaskaMB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QinYGY05,
  author       = {Xing Qin and
                  Xiaolang Yan and
                  Haitong Ge and
                  Ye Yang},
  title        = {A simplified algorithm of {JPEG2000} rate control for {VLSI} implementation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6316--6319},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466085},
  doi          = {10.1109/ISCAS.2005.1466085},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QinYGY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QiuD05,
  author       = {Guoping Qiu and
                  Jiang Duan},
  title        = {An optimal tone reproduction curve operator for the display of high
                  dynamic range images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6276--6279},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466075},
  doi          = {10.1109/ISCAS.2005.1466075},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QiuD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QureshiA05,
  author       = {Muhammad Shakeel Qureshi and
                  Phillip E. Allen},
  title        = {70 MHz {CMOS} gm-C {IF} filter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5946--5949},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465993},
  doi          = {10.1109/ISCAS.2005.1465993},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QureshiA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RadhakrishnanWC05,
  author       = {Shailesh Radhakrishnan and
                  Mingzhen Wang and
                  Chien{-}In Henry Chen},
  title        = {A low-power 4-b 2.5 Gsample/s pipelined flash analog-to-digital converter
                  using differential comparator and {DCVSPG} encoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6142--6145},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466042},
  doi          = {10.1109/ISCAS.2005.1466042},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RadhakrishnanWC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RauCM05,
  author       = {Jiann{-}Chyi Rau and
                  Chih{-}Lung Chien and
                  Jia{-}Shing Ma},
  title        = {Reconfigurable multiple scan-chains for reducing test application
                  time of SOCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5846--5849},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465968},
  doi          = {10.1109/ISCAS.2005.1465968},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RauCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RengachariSTM05,
  author       = {Thirumalai Rengachari and
                  Vivek Sharma and
                  Gabor C. Temes and
                  Un{-}Ku Moon},
  title        = {A 10-bit algorithmic {A/D} converter for cytosensor application},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6186--6189},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466053},
  doi          = {10.1109/ISCAS.2005.1466053},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RengachariSTM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuizTG05,
  author       = {Francisco Colodro Ruiz and
                  Antonio Jes{\'{u}}s Torralba Silgado and
                  Marta Laguna Garcia},
  title        = {Time-interleaved multirate sigma-delta modulators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5581--5584},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465902},
  doi          = {10.1109/ISCAS.2005.1465902},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuizTG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitZA05,
  author       = {Sadiq M. Sait and
                  Ali Mustafa Zaidi and
                  Mustafa I. Ali},
  title        = {Multiobjective {VLSI} cell placement using distributed simulated evolution
                  algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6226--6229},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466063},
  doi          = {10.1109/ISCAS.2005.1466063},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitZA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SakamotoSKTA05,
  author       = {Noriaki Sakamoto and
                  Norihiko Sugita and
                  Takafumi Kikuchi and
                  Hideki Tanaka and
                  Takashi Akazawa},
  title        = {Designing and packaging technology of Renesas {SIP}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5926--5929},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465988},
  doi          = {10.1109/ISCAS.2005.1465988},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SakamotoSKTA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SatoTFHSO05,
  author       = {Takahide Sato and
                  Shigetaka Takagi and
                  Nobuo Fujii and
                  Yasuyuki Hashimoto and
                  Kohji Sakata and
                  Hiroyuki Okada},
  title        = {Feedforward-type parasitic capacitance canceler and its application
                  to 4 Gb/s {T/H} circuit},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5561--5564},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465897},
  doi          = {10.1109/ISCAS.2005.1465897},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SatoTFHSO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaukoskiAH05,
  author       = {Mikko Saukoski and
                  Lasse Aaltonen and
                  Kari Halonen},
  title        = {Fully integrated charge pump for high voltage excitation of a bulk
                  micromachined gyroscope},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5381--5384},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465852},
  doi          = {10.1109/ISCAS.2005.1465852},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaukoskiAH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaukoskiASH05,
  author       = {Mikko Saukoski and
                  Lasse Aaltonen and
                  Teemu Salo and
                  Kari Halonen},
  title        = {Fully integrated charge sensitive amplifier for readout of micromechanical
                  capacitive sensors},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5377--5380},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465851},
  doi          = {10.1109/ISCAS.2005.1465851},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaukoskiASH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SawadaAMM05,
  author       = {Hiroshi Sawada and
                  Shoko Araki and
                  Ryo Mukai and
                  Shoji Makino},
  title        = {Blind extraction of a dominant source from mixtures of many sources
                  using {ICA} and time-frequency masking},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5882--5885},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465977},
  doi          = {10.1109/ISCAS.2005.1465977},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SawadaAMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SawangsriPJ05,
  author       = {Teerayoot Sawangsri and
                  Vorapoj Patanavijit and
                  Somchai Jitapunkul},
  title        = {Face segmentation based on Hue-Cr components and morphological technique},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5401--5404},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465857},
  doi          = {10.1109/ISCAS.2005.1465857},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SawangsriPJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchraderKVN05,
  author       = {Jan H. Rutger Schrader and
                  Eric A. M. Klumperink and
                  Jan L. Visschers and
                  Bram Nauta},
  title        = {Jitter limitations on multi-carrier modulation},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6090--6093},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466029},
  doi          = {10.1109/ISCAS.2005.1466029},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchraderKVN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeedherTSA05,
  author       = {A. Seedher and
                  Preetam Tadeparthy and
                  K. A. S. Satheesh and
                  V. T. Anuroop},
  title        = {Automated design of a 10-bit, 80MSPS {WLAN} {DAC} for linearity and
                  low-area},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5545--5548},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465893},
  doi          = {10.1109/ISCAS.2005.1465893},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeedherTSA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShanablehM05,
  author       = {Tamer Shanableh and
                  Tony May},
  title        = {Error sensitivity testing for the {MC-EZBC} scalable wavelet video
                  coder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6062--6065},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466022},
  doi          = {10.1109/ISCAS.2005.1466022},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShanablehM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharawiA05,
  author       = {Mohammad S. Sharawi and
                  Daniel N. Aloi},
  title        = {An 800 Mbps system interconnect modeling and simulation for high speed
                  computing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6198--6201},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466056},
  doi          = {10.1109/ISCAS.2005.1466056},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharawiA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaMT05,
  author       = {Vivek Sharma and
                  Un{-}Ku Moon and
                  Gabor C. Temes},
  title        = {A generic multilevel multiplying {D/A} converter for pipelined ADCs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6182--6185},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466052},
  doi          = {10.1109/ISCAS.2005.1466052},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaMT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheikhaeiMI05,
  author       = {Samad Sheikhaei and
                  Shahriar Mirabbasi and
                  Andr{\'{e}} Ivanov},
  title        = {A 0.35{\(\mathrm{\mu}\)}m {CMOS} comparator circuit for high-speed
                  {ADC} applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6134--6137},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466040},
  doi          = {10.1109/ISCAS.2005.1466040},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheikhaeiMI05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheikhaeiMI05a,
  author       = {Samad Sheikhaei and
                  Shahriar Mirabbasi and
                  Andr{\'{e}} Ivanov},
  title        = {A 4-bit 5 GS/s flash {A/D} converter in 0.18{\(\mathrm{\mu}\)}m {CMOS}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6138--6141},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466041},
  doi          = {10.1109/ISCAS.2005.1466041},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheikhaeiMI05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenC05,
  author       = {Wei{-}Chih Shen and
                  Ruey{-}Feng Chang},
  title        = {A nearest neighbor graph based watershed algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6300--6303},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466081},
  doi          = {10.1109/ISCAS.2005.1466081},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenSR05,
  author       = {Cheng Shen and
                  Zhiguo Shi and
                  Lixin Ran},
  title        = {Synchronizing chaotic Colpitts circuits adaptively with parameter
                  mismatches and channel distortions},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6042--6045},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466017},
  doi          = {10.1109/ISCAS.2005.1466017},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenSR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShimM05,
  author       = {Byonghyo Shim and
                  Hyung G. Myung},
  title        = {A novel metric representation for low-complexity log-MAP decoder},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5830--5833},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465964},
  doi          = {10.1109/ISCAS.2005.1465964},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShimM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SinghISF05,
  author       = {Virendra Singh and
                  Michiko Inoue and
                  Kewal K. Saluja and
                  Hideo Fujiwara},
  title        = {Instruction-based delay fault self-testing of pipelined processor
                  cores},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5686--5689},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465928},
  doi          = {10.1109/ISCAS.2005.1465928},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SinghISF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SinghalCM05,
  author       = {Rohit Singhal and
                  Gwan S. Choi and
                  Rabi N. Mahapatra},
  title        = {Quantized {LDPC} decoder design for binary symmetric channels},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5782--5785},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465952},
  doi          = {10.1109/ISCAS.2005.1465952},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SinghalCM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SnoeijTH05,
  author       = {Martijn F. Snoeij and
                  Albert J. P. Theuwissen and
                  Johan H. Huijsing},
  title        = {A 1.8 {V} 3.2{\(\mathrm{\mu}\)}W comparator for use in a {CMOS} imager
                  column-level single-slope {ADC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6162--6165},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466047},
  doi          = {10.1109/ISCAS.2005.1466047},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SnoeijTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SouzaCT05,
  author       = {Ad{\~{a}}o Ant{\^{o}}nio de Souza Jr. and
                  Luigi Carro and
                  Jawad Tousaad},
  title        = {Adaptive processing applied to the design of highly digital analog
                  interfaces},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5597--5600},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465906},
  doi          = {10.1109/ISCAS.2005.1465906},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SouzaCT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SundaresanHPA05,
  author       = {Krishnakumar Sundaresan and
                  Paul S. Ho and
                  Siavash Pourkamali and
                  Farrokh Ayazi},
  title        = {A two-chip, 4-MHz, microelectromechanical reference oscillator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5461--5464},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465872},
  doi          = {10.1109/ISCAS.2005.1465872},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SundaresanHPA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SungCL05,
  author       = {Guo{-}Ming Sung and
                  Kuo{-}Hsuan Chang and
                  Wen{-}Sheng Lin},
  title        = {A 12-B 10-msamples/s {CMOS} switched-current delta-sigma modulator},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5573--5576},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465900},
  doi          = {10.1109/ISCAS.2005.1465900},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SungCL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Taherzadeh-SaniAAA05,
  author       = {Mohammad Taherzadeh{-}Sani and
                  Ali Abbasian and
                  Behnam Amelifard and
                  Ali Afzali{-}Kusha},
  title        = {Modeling of {MOS} transistors based on genetic algorithm and simulated
                  annealing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6218--6221},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466061},
  doi          = {10.1109/ISCAS.2005.1466061},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Taherzadeh-SaniAAA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakezawaSWH05,
  author       = {Megumi Takezawa and
                  Hirofumi Sanada and
                  Kazuhisa Watanabe and
                  Miki Haseyama},
  title        = {Quality improvement technique for {JPEG} images with fractal image
                  coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6320--6323},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466086},
  doi          = {10.1109/ISCAS.2005.1466086},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TakezawaSWH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanHLLC05,
  author       = {Yanzhuo Tan and
                  Yinhe Han and
                  Xiaowei Li and
                  Feiyin Lu and
                  Yuchuan Chen},
  title        = {Validation analysis and test flow optimization of {VLSI} chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5666--5669},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465923},
  doi          = {10.1109/ISCAS.2005.1465923},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanHLLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanakaGS05,
  author       = {Shigeto Tanaka and
                  Yuji Gohda and
                  Yasuhiro Sugimoto},
  title        = {The realization of a mismatch-free and 1.5-bit over-sampling pipelined
                  {ADC}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6194--6197},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466055},
  doi          = {10.1109/ISCAS.2005.1466055},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanakaGS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangLWC05,
  author       = {Wai{-}Chung Tang and
                  Wing{-}Hang Lo and
                  Yu{-}Liang Wu and
                  Shih{-}Chieh Chang},
  title        = {{FPGA} technology mapping optimization by rewiring algorithms},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5653--5656},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465920},
  doi          = {10.1109/ISCAS.2005.1465920},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangLWC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanjiK05,
  author       = {Yuichi Tanji and
                  Hidemasa Kubota},
  title        = {Passive approximation of tabulated frequency-data by Fourier expansion
                  method},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5762--5765},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465947},
  doi          = {10.1109/ISCAS.2005.1465947},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TanjiK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Tarim05,
  author       = {Tuna B. Tarim},
  title        = {Mixed signal and SoC design flow requirements},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5974--5977},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466000},
  doi          = {10.1109/ISCAS.2005.1466000},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Tarim05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Tatschl-UnterbergerCR05,
  author       = {Eva Tatschl{-}Unterberger and
                  Sasan Cyrusian and
                  Michael Ruegg},
  title        = {A 2.5GHz phase-switching {PLL} using a supply controlled 2-delay-stage
                  10GHz ring oscillator for improved jitter/mismatch},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5453--5456},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465870},
  doi          = {10.1109/ISCAS.2005.1465870},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Tatschl-UnterbergerCR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Theis05,
  author       = {Fabian J. Theis},
  title        = {Blind signal separation into groups of dependent signals using joint
                  block diagonalization},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5878--5881},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465976},
  doi          = {10.1109/ISCAS.2005.1465976},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Theis05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Therrien05a,
  author       = {Charles W. Therrien},
  title        = {Defining correlation functions and power spectra for multirate random
                  processes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6010--6013},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466009},
  doi          = {10.1109/ISCAS.2005.1466009},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Therrien05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThokaG05,
  author       = {Sreenath Thoka and
                  Randall L. Geiger},
  title        = {Fast-switching adaptive bandwidth frequency synthesizer using a loop
                  filter with switched zero-resistor array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5373--5376},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465850},
  doi          = {10.1109/ISCAS.2005.1465850},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ThokaG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TianHG05,
  author       = {Dong Tian and
                  Miska M. Hannuksela and
                  Moncef Gabbouj},
  title        = {Sub-sequence video coding for improved temporal scalability},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6074--6077},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466025},
  doi          = {10.1109/ISCAS.2005.1466025},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TianHG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TomassoniSP05,
  author       = {Massimo Tomassoni and
                  Stefano Squartini and
                  Francesco Piazza},
  title        = {An alternative natural gradient approach for multichannel blind deconvolution},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5742--5745},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465942},
  doi          = {10.1109/ISCAS.2005.1465942},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TomassoniSP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsengCW05,
  author       = {Wei{-}Hsiang Tseng and
                  Ching{-}Chi Chang and
                  Chorng{-}Kuang Wang},
  title        = {Digital {VLSI} {OFDM} transceiver architecture for wireless SoC design},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5794--5797},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465955},
  doi          = {10.1109/ISCAS.2005.1465955},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsengCW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsengL05,
  author       = {Chien{-}Hsun Tseng and
                  Stuart Lawson},
  title        = {Modelling of high-order mechanical plate vibration systems by multidimensional
                  wave digital filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6002--6005},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466007},
  doi          = {10.1109/ISCAS.2005.1466007},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsengL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsujiUKA05,
  author       = {Shigeki Tsuji and
                  Tetsushi Ueta and
                  Hiroshi Kawakami and
                  Kazuyuki Aihara},
  title        = {Bifurcations in modified {BVP} neurons connected by inhibitory and
                  electrical coupling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6058--6061},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466021},
  doi          = {10.1109/ISCAS.2005.1466021},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsujiUKA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UrangaBCTTD05,
  author       = {Arantxa Uranga and
                  N{\'{u}}ria Barniol and
                  Humberto Campanella and
                  Jaume Esteve and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Zachary Davis},
  title        = {A read-out strategy and circuit design for high frequency {MEMS} resonators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5361--5364},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465847},
  doi          = {10.1109/ISCAS.2005.1465847},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UrangaBCTTD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UzunA05,
  author       = {Isa Servan Uzun and
                  Abbes Amira},
  title        = {Design and {FPGA} implementation of finite Ridgelet transform [image
                  processing applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5826--5829},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465963},
  doi          = {10.1109/ISCAS.2005.1465963},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UzunA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ViaraniMG05,
  author       = {Nicola Viarani and
                  Nicola Massari and
                  Massimo Gottardi},
  title        = {A new switched capacitor circuit for parallel-pixel image processing
                  [vision sensor integrated signal processing]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5902--5905},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465982},
  doi          = {10.1109/ISCAS.2005.1465982},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ViaraniMG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VinodL05b,
  author       = {A. Prasad Vinod and
                  Edmund Ming{-}Kit Lai},
  title        = {Optimizing vertical common subexpression elimination using coefficient
                  partitioning for designing low complexity software radio channelizers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5429--5432},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465864},
  doi          = {10.1109/ISCAS.2005.1465864},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VinodL05b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VirtanenPP05,
  author       = {Kati Virtanen and
                  N. Pankaala and
                  Ari Paasio},
  title        = {Compensation of errors generated by an analog 2D {DCT}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6272--6275},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466074},
  doi          = {10.1109/ISCAS.2005.1466074},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VirtanenPP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wakabayashi05,
  author       = {Kazutoshi Wakabayashi},
  title        = {System {LSI} design with C-based behavioral synthesis and verification},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5930--5933},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465989},
  doi          = {10.1109/ISCAS.2005.1465989},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wakabayashi05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WanS05,
  author       = {Yuanzhong Wan and
                  Maitham Shams},
  title        = {Delay modeling of {CMOS/CPL} logic circuits},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5613--5616},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465910},
  doi          = {10.1109/ISCAS.2005.1465910},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WanS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangBH05,
  author       = {Yunfeng Wang and
                  Jinian Bian and
                  Xianlong Hong},
  title        = {Interconnect delay optimization via high level re-synthesis after
                  floorplanning},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5641--5644},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465917},
  doi          = {10.1109/ISCAS.2005.1465917},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangBH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangCHLH05,
  author       = {Chin{-}Hui Wang and
                  Yung{-}Ching Chen and
                  Tsai{-}Ming Hsieh and
                  Chih{-}Hung Lee and
                  Hsin{-}Hsiung Huang},
  title        = {A new congestion and crosstalk aware router},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6234--6237},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466065},
  doi          = {10.1109/ISCAS.2005.1466065},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangCHLH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangJ05,
  author       = {Zhongfeng Wang and
                  Qingwei Jia},
  title        = {Low complexity, high speed decoder architecture for quasi-cyclic {LDPC}
                  codes},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5786--5789},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465953},
  doi          = {10.1109/ISCAS.2005.1465953},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWH05,
  author       = {Jhing{-}Fa Wang and
                  Chien{-}Shun Wang and
                  Han{-}Jen Hsu},
  title        = {A novel color interpolation algorithm by pre-estimating minimum square
                  error},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6288--6291},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466078},
  doi          = {10.1109/ISCAS.2005.1466078},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZ05,
  author       = {Bao{-}Yun Wang and
                  Wei Xing Zheng},
  title        = {Chaotic signal separation from a linear mixture},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5698--5701},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465931},
  doi          = {10.1109/ISCAS.2005.1465931},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WatanabeA05,
  author       = {Takayuki Watanabe and
                  Hideki Asai},
  title        = {Modeling of power distribution networks with signal lines for {SPICE}
                  simulators},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5774--5777},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465950},
  doi          = {10.1109/ISCAS.2005.1465950},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WatanabeA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WatanabeNK05,
  author       = {Osamu Watanabe and
                  Akiko Nakazaki and
                  Hitoshi Kiya},
  title        = {A scalable encryption method allowing backward compatibility with
                  {JPEG2000} images},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6324--6327},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466087},
  doi          = {10.1109/ISCAS.2005.1466087},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WatanabeNK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WongAC05a,
  author       = {Hoi{-}Ming Wong and
                  Oscar C. Au and
                  Andy Chang},
  title        = {Fast sub-pixel inter-prediction - based on texture direction analysis
                  {(FSIP-BTDA)} [video coding applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5477--5480},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465876},
  doi          = {10.1109/ISCAS.2005.1465876},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WongAC05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WongCA05,
  author       = {Yanyi Liu Wong and
                  Marc H. Cohen and
                  Pamela Abshire},
  title        = {A 1.2 GHz adaptive floating gate comparator with 13-bit resolution},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6146--6149},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466043},
  doi          = {10.1109/ISCAS.2005.1466043},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WongCA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WongsawatRO05,
  author       = {Yodchanan Wongsawat and
                  K. R. Rao and
                  Soontorn Oraintara},
  title        = {Multichannel SVD-based image de-noising},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5990--5993},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466004},
  doi          = {10.1109/ISCAS.2005.1466004},
  timestamp    = {Mon, 02 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WongsawatRO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu05a,
  author       = {Chai Wah Wu},
  title        = {Privacy preserving data mining with unidirectional interaction},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5521--5524},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465887},
  doi          = {10.1109/ISCAS.2005.1465887},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu05b,
  author       = {Chai Wah Wu},
  title        = {Synchronization in an array of chaotic systems coupled via a directed
                  graph},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6046--6049},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466018},
  doi          = {10.1109/ISCAS.2005.1466018},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu05b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuW05,
  author       = {Qiong Wu and
                  Albert Z. Wang},
  title        = {A 12 bits/200 MHz resolution/sampling/power-optimized {ADC} in 0.25{\(\mathrm{\mu}\)}m
                  SiGe BiCMOS},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6174--6177},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466050},
  doi          = {10.1109/ISCAS.2005.1466050},
  timestamp    = {Fri, 14 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuWWW05,
  author       = {Chia{-}Tsun Wu and
                  Wei Wang and
                  I{-}Chyn Wey and
                  An{-}Yeu Wu},
  title        = {A scalable {DCO} design for portable {ADPLL} designs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5449--5452},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465869},
  doi          = {10.1109/ISCAS.2005.1465869},
  timestamp    = {Sat, 25 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuWWW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieK05,
  author       = {Dahua Xie and
                  C.{-}C. Jay Kuo},
  title        = {Multimedia data encryption via random rotation in partitioned bit
                  streams},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5533--5536},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465890},
  doi          = {10.1109/ISCAS.2005.1465890},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XingJ05,
  author       = {Xianwu Xing and
                  Ching{-}Chuen Jong},
  title        = {Using symbolic computer algebra for subexpression factorization and
                  subexpression decomposition in high level synthesis},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5645--5648},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465918},
  doi          = {10.1109/ISCAS.2005.1465918},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XingJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YalcinSV05,
  author       = {M{\"{u}}stak E. Yal{\c{c}}in and
                  Johan A. K. Suykens and
                  Joos Vandewalle},
  title        = {Spatiotemporal pattern formation in the ACE16k {CNN} chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5814--5817},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465960},
  doi          = {10.1109/ISCAS.2005.1465960},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YalcinSV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YamashitaOLSY05,
  author       = {Noritaka Yamashita and
                  Munenori Ogura and
                  Jianming Lu and
                  Hiroo Sekiya and
                  Takashi Yahagi},
  title        = {A random-valued impulse noise detector using level detection},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6292--6295},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466079},
  doi          = {10.1109/ISCAS.2005.1466079},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YamashitaOLSY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanM05,
  author       = {Tan Yan and
                  Haruna Murata},
  title        = {A robust and correct computation for the curvilinear routing problem},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5678--5681},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465926},
  doi          = {10.1109/ISCAS.2005.1465926},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanSL05,
  author       = {Zhiyuan Yan and
                  Dilip V. Sarwate and
                  Zhongzhi Liu},
  title        = {Area-efficient systolic architectures for inversions over GF(2\({}^{\mbox{m}}\))},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5838--5841},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465966},
  doi          = {10.1109/ISCAS.2005.1465966},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanSL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangCL05,
  author       = {Zhi Yang and
                  Hua Cai and
                  Jiang Li},
  title        = {A framework for fine-granular computational-complexity scalable motion
                  estimation [real-time video coding applications]},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5473--5476},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465875},
  doi          = {10.1109/ISCAS.2005.1465875},
  timestamp    = {Tue, 15 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangCL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangKK05,
  author       = {Ge Yang and
                  Yong Sin Kim and
                  Sung{-}Mo Kang},
  title        = {Current mode multi-level simultaneous bidirectional {I/O} scheme for
                  chip-to-chip communications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5493--5496},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465880},
  doi          = {10.1109/ISCAS.2005.1465880},
  timestamp    = {Sat, 08 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangKK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangWLCNL05,
  author       = {Wenxian Yang and
                  Feng Wu and
                  Yan Lu and
                  Jianfei Cai and
                  King Ngi Ngan and
                  Shipeng Li},
  title        = {Scalable multiview video coding using wavelet},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6078--6081},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466026},
  doi          = {10.1109/ISCAS.2005.1466026},
  timestamp    = {Fri, 20 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YangWLCNL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YauBN05,
  author       = {Andy C. Yau and
                  Nirmal K. Bose and
                  Michael Kwok{-}Po Ng},
  title        = {Super-resolution image restoration from blurred observations},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6296--6299},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466080},
  doi          = {10.1109/ISCAS.2005.1466080},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YauBN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YavariS05,
  author       = {Mohammad Yavari and
                  Omid Shoaei},
  title        = {High-order single-loop double-sampling sigma-delta modulator topologies
                  for broadband applications},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5593--5596},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465905},
  doi          = {10.1109/ISCAS.2005.1465905},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YavariS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeA05,
  author       = {Yun Ye and
                  Saman S. Abeysekera},
  title        = {Evaluating a blind channel estimation technique that uses a hardware
                  efficient equalizer},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5718--5721},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465936},
  doi          = {10.1109/ISCAS.2005.1465936},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YeA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YenCW05,
  author       = {Jui{-}Cheng Yen and
                  Hun{-}Chen Chen and
                  Shu{-}Meng Wu},
  title        = {Design and implementation of a new cryptographic system for multimedia
                  transmission},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6126--6129},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466038},
  doi          = {10.1109/ISCAS.2005.1466038},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YenCW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YiL05,
  author       = {Xiaoquan Yi and
                  Nam Ling},
  title        = {Rapid block-matching motion estimation using modified diamond search
                  algorithm},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5489--5492},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465879},
  doi          = {10.1109/ISCAS.2005.1465879},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YiL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YoshimuraSE05,
  author       = {Takuya Yoshimura and
                  Kuniyasu Shimizu and
                  Tetsuro Endo},
  title        = {Bifurcation and transitional dynamics in asymmetrical two-coupled
                  oscillators with hard type nonlinearity},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6054--6057},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466020},
  doi          = {10.1109/ISCAS.2005.1466020},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YoshimuraSE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuSHL05,
  author       = {Jui{-}Yuan Yu and
                  Ming{-}Fu Sun and
                  Terng{-}Yin Hsu and
                  Chen{-}Yi Lee},
  title        = {A novel technique for {I/Q} imbalance and {CFO} compensation in {OFDM}
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6030--6033},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466014},
  doi          = {10.1109/ISCAS.2005.1466014},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuSHL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuT05,
  author       = {Ya{-}Hui Yu and
                  Chun{-}Jen Tsai},
  title        = {A model-based rate allocation mechanism for wavelet-based embedded
                  image and video coding},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6066--6069},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466023},
  doi          = {10.1109/ISCAS.2005.1466023},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanTK05,
  author       = {Shuai Yuan and
                  Akira Taguchi and
                  Masayuki Kawamata},
  title        = {Arbitrary scale image enlargement with the prediction of high frequency
                  components},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6264--6267},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466072},
  doi          = {10.1109/ISCAS.2005.1466072},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanTK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZarandyFSTRR05,
  author       = {{\'{A}}kos Zar{\'{a}}ndy and
                  P{\'{e}}ter F{\"{o}}ldesy and
                  P{\'{e}}ter Szolgay and
                  Szabolcs T{\~{o}}k{\'{e}}s and
                  Csaba Rekeczky and
                  Tam{\'{a}}s Roska},
  title        = {Various implementations of topographic, sensory, cellular wave computers},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5802--5805},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465957},
  doi          = {10.1109/ISCAS.2005.1465957},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZarandyFSTRR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengYDMZ05,
  author       = {Yanxing Zeng and
                  Qinye Yin and
                  Le Ding and
                  Yinkuo Meng and
                  Ying Zhang},
  title        = {DOA-matrix decoder for {STBC-MC-CDMA} systems over frequency-selective
                  channel},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5710--5713},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465934},
  doi          = {10.1109/ISCAS.2005.1465934},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengYDMZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangKTD05,
  author       = {Hui Zhang and
                  Preethi Karthik and
                  Hua Tang and
                  Alex Doboli},
  title        = {An explorative tile-based technique for automated constraint transformation,
                  placement and routing of high frequency analog filters},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5629--5632},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465914},
  doi          = {10.1109/ISCAS.2005.1465914},
  timestamp    = {Mon, 27 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangKTD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangYDS05,
  author       = {Yiwen Zhang and
                  Qinye Yin and
                  Le Ding and
                  Ronghai Sun},
  title        = {Blind low rate multiuser detection for multirate multicarrier {CDMA}
                  systems using antenna array},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5706--5709},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465933},
  doi          = {10.1109/ISCAS.2005.1465933},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangYDS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZLY05,
  author       = {Nuo Zhang and
                  Xiaowei Zhang and
                  Jianming Lu and
                  Takashi Yahagi},
  title        = {An approach for nonlinear blind source separation of signals with
                  noise using neural networks and higher-order cumulants},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5726--5729},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465938},
  doi          = {10.1109/ISCAS.2005.1465938},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZLY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoEA05a,
  author       = {Jichuan Zhao and
                  Ahmet T. Erdogan and
                  Tughrul Arslan},
  title        = {A novel application specific network protocol for wireless sensor
                  networks},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5894--5897},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465980},
  doi          = {10.1109/ISCAS.2005.1465980},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoEA05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengS05,
  author       = {You Zheng and
                  Carlos E. Saavedra},
  title        = {A {BPSK} demodulator circuit using an anti-parallel synchronization
                  loop},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5433--5436},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465865},
  doi          = {10.1109/ISCAS.2005.1465865},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhiweiPM05,
  author       = {Zhiwei Lin and
                  A. Benjamin Premkumar and
                  A. S. Madhukumar},
  title        = {Tap selection based {MMSE} equalization for high data rate {UWB} communication
                  systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5421--5424},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465862},
  doi          = {10.1109/ISCAS.2005.1465862},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhiweiPM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Zhong-haiY05,
  author       = {Zhonghai Wang and
                  Yizheng Ye},
  title        = {The improvement for transaction level verification functional coverage},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5850--5853},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465969},
  doi          = {10.1109/ISCAS.2005.1465969},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Zhong-haiY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuLY05,
  author       = {Bin B. Zhu and
                  Shipeng Li and
                  Yang Yang},
  title        = {{JPEG} 2000 encryption enabling fine granularity scalability without
                  decryption},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6304--6307},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466082},
  doi          = {10.1109/ISCAS.2005.1466082},
  timestamp    = {Tue, 16 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuLY05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuZZW05,
  author       = {Yue{-}xin Zhu and
                  Nan{-}Ning Zheng and
                  Jing Zhang and
                  Zongze Wu},
  title        = {Approximate treatment for calculation of the rate-distortion slope
                  in {EBCOT}},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {6312--6315},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1466084},
  doi          = {10.1109/ISCAS.2005.1466084},
  timestamp    = {Wed, 07 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuZZW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ul-HasanS05,
  author       = {Masood ul{-}Hasan and
                  Yichuang Sun},
  title        = {A 2 {V} 0.25{\(\mathrm{\mu}\)}m {CMOS} 250 MHz fully-differential
                  seventh-order equiripple linear phase {LF} filter},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26
                  May 2005, Kobe, Japan},
  pages        = {5958--5961},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCAS.2005.1465996},
  doi          = {10.1109/ISCAS.2005.1465996},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ul-HasanS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}