Search dblp for Publications

export results for "toc:db/conf/irps/irps2021.bht:"

 download as .bib file

@inproceedings{DBLP:conf/irps/0005KCSSPRL21,
  author       = {Hai Jiang and
                  Jinju Kim and
                  Kihyun Choi and
                  Hyewon Shim and
                  Hyunchul Sagong and
                  Junekyun Park and
                  Hwasung Rhee and
                  Euncheol Lee},
  title        = {Time Dependent Variability in Advanced FinFET Technology for End-of-Lifetime
                  Reliability Prediction},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405129},
  doi          = {10.1109/IRPS46558.2021.9405129},
  timestamp    = {Wed, 05 May 2021 11:53:21 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/0005KCSSPRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/AcurioTJBD21,
  author       = {Eliana Acurio and
                  Lionel Trojman and
                  Brice De Jaeger and
                  Benoit Bakeroot and
                  Stefaan Decoutere},
  title        = {ON-state reliability of GaN-on-Si Schottky Barrier Diodes: Si3N4 vs.
                  Al2O3/SiO2 {GET} dielectric},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405163},
  doi          = {10.1109/IRPS46558.2021.9405163},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/AcurioTJBD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/AhnNCYC21,
  author       = {Jae{-}Gyung Ahn and
                  Rhesa Nathanael and
                  I{-}Ru Chen and
                  Ping{-}Chin Yeh and
                  Jonathan Chang},
  title        = {Product Lifetime Estimation in 7nm with Large data of Failure Rate
                  and Si-Based Thermal Coupling Model},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405193},
  doi          = {10.1109/IRPS46558.2021.9405193},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/AhnNCYC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ArunachalamBRRK21,
  author       = {Balraj Arunachalam and
                  Jean{-}Emmanuel Broquin and
                  Quentin Rafhay and
                  David Roy and
                  Anne Kaminski},
  title        = {Simulation Study of the Origin of Ge High Speed Photodetector Degradation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405211},
  doi          = {10.1109/IRPS46558.2021.9405211},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ArunachalamBRRK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/BeekRKKOCYCCSJC21,
  author       = {Simon Van Beek and
                  Siddharth Rao and
                  Shreya Kundu and
                  Woojin Kim and
                  Barry J. O'Sullivan and
                  Stefan Cosemans and
                  Farrukh Yasin and
                  Robert Carpenter and
                  Sebastien Couet and
                  Shamin H. Sharifi and
                  Nico Jossart and
                  Davide Crotti and
                  Gouri Sankar Kar},
  title        = {Edge-induced reliability {\&} performance degradation in {STT-MRAM:}
                  an etch engineering solution},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405209},
  doi          = {10.1109/IRPS46558.2021.9405209},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BeekRKKOCYCCSJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/BerensA21,
  author       = {Judith Berens and
                  Thomas Aichinger},
  title        = {A straightforward electrical method to determine screening capability
                  of {GOX} extrinsics in arbitrary, commercially available SiC MOSFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405152},
  doi          = {10.1109/IRPS46558.2021.9405152},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BerensA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/BruceSBCKNMPLBG21,
  author       = {Robert L. Bruce and
                  Syed Ghazi Sarwat and
                  Irem Boybat and
                  Cheng{-}Wei Cheng and
                  Wanki Kim and
                  S. R. Nandakumar and
                  Charles Mackin and
                  Timothy Philip and
                  Zuoguang Liu and
                  Kevin Brew and
                  Nanbo Gong and
                  Injo Ok and
                  Praneet Adusumilli and
                  Katie Spoon and
                  Stefano Ambrogio and
                  Benedikt Kersting and
                  Thomas Bohnstingl and
                  Manuel Le Gallo and
                  Andrew Simon and
                  Ning Li and
                  Iqbal Saraf and
                  Jin{-}Ping Han and
                  Lynne M. Gignac and
                  John M. Papalia and
                  Tenko Yamashita and
                  Nicole Saulnier and
                  Geoffrey W. Burr and
                  Hsinyu Tsai and
                  Abu Sebastian and
                  Vijay Narayanan and
                  Matthew BrightSky},
  title        = {Mushroom-Type phase change memory with projection liner: An array-level
                  demonstration of conductance drift and noise mitigation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405191},
  doi          = {10.1109/IRPS46558.2021.9405191},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BruceSBCKNMPLBG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/BuddhanoySR21,
  author       = {Matchima Buddhanoy and
                  Sadman Sakib and
                  Biswajit Ray},
  title        = {Runtime Variability Monitor for Data Retention Characteristics of
                  Commercial {NAND} Flash Memory},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405143},
  doi          = {10.1109/IRPS46558.2021.9405143},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/BuddhanoySR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/CachoAF21,
  author       = {Florian Cacho and
                  Lorena Anghel and
                  Xavier Federspiel},
  title        = {Monitoring Setup and Hold Timing Limits},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405175},
  doi          = {10.1109/IRPS46558.2021.9405175},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/CachoAF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChangKHJMSZHPCA21,
  author       = {Yao{-}Feng Chang and
                  Ilya Karpov and
                  Reed Hopkins and
                  David Janosky and
                  Jacob Medeiros and
                  Benjamin Sherrill and
                  Jiahan Zhang and
                  Yifu Huang and
                  Tanmoy Pramanik and
                  Albert B. Chen and
                  Tony Acosta and
                  Abdullah Guler and
                  James A. O'Donnell and
                  Pedro A. Quintero and
                  Nathan Strutt and
                  Oleg Golonzka and
                  Chris Connor and
                  Jack C. Lee and
                  Jeffrey Hicks},
  title        = {Embedded emerging memory technologies for neuromorphic computing:
                  temperature instability and reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405120},
  doi          = {10.1109/IRPS46558.2021.9405120},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ChangKHJMSZHPCA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChangZYJLSYW21,
  author       = {Hao Chang and
                  Longda Zhou and
                  Hong Yang and
                  Zhigang Ji and
                  Qianqian Liu and
                  Eddy Simoen and
                  Huaxiang Yin and
                  Wenwu Wang},
  title        = {Comparative Study on the Energy Distribution of Defects under {HCD}
                  and {NBTI} in Short Channel p-FinFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405162},
  doi          = {10.1109/IRPS46558.2021.9405162},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/ChangZYJLSYW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChatterjeeOMXT21,
  author       = {Neel Chatterjee and
                  John Ortega and
                  Inanc Meric and
                  Peng Xiao and
                  Ilan Tsameret},
  title        = {Machine Learning On Transistor Aging Data: Test Time Reduction and
                  Modeling for Novel Devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405188},
  doi          = {10.1109/IRPS46558.2021.9405188},
  timestamp    = {Fri, 01 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/ChatterjeeOMXT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChenKFSOKO21,
  author       = {Zhwen Chen and
                  Young{-}Suk Kim and
                  Tadashi Fukuda and
                  Koji Sakui and
                  Takayuki Ohba and
                  Tatsuji Kobayashi and
                  Takashi Obara},
  title        = {Reliability of Wafer-Level Ultra-Thinning down to 3 {\(\mathrm{\mu}\)}m
                  using 20 nm-Node DRAMs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405125},
  doi          = {10.1109/IRPS46558.2021.9405125},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ChenKFSOKO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChenLNBLK21,
  author       = {Chao{-}Yang Chen and
                  Jian{-}Hsing Lee and
                  Karuna Nidhi and
                  Tzer{-}Yaa Bin and
                  Geeng{-}Lih Lin and
                  Ming{-}Dou Ker},
  title        = {Study on the Guard Rings for Latchup Prevention between {HV-PMOS}
                  and {LV-PMOS} in a 0.15-{\(\mathrm{\mu}\)}m {BCD} Process},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405113},
  doi          = {10.1109/IRPS46558.2021.9405113},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ChenLNBLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChiocchettaCSSR21,
  author       = {Francesca Chiocchetta and
                  Claudia Calascione and
                  Carlo De Santi and
                  Chandan Sharma and
                  Fabiana Rampazzo and
                  Xun Zheng and
                  Brian Romanczyk and
                  Matthew Guidry and
                  Haoran Li and
                  Stacia Keller and
                  Umesh K. Mishra and
                  Gaudenzio Meneghesso and
                  Matteo Meneghini and
                  Enrico Zanoni},
  title        = {Role of the AlGaN Cap Layer on the Trapping Behaviour of N-Polar GaN
                  MISHEMTs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405111},
  doi          = {10.1109/IRPS46558.2021.9405111},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ChiocchettaCSSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ChoudhurySTZSWM21,
  author       = {Nilotpal Choudhury and
                  Tarun Samadder and
                  Ravi Tiwari and
                  Huimei Zhou and
                  Richard G. Southwick and
                  Miaomiao Wang and
                  Souvik Mahapatra},
  title        = {Analysis of Sheet Dimension (W, {L)} Dependence of {NBTI} in {GAA-SNS}
                  FETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405137},
  doi          = {10.1109/IRPS46558.2021.9405137},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ChoudhurySTZSWM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/CiappaP21,
  author       = {Mauro Ciappa and
                  Marco Pocaterra},
  title        = {Assessing the pre-breakdown carriers' multiplication in SiC power
                  MOSFETs by soft gamma radiation and its correlation to the Terrestrial
                  Cosmic Rays failure rate data as measured by neutron irradiation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405205},
  doi          = {10.1109/IRPS46558.2021.9405205},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/CiappaP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/CioniBMVPC21,
  author       = {Marcello Cioni and
                  Alessandro Bertacchini and
                  Alessandro Mucci and
                  Giovanni Verzellesi and
                  Paolo Pavan and
                  Alessandro Chini},
  title        = {Investigation on {VTH} and {RON} Slow/Fast Drifts in SiC MOSFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405231},
  doi          = {10.1109/IRPS46558.2021.9405231},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/CioniBMVPC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Cressler21,
  author       = {John D. Cressler},
  title        = {New Developments in SiGe {HBT} Reliability for {RF} Through mmW Circuits},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405171},
  doi          = {10.1109/IRPS46558.2021.9405171},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Cressler21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/DammannBKKBKGS21,
  author       = {Maximilian Dammann and
                  Martina Baeumler and
                  Tobias Kemmer and
                  Helmer Konstanzer and
                  Peter Br{\"{u}}ckner and
                  Sebastian Krause and
                  Andreas Graff and
                  Mich{\'{e}}l Simon{-}Najasek},
  title        = {Reliability and Failure Analysis of 100 nm AlGaN/GaN HEMTs under {DC}
                  and {RF} Stress},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405227},
  doi          = {10.1109/IRPS46558.2021.9405227},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/DammannBKKBKGS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/DegraeveRKFCGK21,
  author       = {Robin Degraeve and
                  Taras Ravsher and
                  Shoichi Kabuyanagi and
                  Andrea Fantini and
                  Sergiu Clima and
                  Daniele Garbin and
                  Gouri Sankar Kar},
  title        = {Modeling and spectroscopy of ovonic threshold switching defects},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405114},
  doi          = {10.1109/IRPS46558.2021.9405114},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/DegraeveRKFCGK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/DharPHS21,
  author       = {Tonmoy Dhar and
                  Jitesh Poojary and
                  Ramesh Harjani and
                  Sachin S. Sapatnekar},
  title        = {Aging of Current DACs and its Impact in Equalizer Circuits},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405160},
  doi          = {10.1109/IRPS46558.2021.9405160},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/DharPHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/DuanSOESB21,
  author       = {Ning Duan and
                  Vignesh Subramanian and
                  Edgar Olthof and
                  Paul Eggenkamp and
                  Michiel van Soestbergen and
                  Richard Braspenning},
  title        = {Moisture diffusion rate in an ultra-low-k dielectric and its effect
                  on the dielectric reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405157},
  doi          = {10.1109/IRPS46558.2021.9405157},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/DuanSOESB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FabrisBPZJYDMMZ21,
  author       = {Elena Fabris and
                  Matteo Borga and
                  Niels Posthuma and
                  Ming Zhao and
                  Brice De Jaeger and
                  Shuzhen You and
                  Stefaan Decoutere and
                  Matteo Meneghini and
                  Gaudenzio Meneghesso and
                  Enrico Zanoni},
  title        = {Vertical stack reliability of GaN-on-Si buffers for low-voltage applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405097},
  doi          = {10.1109/IRPS46558.2021.9405097},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FabrisBPZJYDMMZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FarmerWHVBGEDSR21,
  author       = {James Farmer and
                  William Whitehead and
                  Andrew Hall and
                  Dmitry Veksler and
                  Gennadi Bersuker and
                  David Z. Gao and
                  Al{-}Moatasem El{-}Sayed and
                  Thomas Durrant and
                  Alexander L. Shluger and
                  Thomas Rueckes and
                  Lee Cleveland and
                  Harry Luan and
                  Rahul Sen},
  title        = {Mitigating switching variability in carbon nanotube memristors},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405123},
  doi          = {10.1109/IRPS46558.2021.9405123},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FarmerWHVBGEDSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FederspielCMDC21,
  author       = {Xavier Federspiel and
                  Abdourahmane Camara and
                  Audrey Michard and
                  Cheikh Diouf and
                  Florian Cacho},
  title        = {{HCI} Temperature sense effect from 180nm to 28nm nodes},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405146},
  doi          = {10.1109/IRPS46558.2021.9405146},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FederspielCMDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FeeleyXBNWF21,
  author       = {Alexandra Feeley and
                  Yoni Xiong and
                  Bharat L. Bhuva and
                  Balaji Narasimham and
                  Shi{-}Ji Wen and
                  Rita Fung},
  title        = {Effects of Temperature and Supply Voltage on Soft Errors for 7-nm
                  Bulk FinFET Technology},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405124},
  doi          = {10.1109/IRPS46558.2021.9405124},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FeeleyXBNWF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FiorenzaAABCMRS21,
  author       = {Patrick Fiorenza and
                  Salvatore Adamo and
                  Mario Santo Alessandrino and
                  Cettina Bottari and
                  Beatrice Carbone and
                  Clarice Di Martino and
                  Alfio Russo and
                  Mario Saggio and
                  Carlo Venuto and
                  Elisa Vitanza and
                  Edoardo Zanetti and
                  Filippo Giannazzo and
                  Fabrizio Roccaforte},
  title        = {Correlation between MOSFETs breakdown and 4H-SiC epitaxial defects},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405148},
  doi          = {10.1109/IRPS46558.2021.9405148},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FiorenzaAABCMRS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FrutuosoLGBLGCC21,
  author       = {Tadeu Mota Frutuoso and
                  Jose Lugo{-}Alvarez and
                  Xavier Garros and
                  Laurent Brunet and
                  Joris Lacord and
                  Louis Gerrer and
                  Mika{\"{e}}l Cass{\'{e}} and
                  Edoardo Catapano and
                  Claire Fenouillet{-}B{\'{e}}ranger and
                  Fran{\c{c}}ois Andrieu and
                  Fred Gaillard and
                  Philippe Ferrari},
  title        = {Impact of spacer interface charges on performance and reliability
                  of low temperature transistors for 3D sequential integration},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405107},
  doi          = {10.1109/IRPS46558.2021.9405107},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FrutuosoLGBLGCC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/FujiiHYMKSOMM21,
  author       = {Shuntaro Fujii and
                  Shohei Hamada and
                  Tatsushi Yagi and
                  Isao Maru and
                  Shogo Katsuki and
                  Toshiro Sakamoto and
                  Atsushi Okamoto and
                  Soichi Morita and
                  Tsutomu Miyazaki},
  title        = {Impacts of Depth and Lateral Profiles of Fluorine Atoms in Gate Oxide
                  Films on Reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405217},
  doi          = {10.1109/IRPS46558.2021.9405217},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/FujiiHYMKSOMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Garba-SeybouFBC21,
  author       = {Tidjani Garba{-}Seybou and
                  Xavier Federspiel and
                  Alain Bravaix and
                  Florian Cacho},
  title        = {Analysis of the interactions of {HCD} under "On" and "Off" state modes
                  for 28nm {FDSOI} {AC} {RF} modelling},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405214},
  doi          = {10.1109/IRPS46558.2021.9405214},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Garba-SeybouFBC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/GerrerCGGFCRV21,
  author       = {Louis Gerrer and
                  Jacques Cluzel and
                  Fred Gaillard and
                  Xavier Garros and
                  Xavier Federspiel and
                  Florian Cacho and
                  David Roy and
                  E. Vincent},
  title        = {{BTI} Arbitrary Stress Patterns Characterization {\&} Machine-Learning
                  optimized {CET} Maps Simulations},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405145},
  doi          = {10.1109/IRPS46558.2021.9405145},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/GerrerCGGFCRV21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/GrasserOKFSW21,
  author       = {Tibor Grasser and
                  Barry J. O'Sullivan and
                  Ben Kaczer and
                  Jacopo Franco and
                  Bernhard Stampfer and
                  Michael Waltl},
  title        = {{CV} Stretch-Out Correction after Bias Temperature Stress: Work-Function
                  Dependence of Donor-/Acceptor-Like Traps, Fixed Charges, and Fast
                  States},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405184},
  doi          = {10.1109/IRPS46558.2021.9405184},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/GrasserOKFSW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/GuptaBSBPBAC21,
  author       = {Aniket Gupta and
                  Govind Bajpai and
                  Priyanshi Singhal and
                  Navjeet Bagga and
                  Om Prakash and
                  Shashank Banchhor and
                  Hussam Amrouch and
                  Nitanshu Chauhan},
  title        = {Traps Based Reliability Barrier on Performance and Revealing Early
                  Ageing in Negative Capacitance {FET}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405185},
  doi          = {10.1109/IRPS46558.2021.9405185},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/GuptaBSBPBAC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HanLSNJOHJLLSHJ21,
  author       = {Shinhee Han and
                  Junghyuk Lee and
                  Kiseok Suh and
                  Kyungtae Nam and
                  Daeeun Jeong and
                  Sechung Oh and
                  Sohee Hwang and
                  Yongsung Ji and
                  Kilho Lee and
                  Kangho Lee and
                  Yoonjong Song and
                  Yeongki Hong and
                  Gitae Jeong},
  title        = {Reliability of {STT-MRAM} for various embedded applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405094},
  doi          = {10.1109/IRPS46558.2021.9405094},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HanLSNJOHJLLSHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HanMK21,
  author       = {Jin{-}Woo Han and
                  M. Meyyappan and
                  Jungsik Kim},
  title        = {Single Event Hard Error due to Terrestrial Radiation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405177},
  doi          = {10.1109/IRPS46558.2021.9405177},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HanMK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HanXHYHLK21,
  author       = {Lixia Han and
                  Yachen Xiang and
                  Peng Huang and
                  Guihai Yu and
                  Runze Han and
                  Xiaoyan Liu and
                  Jinfeng Kang},
  title        = {Novel Weight Mapping Method for Reliable {NVM} based Neural Network},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405200},
  doi          = {10.1109/IRPS46558.2021.9405200},
  timestamp    = {Fri, 21 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/HanXHYHLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HaoSG21,
  author       = {Jifa Hao and
                  Yuhang Sun and
                  Amartya Ghosh},
  title        = {Charge pumping source-drain current for gate oxide interface trap
                  density in MOSFETs and {LDMOS}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405229},
  doi          = {10.1109/IRPS46558.2021.9405229},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HaoSG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HeSYSFYS21,
  author       = {Wangxin He and
                  Wonbo Shim and
                  Shihui Yin and
                  Xiaoyu Sun and
                  Deliang Fan and
                  Shimeng Yu and
                  Jae{-}sun Seo},
  title        = {Characterization and Mitigation of Relaxation Effects on Multi-level
                  {RRAM} based In-Memory Computing},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405228},
  doi          = {10.1109/IRPS46558.2021.9405228},
  timestamp    = {Wed, 26 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HeSYSFYS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HiblotRTDCSBDK21,
  author       = {Gaspard Hiblot and
                  Nouredine Rassoul and
                  Lieve Teugels and
                  Katia Devriendt and
                  Adrian Vaisman Chasin and
                  Michiel van Setten and
                  Attilio Belmonte and
                  Romain Delhougne and
                  Gouri Sankar Kar},
  title        = {Process-induced charging damage in {IGZO} nTFTs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405201},
  doi          = {10.1109/IRPS46558.2021.9405201},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HiblotRTDCSBDK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HoldenHCKPGDGA21,
  author       = {Konner E. K. Holden and
                  Gavin D. R. Hall and
                  Michael Cook and
                  Chris Kendrick and
                  Kaitlyn Pabst and
                  Bruce Greenwood and
                  Robin Daugherty and
                  Jeff P. Gambino and
                  Derryl D. J. Allman},
  title        = {Dielectric Relaxation, Aging and Recovery in High-K {MIM} Capacitors},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405212},
  doi          = {10.1109/IRPS46558.2021.9405212},
  timestamp    = {Thu, 20 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HoldenHCKPGDGA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HuangR21,
  author       = {Shudong Huang and
                  Elyse Rosenbaum},
  title        = {Compact Model of {ESD} Diode Suitable for Subnanosecond Switching
                  Transients},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405149},
  doi          = {10.1109/IRPS46558.2021.9405149},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HuangR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/HungCCCLH21,
  author       = {Shih{-}che Hung and
                  Shih{-}Chang Chen and
                  Pei{-}Shan Chien and
                  Yu{-}Sheng Cho and
                  Yung{-}Huei Lee and
                  Wei{-}Shuo Hung},
  title        = {Time-Efficient Characterization of Time-Dependent Gate Oxide Breakdwon
                  Using Tunable Ramp Voltage Stress {(TRVS)} Method for Automotive Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405144},
  doi          = {10.1109/IRPS46558.2021.9405144},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/HungCCCLH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Ishimaru21,
  author       = {Kazunari Ishimaru},
  title        = {Challenges of Flash Memory for Next Decade},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405182},
  doi          = {10.1109/IRPS46558.2021.9405182},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Ishimaru21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/JoseYCHSZZ21,
  author       = {Sumy Jose and
                  Chunshan Yin and
                  Yu Chen and
                  Cheong Min Hong and
                  Mehul D. Shroff and
                  Xiaoling Zhao and
                  Fan Zhang},
  title        = {An efficient methodology to evaluate {BEOL} and {MOL} {TDDB} in advanced
                  nodes},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405138},
  doi          = {10.1109/IRPS46558.2021.9405138},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/JoseYCHSZZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KaralkarGPHG21,
  author       = {Sagar Premnath Karalkar and
                  Vishal Ganesan and
                  Milova Paul and
                  Kyong Jin Hwang and
                  Robert Gauthier},
  title        = {Design Optimization of {MV-NMOS} to Improve Holding Voltage of a 28nm
                  {CMOS} Technology {ESD} Power Clamp},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405206},
  doi          = {10.1109/IRPS46558.2021.9405206},
  timestamp    = {Thu, 02 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/KaralkarGPHG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KariyaYUIKM21,
  author       = {Kento Kariya and
                  Atsushi Yumiba and
                  Masaya Ukita and
                  Toru Ikeda and
                  Masaaki Koganemaru and
                  Noriyuki Masago},
  title        = {Study of the microstructure and the mechanical properties of Pb-2.5Ag-2Sn
                  solder joint},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405219},
  doi          = {10.1109/IRPS46558.2021.9405219},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KariyaYUIKM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Kashyap21,
  author       = {Rajesh Kashyap},
  title        = {Silicon lifecycle management {(SLM)} with in-chip monitoring},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405187},
  doi          = {10.1109/IRPS46558.2021.9405187},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Kashyap21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KimNOLKLKSHL21,
  author       = {Seung{-}Mo Kim and
                  Thi Mi Hanh Nyugen and
                  Jungwon Oh and
                  Yongsu Lee and
                  Soo Cheol Kang and
                  Ho{-}In Lee and
                  Cihyun Kim and
                  Surajit Some and
                  Hyeon Jun Hwang and
                  Byoung Hun Lee},
  title        = {Drastic reliability improvement using {H2O2/UV} treatment of HfO2
                  for heterogeneous integration},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405126},
  doi          = {10.1109/IRPS46558.2021.9405126},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KimNOLKLKSHL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KimYKEKYLKP21,
  author       = {Gang{-}Jun Kim and
                  Moonjee Yoon and
                  SungHwan Kim and
                  Myeongkyu Eo and
                  Shinhyung Kim and
                  Taehun You and
                  Namhyun Lee and
                  Kijin Kim and
                  Sangwoo Pae},
  title        = {The Characterization of Degradation on various SiON pMOSFET transistors
                  under {AC/DC} {NBTI} stress},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405108},
  doi          = {10.1109/IRPS46558.2021.9405108},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KimYKEKYLKP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KimYS21,
  author       = {Dongyoung Kim and
                  Nick Yun and
                  Woongje Sung},
  title        = {Advancing Static Performance and Ruggedness of 600 {V} SiC MOSFETs:
                  Experimental Analysis and Simulation Study},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405109},
  doi          = {10.1109/IRPS46558.2021.9405109},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KimYS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KishidaSK21,
  author       = {Ryo Kishida and
                  Ikuo Suda and
                  Kazutoshi Kobayashi},
  title        = {Bias Temperature Instability Depending on Body Bias through Buried
                  Oxide {(BOX)} Layer in a 65 nm Fully-Depleted Silicon-On-Insulator
                  Process},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405121},
  doi          = {10.1109/IRPS46558.2021.9405121},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KishidaSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KobrinskyK21,
  author       = {Mauro J. Kobrinsky and
                  Rahim Kasim},
  title        = {Back End Of Line opportunities and reliability challenges for future
                  technology nodes},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405099},
  doi          = {10.1109/IRPS46558.2021.9405099},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KobrinskyK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KocharSMM21,
  author       = {Dimple Kochar and
                  Tarun Samadder and
                  Subhadeep Mukhopadhyay and
                  Souvik Mahapatra},
  title        = {Modeling of {HKMG} Stack Process Impact on Gate Leakage, {SILC} and
                  {PBTI}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405154},
  doi          = {10.1109/IRPS46558.2021.9405154},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KocharSMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KozakS0LZ21,
  author       = {Joseph P. Kozak and
                  Qihao Song and
                  Ruizhe Zhang and
                  Jingcun Liu and
                  Yuhao Zhang},
  title        = {Robustness of GaN Gate Injection Transistors under Repetitive Surge
                  Energy and Overvoltage},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405173},
  doi          = {10.1109/IRPS46558.2021.9405173},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KozakS0LZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KrishnanSHDL0BJ21,
  author       = {Gokul Krishnan and
                  Jingbo Sun and
                  Jubin Hazra and
                  Xiaocong Du and
                  Maximilian Liehr and
                  Zheng Li and
                  Karsten Beckmann and
                  Rajiv V. Joshi and
                  Nathaniel C. Cady and
                  Yu Cao},
  title        = {Robust RRAM-based In-Memory Computing in Light of Model Stability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405092},
  doi          = {10.1109/IRPS46558.2021.9405092},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KrishnanSHDL0BJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KruvMCORBGWH21,
  author       = {Anastasiia Kruv and
                  Sean R. C. McMitchell and
                  Sergiu Clima and
                  Oguzhan O. Okudur and
                  Nicolo Ronchi and
                  Geert Van den Bosch and
                  Mario Gonzalez and
                  Ingrid De Wolf and
                  Jan Van Houdt},
  title        = {Impact of mechanical strain on wakeup of HfO2 ferroelectric memory},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405159},
  doi          = {10.1109/IRPS46558.2021.9405159},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KruvMCORBGWH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KumarSTSM21,
  author       = {Satyam Kumar and
                  Tarun Samadder and
                  Karansingh Thakor and
                  Uma Sharma and
                  Souvik Mahapatra},
  title        = {Stochastic and Deterministic Modeling Frameworks for Time Kinetics
                  of Gate Insulator Traps During and After Hot Carrier Stress in MOSFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405155},
  doi          = {10.1109/IRPS46558.2021.9405155},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/KumarSTSM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/KuyamaUE21,
  author       = {Tomohiro Kuyama and
                  Keiichiro Urabe and
                  Koji Eriguchi},
  title        = {Evaluation methodology for assessment of dielectric degradation and
                  breakdown dynamics using time-dependent impedance spectroscopy {(TDIS)}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405190},
  doi          = {10.1109/IRPS46558.2021.9405190},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/KuyamaUE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LamaBBBNCGCNN21,
  author       = {Giusy Lama and
                  Mathieu Bernard and
                  Nicolas Bernier and
                  Guillaume Bourgeois and
                  Emmanuel Nolot and
                  Niccolo Castellani and
                  Julien Garrione and
                  Marie Claire Cyrille and
                  Gabriele Navarro and
                  Etienne Nowak},
  title        = {Multilevel Programming Reliability in Si-doped GeSbTe for Storage
                  Class Memory},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405116},
  doi          = {10.1109/IRPS46558.2021.9405116},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LamaBBBNCGCNN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LauensteinCLKPT21,
  author       = {Jean{-}Marie Lauenstein and
                  Megan C. Casey and
                  Ray L. Ladbury and
                  Hak S. Kim and
                  Anthony M. Phan and
                  Alyson D. Topper},
  title        = {Space Radiation Effects on SiC Power Device Reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405180},
  doi          = {10.1109/IRPS46558.2021.9405180},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LauensteinCLKPT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LeeBKKYRL21,
  author       = {Moon Soo Lee and
                  Inhak Baick and
                  Min Kim and
                  Seo Hyun Kwon and
                  Myeong Soo Yeo and
                  Hwasung Rhee and
                  Euncheol Lee},
  title        = {Chip to Package Interaction Risk Assessment of {FCBGA} Devices using
                  {FEA} Simulation, Meta-Modeling and Multi-Objective Genetic Algorithm
                  Optimization Technique},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405133},
  doi          = {10.1109/IRPS46558.2021.9405133},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LeeBKKYRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LeeLHHNKCYCWBD21,
  author       = {Yung{-}Huei Lee and
                  P. J. Liao and
                  Vincent Hou and
                  Dawei Heh and
                  Chih{-}Hung Nien and
                  Wen{-}Hsien Kuo and
                  Gary T. Chen and
                  Shao{-}Ming Yu and
                  Yu{-}Sheng Chen and
                  Jau{-}Yi Wu and
                  Xinyu Bao and
                  Carlos H. Diaz},
  title        = {Composition Segregation of Ge-Rich {GST} and Its Effect on Reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405168},
  doi          = {10.1109/IRPS46558.2021.9405168},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LeeLHHNKCYCWBD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LeeTTT21,
  author       = {Tsung{-}En Lee and
                  Kasidit Toprasertpong and
                  Mitsuru Takenaka and
                  Shinichi Takagi},
  title        = {Characterization of Slow Traps in SiGe {MOS} Interfaces by TiN/Y2O3
                  Gate Stacks},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405189},
  doi          = {10.1109/IRPS46558.2021.9405189},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LeeTTT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LesniewskaPLMVD21,
  author       = {Alicja Lesniewska and
                  Olalla Varela Pedreira and
                  Melina Lofrano and
                  Gayle Murdoch and
                  Marleen H. van der Veen and
                  Anish Dangol and
                  Naoto Horiguchi and
                  Zsolt T{\"{o}}kei and
                  Kris Croes},
  title        = {Reliability of a {DME} Ru Semidamascene scheme with 16 nm wide Airgaps},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405192},
  doi          = {10.1109/IRPS46558.2021.9405192},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LesniewskaPLMVD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LinHSLXCYCWHSWP21,
  author       = {Shy{-}Jay Lin and
                  Yen{-}Lin Huang and
                  MingYaun Song and
                  Chien{-}Ming Lee and
                  Fen Xue and
                  Guan{-}Long Chen and
                  Shan{-}Yi Yang and
                  Yao{-}Jen Chang and
                  I{-}Jung Wang and
                  Yu{-}Chen Hsin and
                  Yi{-}Hui Su and
                  Jeng{-}Hua Wei and
                  Chi{-}Feng Pai and
                  Shan X. Wang and
                  Carlos H. Diaz},
  title        = {Challenges toward Low-Power {SOT-MRAM}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405127},
  doi          = {10.1109/IRPS46558.2021.9405127},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LinHSLXCYCWHSWP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LiuDZZ21,
  author       = {Wei Liu and
                  Yaru Ding and
                  Liang Zhao and
                  Yi Zhao},
  title        = {Nanosecond-scale and self-heating free characterization of advanced
                  {CMOS} transistors utilizing wave reflection},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405218},
  doi          = {10.1109/IRPS46558.2021.9405218},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LiuDZZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/LopezHGRSNBCDCB21,
  author       = {Joel Minguet Lopez and
                  Lucas Hudeley and
                  Laurent Grenouillet and
                  Diego Alfaro Robayo and
                  Jury Sandrini and
                  Gabriele Navarro and
                  Mathieu Bernard and
                  Catherine Carabasse and
                  Damien Deleruyelle and
                  Niccolo Castellani and
                  Marc Bocquet and
                  Jean{-}Michel Portal and
                  Etienne Nowak and
                  Gabriel Molas},
  title        = {Elucidating 1S1R operation to reduce the read voltage margin variability
                  by stack and programming conditions optimization},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405195},
  doi          = {10.1109/IRPS46558.2021.9405195},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/LopezHGRSNBCDCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MahajanCVRKA21,
  author       = {Bikram Kishore Mahajan and
                  Yen{-}Pu Chen and
                  Dhanoop Varghese and
                  Vijay Reddy and
                  Srikanth Krishnan and
                  Muhammad Ashraful Alam},
  title        = {Quantifying Region-Specific Hot Carrier Degradation in {LDMOS} Transistors
                  Using a Novel Charge Pumping Technique},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405224},
  doi          = {10.1109/IRPS46558.2021.9405224},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MahajanCVRKA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MazzaPCGRSZBF21,
  author       = {Bruna Mazza and
                  Salvatore Patan{\'{e}} and
                  Francesco Cordiano and
                  Massimiliano Giliberto and
                  Giovanni Renna and
                  Andrea Severino and
                  Edoardo Zanetti and
                  Massimo Boscaglia and
                  Giovanni Franco},
  title        = {Effect of interface and bulk charges on the breakdown of nitrided
                  gate oxide on 4H-SiC},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405176},
  doi          = {10.1109/IRPS46558.2021.9405176},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MazzaPCGRSZBF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/McDonaldB21,
  author       = {Tim McDonald and
                  Stephanie Watts Butler},
  title        = {Progress and Current Topics of {JEDEC} {JC-70.1} Power GaN Device
                  Quality and Reliability Standards Activity: Or: What is the Avalanche
                  capability of your GaN Transistor?},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405225},
  doi          = {10.1109/IRPS46558.2021.9405225},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/McDonaldB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MichaelLK21,
  author       = {Ooi Michael and
                  Loo Tung Lun and
                  Koay Eng Keong},
  title        = {Methodology to improve Safety Critical SoC based platform: a case
                  study},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405223},
  doi          = {10.1109/IRPS46558.2021.9405223},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MichaelLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MiloAZPMOOWI21,
  author       = {Valerio Milo and
                  Francesco Anzalone and
                  Cristian Zambelli and
                  Eduardo P{\'{e}}rez and
                  Mamathamba Kalishettyhalli Mahadevaiah and
                  Oscar Gonzalez Ossorio and
                  Piero Olivo and
                  Christian Wenger and
                  Daniele Ielmini},
  title        = {Optimized programming algorithms for multilevel {RRAM} in hardware
                  neural networks},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405119},
  doi          = {10.1109/IRPS46558.2021.9405119},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MiloAZPMOOWI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MishraWZLSC21,
  author       = {Subrat Mishra and
                  Pieter Weckx and
                  Odysseas Zografos and
                  Ji{-}Yung Lin and
                  Alessio Spessot and
                  Francky Catthoor},
  title        = {Overhead Reduction with Optimal Margining Using {A} Reliability Aware
                  Design Paradigm},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405198},
  doi          = {10.1109/IRPS46558.2021.9405198},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MishraWZLSC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ModoloMSSSPMZM21,
  author       = {Nicola Modolo and
                  Andrea Minetto and
                  Carlo De Santi and
                  Luca Sayadi and
                  Sebastien Sicre and
                  Gerhard Prechtl and
                  Gaudenzio Meneghesso and
                  Enrico Zanoni and
                  Matteo Meneghini},
  title        = {A Generalized Approach to Determine the Switching Reliability of GaN
                  HEMTs on-Wafer Level},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405142},
  doi          = {10.1109/IRPS46558.2021.9405142},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ModoloMSSSPMZM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MonishmuraliS21,
  author       = {M. Monishmurali and
                  Mayank Shrivastava},
  title        = {Peculiar Current Instabilities {\&} Failure Mechanism in Vertically
                  Stacked Nanosheet ggN-FET},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405147},
  doi          = {10.1109/IRPS46558.2021.9405147},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MonishmuraliS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MonishmuraliS21a,
  author       = {M. Monishmurali and
                  Mayank Shrivastava},
  title        = {A Novel High Voltage Drain Extended FinFET {SCR} for SoC Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405194},
  doi          = {10.1109/IRPS46558.2021.9405194},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MonishmuraliS21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MorishitaM21,
  author       = {Yasuyuki Morishita and
                  Satoshi Maeda},
  title        = {Characterization of NMOS-based {ESD} Protection for Wide-range Pulse
                  Immunity},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405117},
  doi          = {10.1109/IRPS46558.2021.9405117},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MorishitaM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/MulaosmanovicLS21,
  author       = {Halid Mulaosmanovic and
                  Patrick D. Lomenzo and
                  Uwe Schroeder and
                  Stefan Slesazeck and
                  Thomas Mikolajick and
                  Benjamin Max},
  title        = {Reliability aspects of ferroelectric hafnium oxide for application
                  in non-volatile memories},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405215},
  doi          = {10.1109/IRPS46558.2021.9405215},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/MulaosmanovicLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/NarasimhamCSTBB21,
  author       = {Balaji Narasimham and
                  Vikas Chaudhary and
                  Mike Smith and
                  Liming Tsau and
                  Dennis R. Ball and
                  Bharat L. Bhuva},
  title        = {Scaling Trends in the Soft Error Rate of SRAMs from Planar to 5-nm
                  FinFET},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405216},
  doi          = {10.1109/IRPS46558.2021.9405216},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/NarasimhamCSTBB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/NevarezOWP21,
  author       = {Jairo Nevarez and
                  Anthony Olmedo and
                  Rachel Williams and
                  Polina Pechnikova},
  title        = {Gate Driver Protection Methods for SiC {MOSFET} Short Circuit Testing},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405170},
  doi          = {10.1109/IRPS46558.2021.9405170},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/NevarezOWP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/NeyerDDS21,
  author       = {Thomas Neyer and
                  Martin Domeij and
                  Hrishikesh Das and
                  Swapna Sunkari},
  title        = {Is there a perfect SiC MosFETs Device on an imperfect crystal?},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405098},
  doi          = {10.1109/IRPS46558.2021.9405098},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/NeyerDDS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Osenbach21,
  author       = {John Osenbach},
  title        = {Reliability of optoelectronic module An Introduction},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405213},
  doi          = {10.1109/IRPS46558.2021.9405213},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Osenbach21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/OsmansonKMKGK21,
  author       = {Allison T. Osmanson and
                  Mohsen Tajedini and
                  Yi Ram Kim and
                  Hossein Madanipour and
                  Choong{-}Un Kim and
                  Bradley Glasscock and
                  Muhammad Khan},
  title        = {Mechanisms of Contact Formation and Electromigration Reliability in
                  Wirebond Packages},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405131},
  doi          = {10.1109/IRPS46558.2021.9405131},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/OsmansonKMKGK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/OuyangYYHWYHX21,
  author       = {Yan Ouyang and
                  Suhui Yang and
                  Dandan Yin and
                  Xiang Huang and
                  Zhiqiang Wang and
                  Shengwei Yang and
                  Kun Han and
                  Zhongyi Xia},
  title        = {Excellent Reliability of Xtacking{\texttrademark} Bonding Interface},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405115},
  doi          = {10.1109/IRPS46558.2021.9405115},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/OuyangYYHWYHX21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PalanisamyBLKWE21,
  author       = {Shanmuganathan Palanisamy and
                  Thomas Basler and
                  Josef Lutz and
                  Cesare K{\"{u}}nzel and
                  Larissa Wehrhahn{-}Kilian and
                  Rudolf Elpelt},
  title        = {Investigation of the bipolar degradation of SiC {MOSFET} body diodes
                  and the influence of current density},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405183},
  doi          = {10.1109/IRPS46558.2021.9405183},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PalanisamyBLKWE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PalmerZWLPK21,
  author       = {James Palmer and
                  Galor Zhang and
                  Justin R. Weber and
                  Cheyun Lin and
                  Christopher Perini and
                  Rahim Kasim},
  title        = {Intrinsic Reliability of {BEOL} interlayer dielectric},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405089},
  doi          = {10.1109/IRPS46558.2021.9405089},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PalmerZWLPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ParkYKK21,
  author       = {Gyusung Park and
                  Hanzhao Yu and
                  Minsu Kim and
                  Chris H. Kim},
  title        = {An All {BTI} (N-PBTI, N-NBTI, P-PBTI, {P-NBTI)} Odometer based on
                  a Dual Power Rail Ring Oscillator Array},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405181},
  doi          = {10.1109/IRPS46558.2021.9405181},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ParkYKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PedrettiAI21,
  author       = {Giacomo Pedretti and
                  Elia Ambrosi and
                  Daniele Ielmini},
  title        = {Conductance variations and their impact on the precision of in-memory
                  computing with resistive switching memory {(RRAM)}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405130},
  doi          = {10.1109/IRPS46558.2021.9405130},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PedrettiAI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PesicBPGKHVPBCL21,
  author       = {Milan Pesic and
                  Bastien Beltrando and
                  Andrea Padovani and
                  Shruba Gangopadhyay and
                  Muthukumar Kaliappan and
                  Michael Haverty and
                  Marco A. Villena and
                  Enrico Piccinini and
                  Matteo Bertocchi and
                  Tony Chiang and
                  Luca Larcher and
                  Jack Strand and
                  Alexander L. Shluger},
  title        = {Variability sources and reliability of 3D - FeFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405118},
  doi          = {10.1109/IRPS46558.2021.9405118},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PesicBPGKHVPBCL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PirroJZLIMPZOHR21,
  author       = {Luca Pirro and
                  Aarthi Jayakumar and
                  Olaf Zimmerhackl and
                  Dieter Lipp and
                  Ralf Illgen and
                  Armin Muehlhoff and
                  Ronny Pfuetzner and
                  Alban Zaka and
                  Michael Otto and
                  Jan Hoentschel and
                  Yannick Raffel and
                  Konrad Seidel and
                  Ricardo Olivo},
  title        = {Comparison of Analog and Noise Performance between Buried Channel
                  versus Surface Devices in {HKMG} {I/O} Devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405122},
  doi          = {10.1109/IRPS46558.2021.9405122},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PirroJZLIMPZOHR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/PutchaCAZLPWLC21,
  author       = {Vamsi Putcha and
                  Liang Cheng and
                  AliReza Alian and
                  Ming Zhao and
                  Hai Lu and
                  Bertrand Parvais and
                  Niamh Waldron and
                  Dimitri Linten and
                  Nadine Collaert},
  title        = {On the impact of buffer and GaN-channel thickness on current dispersion
                  for GaN-on-Si RF/mmWave devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405139},
  doi          = {10.1109/IRPS46558.2021.9405139},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/PutchaCAZLPWLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/RaceZTKG21,
  author       = {Salvatore Race and
                  Thomas Ziemann and
                  Shweta Tiwari and
                  Ivana Kovacevic{-}Badstuebner and
                  Ulrike Grossner},
  title        = {Accuracy of Thermal Analysis for SiC Power Devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405203},
  doi          = {10.1109/IRPS46558.2021.9405203},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/RaceZTKG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/RanjanLMRPLKNKJ21,
  author       = {Rakesh Ranjan and
                  Ki{-}Don Lee and
                  Md Iqbal Mahmud and
                  Mohammad Shahriar Rahman and
                  Pavitra Ramadevi Perepa and
                  Charles Briscoe LaRow and
                  Caleb Dongkyun Kwon and
                  Maihan Nguyen and
                  Minhyo Kang and
                  Ashish Kumar Jha and
                  Ahmed Shariq and
                  Shamas Musthafa Ummer and
                  Susannah Laure Prater and
                  Hyunchul Sagong and
                  HwaSung Rhee},
  title        = {Systematic Study of Process Impact on FinFET Reliability},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405134},
  doi          = {10.1109/IRPS46558.2021.9405134},
  timestamp    = {Fri, 07 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/RanjanLMRPLKNKJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/RathiSGD21,
  author       = {Aarti Rathi and
                  P. Srinivasan and
                  Fernando Guarin and
                  Abhisek Dixit},
  title        = {Large Signal {RF} Reliability of 45-nm {RFSOI} Power Amplifier Cell
                  for Wi-Fi6 Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405158},
  doi          = {10.1109/IRPS46558.2021.9405158},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/RathiSGD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/RoldanMARHSJAL21,
  author       = {Juan Bautista Rold{\'{a}}n and
                  David Maldonado and
                  Francisco J. Alonso and
                  Andr{\'{e}}s M. Rold{\'{a}}n and
                  Fei Hui and
                  Yuanyuan Shi and
                  Francisco Jim{\'{e}}nez{-}Molinos and
                  Ana M. Aguilera and
                  Mario Lanza},
  title        = {Time series modeling of the cycle-to-cycle variability in h-BN based
                  memristors},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405100},
  doi          = {10.1109/IRPS46558.2021.9405100},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/RoldanMARHSJAL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/RzepaKBSSMKKWHC21,
  author       = {Gerhard Rzepa and
                  Markus Karner and
                  Oskar Baumgartner and
                  Georg Strof and
                  Franz Schanovsky and
                  Ferdinand Mitterbauer and
                  Christian Kernstock and
                  Hui{-}Wen Karner and
                  Pieter Weckx and
                  Geert Hellings and
                  Dieter Claes and
                  Zhicheng Wu and
                  Yang Xiang and
                  Thomas Chiarella and
                  Bertrand Parvais and
                  J{\'{e}}r{\^{o}}me Mitard and
                  Jacopo Franco and
                  Ben Kaczer and
                  Dimitri Linten and
                  Zlatan Stanojevic},
  title        = {Reliability and Variability-Aware {DTCO} Flow: Demonstration of Projections
                  to {N3} FinFET and Nanosheet Technologies},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405172},
  doi          = {10.1109/IRPS46558.2021.9405172},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/RzepaKBSSMKKWHC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Salahuddin21,
  author       = {Sayeef S. Salahuddin},
  title        = {Ultrathin Ferroelectricity and Its Application in Advanced Logic and
                  Memory Devices},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405186},
  doi          = {10.1109/IRPS46558.2021.9405186},
  timestamp    = {Wed, 08 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Salahuddin21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SalmenFWRRA21,
  author       = {Paul Salmen and
                  Maximilian W. Feil and
                  Katja Waschneck and
                  Hans Reisinger and
                  Gerald Rescher and
                  Thomas Aichinger},
  title        = {A new test procedure to realistically estimate end-of-life electrical
                  parameter stability of SiC MOSFETs in switching operation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405207},
  doi          = {10.1109/IRPS46558.2021.9405207},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/SalmenFWRRA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SamadderKTM21,
  author       = {Tarun Samadder and
                  Satyam Kumar and
                  Karansingh Thakor and
                  Souvik Mahapatra},
  title        = {A Theoretical Framework for Trap Generation and Passivation in {NAND}
                  Flash Tunnel Oxide During Distributed Cycling and Retention Bake},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405104},
  doi          = {10.1109/IRPS46558.2021.9405104},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/SamadderKTM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SasseSR21,
  author       = {Guido T. Sasse and
                  Vignesh Subramanian and
                  Ljubo Radic},
  title        = {Aging models for n- and p-type {LDMOS} covering low, medium and high
                  {VGS} operation},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405135},
  doi          = {10.1109/IRPS46558.2021.9405135},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SasseSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Scarpulla21,
  author       = {John Scarpulla},
  title        = {Guidelines for Space Qualification of GaN HEMTs and MMICs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--11},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405226},
  doi          = {10.1109/IRPS46558.2021.9405226},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Scarpulla21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SchmidgallGTPYS21,
  author       = {Emma R. Schmidgall and
                  Flavio Griggio and
                  George H. Thiel and
                  Sherman E. Peek and
                  Bhargav Yelamanchili and
                  Archit Shah and
                  Vaibhav Gupta and
                  John A. Sellers and
                  Michael C. Hamilton and
                  David B. Tuckerman and
                  Samuel d'Hollosy},
  title        = {Reliability Characterization of a Flexible Interconnect for Cryogenic
                  and Quantum Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405090},
  doi          = {10.1109/IRPS46558.2021.9405090},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SchmidgallGTPYS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ShahZLR21,
  author       = {Milan Shah and
                  Yujie Zhou and
                  David LaFonteese and
                  Elyse Rosenbaum},
  title        = {Considerations in High Voltage Lateral {ESD} {PNP} Design},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405093},
  doi          = {10.1109/IRPS46558.2021.9405093},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ShahZLR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ShengH21,
  author       = {Lieyi Sheng and
                  Ihsiu Ho},
  title        = {Universal Impacts of Local Electric Fields on the Projected Dielectric
                  Lifetime},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405112},
  doi          = {10.1109/IRPS46558.2021.9405112},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ShengH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ShimMPSY21,
  author       = {Wonbo Shim and
                  Jian Meng and
                  Xiaochen Peng and
                  Jae{-}sun Seo and
                  Shimeng Yu},
  title        = {Impact of Multilevel Retention Characteristics on {RRAM} based {DNN}
                  Inference Engine},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405210},
  doi          = {10.1109/IRPS46558.2021.9405210},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/ShimMPSY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SolomonBTDFCLCR21,
  author       = {Paul M. Solomon and
                  Douglas M. Bishop and
                  Teodor K. Todorov and
                  Simon Dawes and
                  Damon B. Farmer and
                  Matthew Copel and
                  Ko{-}Tao Lee and
                  John Collins and
                  John Rozen},
  title        = {Transient Investigation of Metal-oxide based, CMOS-compatible {ECRAM}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405156},
  doi          = {10.1109/IRPS46558.2021.9405156},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SolomonBTDFCLCR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SonKKLKP21,
  author       = {Donghee Son and
                  Gang{-}Jun Kim and
                  Jongkyun Kim and
                  Nam{-}Hyun Lee and
                  Kijin Kim and
                  Sangwoo Pae},
  title        = {Effect of High Temperature on Recovery of Hot Carrier Degradation
                  of scaled nMOSFETs in {DRAM}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405153},
  doi          = {10.1109/IRPS46558.2021.9405153},
  timestamp    = {Fri, 21 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SonKKLKP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Song0KLLZ21,
  author       = {Qihao Song and
                  Ruizhe Zhang and
                  Joseph P. Kozak and
                  Jingcun Liu and
                  Qiang Li and
                  Yuhao Zhang},
  title        = {Failure Mechanisms of Cascode GaN HEMTs Under Overvoltage and Surge
                  Energy Events},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405208},
  doi          = {10.1109/IRPS46558.2021.9405208},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Song0KLLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SrinivasanG21,
  author       = {P. Srinivasan and
                  Fernando Guarin},
  title        = {{CMOS} {RF} reliability for 5G mmWave applications - Challenges and
                  Opportunities},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405202},
  doi          = {10.1109/IRPS46558.2021.9405202},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SrinivasanG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SrinivasanGSJLJ21,
  author       = {P. Srinivasan and
                  Fernando Guarin and
                  Shafi Syed and
                  Joris Angelo Sundaram Jerome and
                  Wen Liu and
                  Sameer H. Jain and
                  Dimitri Lederer and
                  Stephen Moss and
                  Paul Colestock and
                  Anirban Bandyopadhyay and
                  Ned Cahoon and
                  Byoung Min and
                  Martin Gall},
  title        = {{RF} Reliability of SOI-based Power Amplifier FETs for mmWave 5G Applications},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405220},
  doi          = {10.1109/IRPS46558.2021.9405220},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SrinivasanGSJLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/SrinivasanSRLD21,
  author       = {P. Srinivasan and
                  Da Song and
                  David Rose and
                  Maurice LaCroix and
                  Arunima Dasgupta},
  title        = {Back gate bias effect and layout dependence on Random Telegraph Noise
                  in {FDSOI} technologies},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405199},
  doi          = {10.1109/IRPS46558.2021.9405199},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/SrinivasanSRLD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/StewartKRNHJHGP21,
  author       = {Kevin A. Stewart and
                  Keiichi Kimura and
                  Matt Ring and
                  Koen Noldus and
                  Pat Hulse and
                  Rick C. Jerome and
                  Akihiro Hasegawa and
                  Jeff P. Gambino and
                  David T. Price},
  title        = {Assessing SiCr resistor drift for automotive analog ICs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405169},
  doi          = {10.1109/IRPS46558.2021.9405169},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/StewartKRNHJHGP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/TataridouGT21,
  author       = {Angeliki Tataridou and
                  G{\'{e}}rard Ghibaudo and
                  Christoforos G. Theodorou},
  title        = {"Pinch to Detect": {A} Method to Increase the Number of Detectable
                  {RTN} Traps in Nano-scale MOSFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405102},
  doi          = {10.1109/IRPS46558.2021.9405102},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/TataridouGT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/TatenoNOMKOHIYN21,
  author       = {Yasunori Tateno and
                  Ken Nakata and
                  Akio Oya and
                  Keita Matsuda and
                  Yoshihide Komatsu and
                  Shinichi Osada and
                  Masafumi Hirata and
                  Shigeyuki Ishiyama and
                  Toshiki Yoda and
                  Atsushi Nitta and
                  Tomio Sato},
  title        = {Investigation of the Failure Mechanism of InGaAs-pHEMT under High
                  Temperature Operating Life Tests},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405166},
  doi          = {10.1109/IRPS46558.2021.9405166},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/TatenoNOMKOHIYN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/TiernoCARBR21,
  author       = {Davide Tierno and
                  Kristof Croes and
                  Arjun Ajaykumar and
                  Siva Ramesh and
                  Geert Van den Bosch and
                  Maarten Rosmeulen},
  title        = {Reliability of Mo as Word Line Metal in 3D {NAND}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405132},
  doi          = {10.1109/IRPS46558.2021.9405132},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/TiernoCARBR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/Toledano-LuqueP21,
  author       = {Maria Toledano{-}Luque and
                  Peter C. Paliwoda and
                  Mohamed Nour and
                  Thomas Kauerauf and
                  Byoung Min and
                  Germain Bossu and
                  Mahesh Siddabathula and
                  Tanya Nigam},
  title        = {Off-state {TDDB} in FinFET Technology and its Implication for Safe
                  Operating Area},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405151},
  doi          = {10.1109/IRPS46558.2021.9405151},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/Toledano-LuqueP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/TroussierBJSA21,
  author       = {Chloe Troussier and
                  Johan Bourgeat and
                  Blaise Jacquier and
                  Emmanuel Simeu and
                  Jean{-}Daniel Arnould},
  title        = {Estimation of Oxide Breakdown Voltage During a {CDM} Event Using Very
                  Fast Transmission Line Pulse and Transmission Line Pulse Measurements},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405110},
  doi          = {10.1109/IRPS46558.2021.9405110},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/TroussierBJSA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/UemuraCJKLKLSRL21,
  author       = {Taiki Uemura and
                  Byungjin Chung and
                  Jeongmin Jo and
                  Mijoung Kim and
                  Dalhee Lee and
                  Gunrae Kim and
                  Seungbae Lee and
                  Taesjoong Song and
                  Hwasung Rhee and
                  Brandon Lee and
                  Jaehee Choi},
  title        = {Soft-Error Susceptibility in Flip-Flop in {EUV} 7 nm Bulk-FinFET Technology},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405101},
  doi          = {10.1109/IRPS46558.2021.9405101},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/UemuraCJKLKLSRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VaisHSYAMKKWSC21,
  author       = {Abhitosh Vais and
                  Brent Hsu and
                  Olga Syshchyk and
                  Hao Yu and
                  AliReza Alian and
                  Yves Mols and
                  Komal Vondkar Kodandarama and
                  Bernardette Kunert and
                  Niamh Waldron and
                  Eddy Simoen and
                  Nadine Collaert},
  title        = {A defect characterization technique for the sidewall surface of Nano-ridge
                  and Nanowire based Logic and {RF} technologies},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405095},
  doi          = {10.1109/IRPS46558.2021.9405095},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VaisHSYAMKKWSC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VandemaeleKTFDC21,
  author       = {Michiel Vandemaele and
                  Ben Kaczer and
                  Stanislav Tyaginov and
                  Jacopo Franco and
                  Robin Degraeve and
                  Adrian Vaisman Chasin and
                  Zhicheng Wu and
                  Erik Bury and
                  Yang Xiang and
                  Hans Mertens and
                  Guido Groeseneken},
  title        = {The properties, effect and extraction of localized defect profiles
                  from degraded {FET} characteristics},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405164},
  doi          = {10.1109/IRPS46558.2021.9405164},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VandemaeleKTFDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VieyVJCCKMBGSGM21,
  author       = {Aby{-}Ga{\"{e}}l Viey and
                  William Vandendaele and
                  Marie{-}Anne Jaud and
                  Jean Coignus and
                  Jacques Cluzel and
                  Alexis Krakovinsky and
                  Simon Martin and
                  J{\'{e}}rome Biscarrat and
                  Romain Gwoziecki and
                  Veronique Sousa and
                  Fred Gaillard and
                  Roberto Modica and
                  Ferdinando Iucolano and
                  Matteo Meneghini and
                  Gaudenzio Meneghesso and
                  G{\'{e}}rard Ghibaudo},
  title        = {Study on the difference between {ID(VG)} and {C(VG)} pBTI shifts in
                  GaN-on-Si E-mode MOSc-HEMT},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405221},
  doi          = {10.1109/IRPS46558.2021.9405221},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/VieyVJCCKMBGSGM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/VyasPTABSLGI21,
  author       = {Pratik B. Vyas and
                  Ninad Pimparkar and
                  Robert Tu and
                  Wafa Arfaoui and
                  Germain Bossu and
                  Mahesh Siddabathula and
                  Steffen Lehmann and
                  Jung{-}Suk Goo and
                  Ali B. Icel},
  title        = {Reliability-Conscious {MOSFET} Compact Modeling with Focus on the
                  Defect-Screening Effect of Hot-Carrier Injection},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405197},
  doi          = {10.1109/IRPS46558.2021.9405197},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/VyasPTABSLGI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/WangZZHSQH21,
  author       = {Runsheng Wang and
                  Zuodong Zhang and
                  Yawen Zhang and
                  Yixuan Hu and
                  Yanan Sun and
                  Weikang Qian and
                  Ru Huang},
  title        = {Can Emerging Computing Paradigms Help Enhancing Reliability Towards
                  the End of Technology Roadmap?},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405167},
  doi          = {10.1109/IRPS46558.2021.9405167},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/WangZZHSQH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/WeiASLYWL21,
  author       = {Ming{-}Liang Wei and
                  Hussam Amrouch and
                  Cheng{-}Lin Sung and
                  Hang{-}Ting Lue and
                  Chia{-}Lin Yang and
                  Keh{-}Chung Wang and
                  Chih{-}Yuan Lu},
  title        = {Robust Brain-Inspired Computing: On the Reliability of Spiking Neural
                  Network Using Emerging Non-Volatile Synapses},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405141},
  doi          = {10.1109/IRPS46558.2021.9405141},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/WeiASLYWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/WuFTRTVBGLK21,
  author       = {Zhicheng Wu and
                  Jacopo Franco and
                  Brecht Truijen and
                  Philippe Roussel and
                  Stanislav Tyaginov and
                  Michiel Vandemaele and
                  Erik Bury and
                  Guido Groeseneken and
                  Dimitri Linten and
                  Ben Kaczer},
  title        = {Physics-based device aging modelling framework for accurate circuit
                  reliability assessment},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405106},
  doi          = {10.1109/IRPS46558.2021.9405106},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/WuFTRTVBGLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/XiangTVWFBTPLK21,
  author       = {Yang Xiang and
                  Stanislav Tyaginov and
                  Michiel Vandemaele and
                  Zhicheng Wu and
                  Jacopo Franco and
                  Erik Bury and
                  Brecht Truijen and
                  Bertrand Parvais and
                  Dimitri Linten and
                  Ben Kaczer},
  title        = {A BSIM-Based Predictive Hot-Carrier Aging Compact Model},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405222},
  doi          = {10.1109/IRPS46558.2021.9405222},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/XiangTVWFBTPLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/XiaoHKJOSPT21,
  author       = {Peng Xiao and
                  Haris Hadziosmanovic and
                  Michael Klessens and
                  Rong Jiang and
                  John Ortega and
                  Daniel Schroeder and
                  James Palmer and
                  Ilan Tsameret},
  title        = {Customized Parallel Reliability Testing Platform with Multifold Throughput
                  Enhancement for Intel Stressing Tests},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405140},
  doi          = {10.1109/IRPS46558.2021.9405140},
  timestamp    = {Fri, 01 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/XiaoHKJOSPT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/XiongFMBWF21,
  author       = {Yoni Xiong and
                  Alexandra Feeley and
                  Lloyd W. Massengill and
                  Bharat L. Bhuva and
                  Shi{-}Jie Wen and
                  Rita Fung},
  title        = {Frequency, LET, and Supply Voltage Dependence of Logic Soft Errors
                  at the 7-nm Node},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405128},
  doi          = {10.1109/IRPS46558.2021.9405128},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/XiongFMBWF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/YangHCCLC21,
  author       = {W. Y. Yang and
                  E. R. Hsieh and
                  C. H. Cheng and
                  B. Y. Chen and
                  K. S. Li and
                  Steve S. Chung},
  title        = {A Reliable Triple-Level Operation of Resistive-Gate Flash Featuring
                  Forming-Free and High Immunity to Sneak Path},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405179},
  doi          = {10.1109/IRPS46558.2021.9405179},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/YangHCCLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/YangKPKLPKH21,
  author       = {NamHyuk Yang and
                  JinHwan Kim and
                  GeonGu Park and
                  ChulHyuk Kwon and
                  SeungTaek Lee and
                  SangWoo Pae and
                  HooSung Kim and
                  SangWon Hwang},
  title        = {A Study on System Level {UFS} {M-PHY} Reliability Measurement Method
                  Using {RDVS}},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405096},
  doi          = {10.1109/IRPS46558.2021.9405096},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/YangKPKLPKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/YangWLYHH21,
  author       = {Liu Yang and
                  Qi Wang and
                  Qianhui Li and
                  Xiaolei Yu and
                  Jing He and
                  Zongliang Huo},
  title        = {Efficient Data Recovery Technique for 3D {TLC} {NAND} Flash Memory
                  based on {WL} Interference},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405150},
  doi          = {10.1109/IRPS46558.2021.9405150},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/YangWLYHH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZahedmaneshPTC21,
  author       = {Houman Zahedmanesh and
                  Olalla Varela Pedreira and
                  Zsolt Tokei and
                  Kristof Croes},
  title        = {Electromigration limits of copper nano-interconnects},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405091},
  doi          = {10.1109/IRPS46558.2021.9405091},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZahedmaneshPTC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZanottiPP21,
  author       = {Tommaso Zanotti and
                  Francesco Maria Puglisi and
                  Paolo Pavan},
  title        = {Low-Bit Precision Neural Network Architecture with High Immunity to
                  Variability and Random Telegraph Noise based on Resistive Memories},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405103},
  doi          = {10.1109/IRPS46558.2021.9405103},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZanottiPP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZengCGKA21,
  author       = {Ke Zeng and
                  Srabanti Chowdhury and
                  Brendan Gunning and
                  Robert Kaplar and
                  Travis Anderson},
  title        = {Study on Avalanche Uniformity in 1.2KV GaN Vertical {PIN} Diode with
                  Bevel Edge-Termination},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405165},
  doi          = {10.1109/IRPS46558.2021.9405165},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZengCGKA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZhangKHCCZG21,
  author       = {Zhenjun Zhang and
                  Matthias Kraatz and
                  Meike Hauschildt and
                  Seungman Choi and
                  Andr{\'{e}} Clausner and
                  Ehrenfried Zschech and
                  Martin Gall},
  title        = {Strategy to Characterize Electromigration Short Length Effects in
                  Cu/low-k Interconnects},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405161},
  doi          = {10.1109/IRPS46558.2021.9405161},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZhangKHCCZG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZhengPW21,
  author       = {Yongju Zheng and
                  Rahul R. Potera and
                  Tony Witt},
  title        = {Characterization of Early Breakdown of SiC {MOSFET} Gate Oxide by
                  Voltage Ramp Tests},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405196},
  doi          = {10.1109/IRPS46558.2021.9405196},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZhengPW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZhouWBSWSZBG21,
  author       = {Huimei Zhou and
                  Miaomiao Wang and
                  Ruqiang Bao and
                  Tian Shen and
                  Ernest Y. Wu and
                  Richard G. Southwick and
                  Jingyun Zhang and
                  Veeraraghavan S. Basker and
                  Dechao Guo},
  title        = {{TDDB} Reliability in Gate-All-Around Nanosheet},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405204},
  doi          = {10.1109/IRPS46558.2021.9405204},
  timestamp    = {Wed, 28 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZhouWBSWSZBG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZhouZYJLZSYLDZW21,
  author       = {Longda Zhou and
                  Zhaohao Zhang and
                  Hong Yang and
                  Zhigang Ji and
                  Qianqian Liu and
                  Qingzhu Zhang and
                  Eddy Simoen and
                  Huaxiang Yin and
                  Jun Luo and
                  Anyan Du and
                  Chao Zhao and
                  Wenwu Wang},
  title        = {A Fast {DCIV} Technique for Characterizing the Generation and Repassivation
                  of Interface Traps Under {DC/} {AC} {NBTI} Stress/Recovery Condition
                  in Si p-FinFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405105},
  doi          = {10.1109/IRPS46558.2021.9405105},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irps/ZhouZYJLZSYLDZW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irps/ZhuLWASS21,
  author       = {Shengnan Zhu and
                  Tianshi Liu and
                  Marvin H. White and
                  Anant K. Agarwal and
                  Arash Salemi and
                  David Sheridan},
  title        = {Investigation of Gate Leakage Current Behavior for Commercial 1.2
                  kV 4H-SiC Power MOSFETs},
  booktitle    = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021.9405230},
  doi          = {10.1109/IRPS46558.2021.9405230},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/ZhuLWASS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/irps/2021,
  title        = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey,
                  CA, USA, March 21-25, 2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IRPS46558.2021},
  doi          = {10.1109/IRPS46558.2021},
  isbn         = {978-1-7281-6893-7},
  timestamp    = {Wed, 05 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/irps/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics