Search dblp for Publications

export results for "toc:db/conf/iccd/iccd2001.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccd/AdyaM01,
  author       = {Saurabh N. Adya and
                  Igor L. Markov},
  title        = {Fixed-outline Floorplanning through Better Local Search},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {328--334},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955047},
  doi          = {10.1109/ICCD.2001.955047},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AdyaM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AragonGGG01,
  author       = {Juan L. Arag{\'{o}}n and
                  Jos{\'{e}} Gonz{\'{a}}lez and
                  Jos{\'{e}} M. Garc{\'{\i}}a and
                  Antonio Gonz{\'{a}}lez},
  title        = {Selective Branch Prediction Reversal By Correlating with Data Values
                  and Control Flow},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {228--233},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955033},
  doi          = {10.1109/ICCD.2001.955033},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AragonGGG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ArnoldW01,
  author       = {Mark G. Arnold and
                  Mark D. Winkel},
  title        = {A Single-Multiplier Quadratic Interpolator for {LNS} Arithmetic},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {178--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955022},
  doi          = {10.1109/ICCD.2001.955022},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ArnoldW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BalakrishnanPKLC01,
  author       = {Saisanthosh Balakrishnan and
                  Jong Hyuk Park and
                  Hyungsuk Kim and
                  Yu{-}Min Lee and
                  Charlie Chung{-}Ping Chen},
  title        = {Linear Time Hierarchical Capacitance Extraction without Multipole
                  Expansion},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {98--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955010},
  doi          = {10.1109/ICCD.2001.955010},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BalakrishnanPKLC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BalzolaSRGH01,
  author       = {Pablo I. Balzola and
                  Michael J. Schulte and
                  Jie Ruan and
                  C. John Glossner and
                  Erdem Hokenek},
  title        = {Design Alternatives for Parallel Saturating Multioperand Adders},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {172--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955021},
  doi          = {10.1109/ICCD.2001.955021},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BalzolaSRGH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BhavnagarwalaKM01,
  author       = {Azeez J. Bhavnagarwala and
                  Stephen V. Kosonocky and
                  James D. Meindl},
  title        = {Interconnect-centric Array Architectures for Minimum {SRAM} Access
                  Time},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {400--405},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955058},
  doi          = {10.1109/ICCD.2001.955058},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BhavnagarwalaKM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChabiniAS01,
  author       = {Noureddine Chabini and
                  El Mostapha Aboulhamid and
                  Yvon Savaria},
  title        = {Determining Schedules for Reducing Power Consumption Using Multiple
                  Supply Voltages},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {546--552},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955089},
  doi          = {10.1109/ICCD.2001.955089},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChabiniAS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChangH01,
  author       = {Felix Sheng{-}Ho Chang and
                  Alan J. Hu},
  title        = {Fast Specification of Cycle-accurate Processor Models},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {488--492},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955072},
  doi          = {10.1109/ICCD.2001.955072},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChangH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChatterjeeG01,
  author       = {Prosenjit Chatterjee and
                  Ganesh Gopalakrishnan},
  title        = {towards {A} formal Model of Shared Memory Consistency for Intel Itanium\({}^{\mbox{TM}}\)},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {515--518},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955081},
  doi          = {10.1109/ICCD.2001.955081},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChatterjeeG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenPC01,
  author       = {Tai{-}Chen Chen and
                  Song{-}Ra Pan and
                  Yao{-}Wen Chang},
  title        = {Performance Optimization By Wire and Buffer Sizing Under The Transmission
                  Line Model},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {192--198},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955024},
  doi          = {10.1109/ICCD.2001.955024},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenPC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChengH01,
  author       = {Fu{-}Chiung Cheng and
                  Shuen{-}Long Ho},
  title        = {Efficient Systematic Error-correcting Codes for Semi-Delay-Insensitive
                  Data Transmission},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {24--31},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954999},
  doi          = {10.1109/ICCD.2001.954999},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChengH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChoJKJ01,
  author       = {Sangyeun Cho and
                  Wooyoung Jung and
                  Yongchun Kim and
                  Seh{-}Woong Jeong},
  title        = {A Low-Power Cache Design for CalmRISC\({}^{\mbox{TM}}\)-Based Systems},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {394--399},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955057},
  doi          = {10.1109/ICCD.2001.955057},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChoJKJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FallahT01,
  author       = {Farzan Fallah and
                  Koichiro Takayama},
  title        = {A New Functional Test Program Generation Methodology},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {76--81},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955006},
  doi          = {10.1109/ICCD.2001.955006},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FallahT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FiskiranL01,
  author       = {A. Murat Fiskiran and
                  Ruby B. Lee},
  title        = {Performance Impact of Addressing Modes on Encryption Algorithms},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {542--545},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955088},
  doi          = {10.1109/ICCD.2001.955088},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FiskiranL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GaneshC01,
  author       = {Pradeepsunder Ganesh and
                  Charlie Chung{-}Ping Chen},
  title        = {RC-in RC-out Model Order Reduction Accurate up to Second Order Moments},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {505--506},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955077},
  doi          = {10.1109/ICCD.2001.955077},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GaneshC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HansonHAKB01,
  author       = {Heather Hanson and
                  M. S. Hrishikesh and
                  Vikas Agarwal and
                  Stephen W. Keckler and
                  Doug Burger},
  title        = {Static Energy Reduction Techniques for Microprocessor Caches},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {276--283},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955040},
  doi          = {10.1109/ICCD.2001.955040},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HansonHAKB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Harrison01,
  author       = {Lee M. Harrison},
  title        = {Moore's Law Meets Shannon's Law: The Evolution of the Communication's
                  Industry},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {5--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954996},
  doi          = {10.1109/ICCD.2001.954996},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Harrison01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HaskinsS01,
  author       = {John W. Haskins Jr. and
                  Kevin Skadron},
  title        = {Minimal Subset Evaluation: Rapid Warm-Up for Simulated Hardware State},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {32--39},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955000},
  doi          = {10.1109/ICCD.2001.955000},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HaskinsS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HauserP01,
  author       = {James W. Hauser and
                  Carla Neaderhouser Purdy},
  title        = {Efficient Function Approximation for Embedded and {ASIC} Applications},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {507--510},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955078},
  doi          = {10.1109/ICCD.2001.955078},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HauserP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HeydariP01,
  author       = {Payam Heydari and
                  Massoud Pedram},
  title        = {Analysis and Reduction of Capacitive Coupling Noise in High-Speed
                  {VLSI} Circuits},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {104--109},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955011},
  doi          = {10.1109/ICCD.2001.955011},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HeydariP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HeydariP01a,
  author       = {Payam Heydari and
                  Massoud Pedram},
  title        = {Jitter-Induced Power/ground Noise in {CMOS} PLLs: {A} Design Perspective},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {209--213},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955030},
  doi          = {10.1109/ICCD.2001.955030},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HeydariP01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HossainP01,
  author       = {Afzal Hossain and
                  Daniel J. Pease},
  title        = {An Analytical Model for Trace Cache Instruction Fetch Performance},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {477--480},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955069},
  doi          = {10.1109/ICCD.2001.955069},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HossainP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuS01,
  author       = {Jiang Hu and
                  Sachin S. Sapatnekar},
  title        = {Performance Driven Global Routing Through Gradual Refinement},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {481--483},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955070},
  doi          = {10.1109/ICCD.2001.955070},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HungS01,
  author       = {William N. N. Hung and
                  Xiaoyu Song},
  title        = {{BDD} Variable Ordering by Scatter Search},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {368--373},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955053},
  doi          = {10.1109/ICCD.2001.955053},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HungS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/IguchiSM01,
  author       = {Yukihiro Iguchi and
                  Tsutomu Sasao and
                  Munehiro Matsuura},
  title        = {Realization of Multiple-Output Functions by Reconfigurable Cascades},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {388--393},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955056},
  doi          = {10.1109/ICCD.2001.955056},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/IguchiSM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JaleelJ01,
  author       = {Aamer Jaleel and
                  Bruce L. Jacob},
  title        = {In-Line Interrupt Handling for Software-Managed TLBs},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {62--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955004},
  doi          = {10.1109/ICCD.2001.955004},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JaleelJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JeppensenAAP01,
  author       = {James Jeppensen and
                  Walt Allen and
                  Steve Anderson and
                  Michael Pilsl},
  title        = {Hard Disk Controller: The Disk Drive's Brain and Body},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {262--267},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955038},
  doi          = {10.1109/ICCD.2001.955038},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JeppensenAAP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimLK01,
  author       = {Hong{-}Sik Kim and
                  Jin{-}kyue Lee and
                  Sungho Kang},
  title        = {A Heuristic for Multiple Weight Set Generation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {513--514},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955080},
  doi          = {10.1109/ICCD.2001.955080},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimLK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimS01,
  author       = {Seongwoo Kim and
                  Arun K. Somani},
  title        = {On-Line Integrity Monitoring of Microprocessor Control Logic},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {314--321},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955045},
  doi          = {10.1109/ICCD.2001.955045},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimVKI01,
  author       = {Hyun Suk Kim and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  title        = {A Framework for Energy Estimation of {VLIW} Architecture},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {40--45},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955001},
  doi          = {10.1109/ICCD.2001.955001},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimVKI01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KingQLGM01,
  author       = {Laurie A. Smith King and
                  Heather Quinn and
                  Miriam Leeser and
                  Demetris G. Galatopoullos and
                  Elias S. Manolakos},
  title        = {Run-Time Execution of Reconfigurable Hardware in a Java Environment},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {380--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955055},
  doi          = {10.1109/ICCD.2001.955055},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KingQLGM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KobayashiSSKSON01,
  author       = {Hiroaki Kobayashi and
                  Ken{-}ichi Suzuki and
                  Kentaro Sano and
                  Yoshiyuki Kaeriyama and
                  Yasumasa Saida and
                  Nobuyuki Oba and
                  Tadao Nakamura},
  title        = {3DCGiRAM: An Intelligent Memory Architecture for Photo-Realistic Image
                  Synthesis},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {462--467},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955066},
  doi          = {10.1109/ICCD.2001.955066},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KobayashiSSKSON01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LaiB01,
  author       = {Shih{-}Yih Lai and
                  Ross Baldick},
  title        = {Buffered Interconnect Tree Optimization Using Lagrangian Relaxation
                  and Dynamic Programming},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {199--207},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955025},
  doi          = {10.1109/ICCD.2001.955025},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LaiB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeHBK01,
  author       = {Jaesik Lee and
                  Yoonjong Huh and
                  Peter Bendix and
                  Sung{-}Mo Kang},
  title        = {Understanding and Addressing the Noise Induced By Electrostatic Discharge
                  in Multiple Power Supply Systems},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {406--414},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955059},
  doi          = {10.1109/ICCD.2001.955059},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeHBK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeLJK01,
  author       = {Jung{-}Hoon Lee and
                  Jang{-}Soo Lee and
                  Seh{-}Woong Jeong and
                  Shin{-}Dug Kim},
  title        = {A Banked-Promotion {TLB} for High Performance and Low Power},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {118--123},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955013},
  doi          = {10.1109/ICCD.2001.955013},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeLJK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LimayeRS01,
  author       = {Deepak Limaye and
                  Ryan N. Rakvic and
                  John Paul Shen},
  title        = {Parallel Cachelets},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {284--292},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955041},
  doi          = {10.1109/ICCD.2001.955041},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LimayeRS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinRBP01,
  author       = {Wei{-}Fen Lin and
                  Steven K. Reinhardt and
                  Doug Burger and
                  Thomas R. Puzak},
  title        = {Filtering Superfluous Prefetches Using Density Vectors},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {124--132},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955014},
  doi          = {10.1109/ICCD.2001.955014},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinRBP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LorenzLMDF01,
  author       = {Markus Lorenz and
                  Rainer Leupers and
                  Peter Marwedel and
                  Thorsten Dr{\"{a}}ger and
                  Gerhard P. Fettweis},
  title        = {Low-Energy {DSP} Code Generation Using a Genetic Algorithm},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {431--437},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955062},
  doi          = {10.1109/ICCD.2001.955062},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LorenzLMDF01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LungeanuS01,
  author       = {Dragos Lungeanu and
                  C.{-}J. Richard Shi},
  title        = {Distributed Event-Driven Simulation of {VHDL-SPICE} Mixed-Signal Circuits},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {302--307},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955043},
  doi          = {10.1109/ICCD.2001.955043},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LungeanuS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MaPH01,
  author       = {James D. Z. Ma and
                  Arvind Parihar and
                  Lei He},
  title        = {Pre-routing Estimation of Shielding for {RLC} Signal Integrity},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {553--556},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955090},
  doi          = {10.1109/ICCD.2001.955090},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MaPH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MaPPL01,
  author       = {Qianrong Ma and
                  Jih{-}Kwon Peir and
                  Lu Peng and
                  Konrad Lai},
  title        = {Symbolic Cache: Fast Memory Access Based on Program Syntax Correlation
                  of Loads and Stores},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {54--61},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955003},
  doi          = {10.1109/ICCD.2001.955003},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/MaPPL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MantheS01,
  author       = {Alicia Manthe and
                  C.{-}J. Richard Shi},
  title        = {Lower Bound Based {DDD} Minimization for Efficient Symbolic Circuit
                  Analysis},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {374--379},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955054},
  doi          = {10.1109/ICCD.2001.955054},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MantheS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ManzakC01,
  author       = {Ali Manzak and
                  Chaitali Chakrabarti},
  title        = {Voltage Scaling for Energy Minimization with QoS Constraints},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {438--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955063},
  doi          = {10.1109/ICCD.2001.955063},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ManzakC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/McFearinM01,
  author       = {Lee D. McFearin and
                  David W. Matula},
  title        = {Selecting {A} Well Distributed Hard Case Test Suite for {IEEE} Standard
                  Floating Point Division},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {89--97},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955008},
  doi          = {10.1109/ICCD.2001.955008},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/McFearinM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/McGregorL01,
  author       = {John Patrick McGregor and
                  Ruby B. Lee},
  title        = {Architectural Enhancements for Fast Subword Permutations with Repetitions
                  in Cryptographic Applications},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {453--461},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955065},
  doi          = {10.1109/ICCD.2001.955065},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/McGregorL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MeinelS01,
  author       = {Christoph Meinel and
                  Christian Stangier},
  title        = {Hierarchical Image Computation with Dynamic Conjunction Scheduling},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {354--359},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955051},
  doi          = {10.1109/ICCD.2001.955051},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MeinelS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MoTB01,
  author       = {Fan Mo and
                  Abdallah Tabbara and
                  Robert K. Brayton},
  title        = {A Timing-Driven Macro-Cell Placement Algorithm},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {322--327},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955046},
  doi          = {10.1109/ICCD.2001.955046},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MoTB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NagaG01,
  author       = {Halima El Naga and
                  Jean{-}Luc Gaudiot},
  title        = {{MCOMA:} {A} Multithreaded {COMA} Architecture},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {523--525},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955083},
  doi          = {10.1109/ICCD.2001.955083},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NagaG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NedovicAO01,
  author       = {Nikola Nedovic and
                  Marko Aleksic and
                  Vojin G. Oklobdzija},
  title        = {Timing Characterization of Dual-edge Triggered Flip-flops},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {538--541},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955087},
  doi          = {10.1109/ICCD.2001.955087},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NedovicAO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Neumann01,
  author       = {Karl{-}Thomas Neumann},
  title        = {The In-Car Computing Network: An Embedded Systems Challenge},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {3},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954994},
  doi          = {10.1109/ICCD.2001.954994},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Neumann01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Pelz01,
  author       = {Georg Pelz},
  title        = {Designing Circuits for Disk Drives},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {256--261},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955037},
  doi          = {10.1109/ICCD.2001.955037},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Pelz01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PloogFT01,
  author       = {Hagen Ploog and
                  Sebastian Fl{\"{u}}gel and
                  Dirk Timmermann},
  title        = {Improved ZDN-arithmetic for Fast Modulo Multiplication},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {166--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955020},
  doi          = {10.1109/ICCD.2001.955020},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PloogFT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PomeranzR01,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {{COREL:} {A} Dynamic Compaction Procedure for Synchronous Sequential
                  Circuits with Repetition and Local Static Compaction},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {142--147},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955016},
  doi          = {10.1109/ICCD.2001.955016},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PomeranzR01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PomeranzR01a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {A Partitioning and Storage Based Built-in Test Pattern Generation
                  Method for Synchronous Sequential Circuits},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {148--153},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955017},
  doi          = {10.1109/ICCD.2001.955017},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PomeranzR01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RadeckaZ01,
  author       = {Katarzyna Radecka and
                  Zeljko Zilic},
  title        = {Arithmetic Transforms for Verifying Compositions of Sequential Datapaths},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {348--353},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955050},
  doi          = {10.1109/ICCD.2001.955050},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RadeckaZ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RamanujamRL01,
  author       = {Rajesh Ramanujam and
                  Murali Ravirala and
                  Gyungho Lee},
  title        = {Alloyed Path-pattern Scheme for Branch Prediction},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {534--537},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955086},
  doi          = {10.1109/ICCD.2001.955086},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/RamanujamRL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ReeseTT01,
  author       = {Robert B. Reese and
                  Mitchell A. Thornton and
                  Cherrice Traver},
  title        = {Arithmetic Logic Circuits Using Self-Timed Bit Level Dataflow and
                  Early Evaluation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {18--23},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954998},
  doi          = {10.1109/ICCD.2001.954998},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ReeseTT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ReungsangPJRL01,
  author       = {Pipat Reungsang and
                  Sun Kyu Park and
                  Seh{-}Woong Jeong and
                  Hyung{-}Lae Roh and
                  Gyungho Lee},
  title        = {Reducing Cache Pollution of Prefetching in a Small Data Cache},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {530--533},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955085},
  doi          = {10.1109/ICCD.2001.955085},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ReungsangPJRL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Saint-LaurentSM01,
  author       = {Martin Saint{-}Laurent and
                  Madhavan Swaminathan and
                  James D. Meindl},
  title        = {On The Micro-architectural Impact of Clock Distribution Using Multiple
                  PLLs},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {214--220},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955031},
  doi          = {10.1109/ICCD.2001.955031},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Saint-LaurentSM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SaitYKE01,
  author       = {Sadiq M. Sait and
                  Habib Youssef and
                  Junaid A. Khan and
                  Aiman H. El{-}Maleh},
  title        = {Fuzzified Iterative Algorithms for Performance Driven Low Power {VLSI}
                  Placement},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {484--487},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955071},
  doi          = {10.1109/ICCD.2001.955071},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SaitYKE01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SankaranarayananT01,
  author       = {Vadhiraj Sankaranarayanan and
                  Akhilesh Tyagi},
  title        = {A Hierarchical Dependence Check and Folded Rename Mapping Based Scalable
                  Dispatch Stage},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {249--255},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955036},
  doi          = {10.1109/ICCD.2001.955036},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SankaranarayananT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Sereinig01,
  author       = {Wolfgang Sereinig},
  title        = {Motion-Control: The Power Side of Disk Drives},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {268--275},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955039},
  doi          = {10.1109/ICCD.2001.955039},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Sereinig01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShangJ01,
  author       = {Li Shang and
                  Niraj K. Jha},
  title        = {High-Level Power Modeling of CPLDs and FPGAs},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {46--53},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955002},
  doi          = {10.1109/ICCD.2001.955002},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShangJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Shen01,
  author       = {John Paul Shen},
  title        = {Clear and Present Tensions in Microprocessor Design},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {4},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954995},
  doi          = {10.1109/ICCD.2001.954995},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Shen01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShepardZ01,
  author       = {Kenneth L. Shepard and
                  Yu Zheng},
  title        = {On-Chip Oscilloscopes for Noninvasive Time-domain Measurement of Waveforms},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {221--227},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955032},
  doi          = {10.1109/ICCD.2001.955032},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShepardZ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShinKP01,
  author       = {Myoung{-}Cheol Shin and
                  Se{-}Hyeon Kang and
                  In{-}Cheol Park},
  title        = {An Area-Efficient Iterative Modified-Booth Multiplier Based on Self-Timed
                  Clocking},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {511--512},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955079},
  doi          = {10.1109/ICCD.2001.955079},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShinKP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SimaCVEA01,
  author       = {Mihai Sima and
                  Sorin Cotofana and
                  Stamatis Vassiliadis and
                  Jos T. J. van Eijndhoven and
                  Kees A. Vissers},
  title        = {{MPEG} Macroblock Parsing and Pel Reconstruction On An FPGA-Augmented
                  TriMedia Processor},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {425--430},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955061},
  doi          = {10.1109/ICCD.2001.955061},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SimaCVEA01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SinghN01,
  author       = {Montek Singh and
                  Steven M. Nowick},
  title        = {{MOUSETRAP:} Ultra-High-Speed Transition-Signaling Asynchronous Pipelines},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {9--17},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.954997},
  doi          = {10.1109/ICCD.2001.954997},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SinghN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SolihinCLLG01,
  author       = {Yan Solihin and
                  Kirk W. Cameron and
                  Yong Luo and
                  Dominique Lavenier and
                  Maya B. Gokhale},
  title        = {Mutable Functional Units and Their Applications on Microprocessors},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {234--239},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955034},
  doi          = {10.1109/ICCD.2001.955034},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SolihinCLLG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Srisa-anLC01,
  author       = {Witawas Srisa{-}an and
                  Chia{-}Tien Dan Lo and
                  J. Morris Chang},
  title        = {A Performance Analysis of the Active Memory System},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {493--496},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955073},
  doi          = {10.1109/ICCD.2001.955073},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Srisa-anLC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TakahashiHO01,
  author       = {Masao Takahashi and
                  Masanori Hashimoto and
                  Hidetoshi Onodera},
  title        = {Crosstalk Noise Estimation for Generic {RC} Trees},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {110--117},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955012},
  doi          = {10.1109/ICCD.2001.955012},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TakahashiHO01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TallaJ01,
  author       = {Deependra Talla and
                  Lizy Kurian John},
  title        = {Cost-effective Hardware Acceleration of Multimedia Applications},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {415--424},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955060},
  doi          = {10.1109/ICCD.2001.955060},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TallaJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TamVTD01,
  author       = {Edward S. Tam and
                  Stevan A. Vlaovic and
                  Gary S. Tyson and
                  Edward S. Davidson},
  title        = {Allocation by Conflict: {A} Simple Effective Multilateral Cache Management
                  Scheme},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {133--141},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955015},
  doi          = {10.1109/ICCD.2001.955015},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TamVTD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TangGN01,
  author       = {Weiyu Tang and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {Design of a Predictive Filter Cache for Energy Savings in High Performance
                  Processor Architectures},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {68--75},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955005},
  doi          = {10.1109/ICCD.2001.955005},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TangGN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TasiranFCWK01,
  author       = {Serdar Tasiran and
                  Farzan Fallah and
                  David G. Chinnery and
                  Scott J. Weber and
                  Kurt Keutzer},
  title        = {A Functional Validation Technique: Biased-Random Simulation Guided
                  by Observability-Based Coverage},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {82--88},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955007},
  doi          = {10.1109/ICCD.2001.955007},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/TasiranFCWK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ThakarL01,
  author       = {Bhooshan S. Thakar and
                  Gyungho Lee},
  title        = {Access Region Cache: {A} Multi-Porting Solution for Future Wide-Issue
                  Processors},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {293--301},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955042},
  doi          = {10.1109/ICCD.2001.955042},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ThakarL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TomarKVKI01,
  author       = {Samarjeet Singh Tomar and
                  Hyun Suk Kim and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  title        = {Use of Local Memory for Efficient Java Execution},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {468--476},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955067},
  doi          = {10.1109/ICCD.2001.955067},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TomarKVKI01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VarshneyVSK01,
  author       = {Amit K. Varshney and
                  Bapiraju Vinnakota and
                  Eric Skuldt and
                  Brion L. Keller},
  title        = {High Performance Parallel Fault Simulation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {308--313},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955044},
  doi          = {10.1109/ICCD.2001.955044},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VarshneyVSK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WhiteCWD01,
  author       = {Jennifer L. White and
                  Moon{-}Jung Chung and
                  Anthony S. Wojcik and
                  Travis E. Doom},
  title        = {Efficient Algorithms for Subcircuit Enumeration and Classification
                  for the Module Identification Problem},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {519--522},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955082},
  doi          = {10.1109/ICCD.2001.955082},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WhiteCWD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WiresSS01,
  author       = {Kent E. Wires and
                  Michael J. Schulte and
                  James E. Stine},
  title        = {Combined {IEEE} Compliant and Truncated Floating Point Multipliers
                  for Reduced Power Dissipation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {497--500},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955074},
  doi          = {10.1109/ICCD.2001.955074},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WiresSS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WuLC01,
  author       = {Guang{-}Ming Wu and
                  Jai{-}Ming Lin and
                  Yao{-}Wen Chang},
  title        = {An Algorithm for Dynamically Reconfigurable {FPGA} Placement},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {501--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955075},
  doi          = {10.1109/ICCD.2001.955075},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WuLC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WuLCC01,
  author       = {Guang{-}Ming Wu and
                  Jai{-}Ming Lin and
                  Mango Chia{-}Tso Chao and
                  Yao{-}Wen Chang},
  title        = {Generic ILP-Based Approaches for Dynamically Reconfigurable {FPGA}
                  Partitioning},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {335--347},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955048},
  doi          = {10.1109/ICCD.2001.955048},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WuLCC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XiangX01,
  author       = {Dong Xiang and
                  Yi Xu},
  title        = {Cost-Effective Non-Scan Design for Testability for Actual Testability
                  Improvement},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {154--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955018},
  doi          = {10.1109/ICCD.2001.955018},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XiangX01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XiaoM01,
  author       = {Tong Xiao and
                  Malgorzata Marek{-}Sadowska},
  title        = {Gate Sizing to Eliminate Crosstalk Induced Timing Violation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {186--191},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955023},
  doi          = {10.1109/ICCD.2001.955023},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XiaoM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangS01,
  author       = {Jin Yang and
                  Carl{-}Johan H. Seger},
  title        = {Introduction to Generalized Symbolic Trajectory Evaluation},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {360--367},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955052},
  doi          = {10.1109/ICCD.2001.955052},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YangS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZarrinehZM01,
  author       = {Kamran Zarrineh and
                  Thomas A. Ziaja and
                  Amitava Majumdar},
  title        = {Automatic Generation and Validation of Memory Test Models for High
                  Performance Microprocessors},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {526--529},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955084},
  doi          = {10.1109/ICCD.2001.955084},
  timestamp    = {Thu, 18 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZarrinehZM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhaoL01,
  author       = {Qing Zhao and
                  David J. Lilja},
  title        = {Compiler-Directed Classification of Value Locality Behavior},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {240--248},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955035},
  doi          = {10.1109/ICCD.2001.955035},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhaoL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhaoMWMM01,
  author       = {Ying Zhao and
                  Sharad Malik and
                  Albert R. Wang and
                  Matthew W. Moskewicz and
                  Conor F. Madigan},
  title        = {Matching Architecture to Application Via Configurable Processors:
                  {A} Case Study with Boolean Satisfiability Problem},
  booktitle    = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  pages        = {447--452},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICCD.2001.955064},
  doi          = {10.1109/ICCD.2001.955064},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhaoMWMM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccd/2001,
  title        = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI}
                  in Computers and Processors, 23-26 September 2001, Austin, TX, USA,
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7577/proceeding},
  isbn         = {0-7695-1200-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/2001.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics