Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2018.bht:"
@inproceedings{DBLP:conf/iccad/0001LS18, author = {Abhishek Chakraborty and Yuntao Liu and Ankur Srivastava}, editor = {Iris Bahar}, title = {TimingSAT: timing profile embedded {SAT} attack}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240857}, doi = {10.1145/3240765.3240857}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/0001LS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AmaruTCZMS18, author = {Luca G. Amar{\`{u}} and Eleonora Testa and Miguel Couceiro and Odysseas Zografos and Giovanni De Micheli and Mathias Soeken}, editor = {Iris Bahar}, title = {Majority logic synthesis}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {79}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3267501}, doi = {10.1145/3240765.3267501}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AmaruTCZMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AmrouchSH18, author = {Hussam Amrouch and Victor M. van Santen and J{\"{o}}rg Henkel}, editor = {Iris Bahar}, title = {Estimating and optimizing {BTI} aging effects: from physics to {CAD}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {125}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243475}, doi = {10.1145/3240765.3243475}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AmrouchSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AndradeGPS18, author = {Gabriel A. G. Andrade and Marleson Graf and N{\'{\i}}colas Pfeifer and Luiz C. V. dos Santos}, editor = {Iris Bahar}, title = {Steep coverage-ascent directed test generation for shared-memory verification of multicore chips}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {29}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240852}, doi = {10.1145/3240765.3240852}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AndradeGPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AngiziHF18, author = {Shaahin Angizi and Zhezhi He and Deliang Fan}, editor = {Iris Bahar}, title = {{DIMA:} a depthwise {CNN} in-memory accelerator}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {122}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240799}, doi = {10.1145/3240765.3240799}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AngiziHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AustinBKMT18, author = {Todd M. Austin and Valeria Bertacco and Baris Kasikci and Sharad Malik and Mohit Tiwari}, editor = {Iris Bahar}, title = {Vulnerability-tolerant secure architectures}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {46}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3273057}, doi = {10.1145/3240765.3273057}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AustinBKMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhatDCSO18, author = {Ganapati Bhat and Ranadeep Deb and Vatika Vardhan Chaurasia and Holly Shill and {\"{U}}mit Y. Ogras}, editor = {Iris Bahar}, title = {Online human activity recognition using low-power wearable devices}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {72}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240833}, doi = {10.1145/3240765.3240833}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhatDCSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhatMGO18, author = {Ganapati Bhat and Sumit K. Mandal and Ujjwal Gupta and {\"{U}}mit Y. Ogras}, editor = {Iris Bahar}, title = {Online learning for adaptive optimization of heterogeneous SoCs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {61}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243489}, doi = {10.1145/3240765.3243489}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhatMGO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BigalkeLJSJ18, author = {Steve Bigalke and Jens Lienig and G{\"{o}}ran Jerke and J{\"{u}}rgen Scheible and Roland Jancke}, editor = {Iris Bahar}, title = {The need and opportunities of electromigration-aware integrated circuit design}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {96}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3265971}, doi = {10.1145/3240765.3265971}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BigalkeLJSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CammarotaBR18, author = {Rosario Cammarota and Indranil Banerjee and Ofer Rosenberg}, editor = {Iris Bahar}, title = {Machine learning {IP} protection}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {19}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3270589}, doi = {10.1145/3240765.3270589}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CammarotaBR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCPW18, author = {Pengwen Chen and Chung{-}Kuan Cheng and Dongwon Park and Xinyuan Wang}, editor = {Iris Bahar}, title = {Transient circuit simulation for differential algebraic systems using matrix exponential}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {99}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3264636}, doi = {10.1145/3240765.3264636}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenCPW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenL18, author = {Fan Chen and Hai Li}, editor = {Iris Bahar}, title = {{EMAT:} an efficient multi-task architecture for transfer learning using ReRAM}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {33}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240805}, doi = {10.1145/3240765.3240805}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSC18, author = {Shih{-}Chun Chen and Richard Sun and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Simultaneous partitioning and signals grouping for time-division multiplexing in 2.5D FPGA-based systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240847}, doi = {10.1145/3240765.3240847}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenSX18, author = {Qian Chen and Azizeh Khaled Sowan and Shouhuai Xu}, editor = {Iris Bahar}, title = {A safety and security architecture for reducing accidents in intelligent transportation systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {95}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243462}, doi = {10.1145/3240765.3243462}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenSX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenTZS18, author = {Baixin Chen and Umamaheswara Rao Tida and Cheng Zhuo and Yiyu Shi}, editor = {Iris Bahar}, title = {Modeling and optimization of magnetic core TSV-inductor for on-chip {DC-DC} converter}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {16}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240829}, doi = {10.1145/3240765.3240829}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenTZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenY0ZC18, author = {Jianli Chen and Li Yang and Zheng Peng and Wenxing Zhu and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Novel proximal group {ADMM} for placement considering fogging and proximity effects}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {3}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240832}, doi = {10.1145/3240765.3240832}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenY0ZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenYLZC18, author = {Jianli Chen and Peng Yang and Xingquan Li and Wenxing Zhu and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Mixed-cell-height placement with complex minimum-implant-area constraints}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {66}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240828}, doi = {10.1145/3240765.3240828}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenYLZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengDHCSCPCWJ18, author = {An{-}Chieh Cheng and Jin{-}Dong Dong and Chi{-}Hung Hsu and Shu{-}Huan Chang and Min Sun and Shih{-}Chieh Chang and Jia{-}Yu Pan and Yu{-}Ting Chen and Wei Wei and Da{-}Cheng Juan}, editor = {Iris Bahar}, title = {Searching toward pareto-optimal device-aware neural architectures}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {136}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243494}, doi = {10.1145/3240765.3243494}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChengDHCSCPCWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengHMW18, author = {Yu{-}Hsiang Cheng and Ding{-}Wei Huang and Wai{-}Kei Mak and Ting{-}Chi Wang}, editor = {Iris Bahar}, title = {A practical detailed placement algorithm under multi-cell spacing constraints}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {63}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240772}, doi = {10.1145/3240765.3240772}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChengHMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengTH18, author = {Pei{-}Yi Cheng and Kazuyoshi Takagi and Tsung{-}Yi Ho}, editor = {Iris Bahar}, title = {Multi-terminal routing with length-matching for rapid single flux quantum circuits}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {111}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243487}, doi = {10.1145/3240765.3243487}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChengTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiCWZ18, author = {Yuze Chi and Jason Cong and Peng Wei and Peipei Zhou}, editor = {Iris Bahar}, title = {{SODA:} stencil with optimized dataflow architecture}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {116}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240850}, doi = {10.1145/3240765.3240850}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChiCWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiJ18, author = {Chia{-}Chih Chi and Jie{-}Hong R. Jiang}, editor = {Iris Bahar}, title = {Logic synthesis of binarized neural networks for efficient circuit implementation}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {84}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240822}, doi = {10.1145/3240765.3240822}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChiJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiC18, author = {Young{-}kyu Choi and Jason Cong}, editor = {Iris Bahar}, title = {HLS-based optimization and design space exploration for applications with variable loop bounds}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {103}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240815}, doi = {10.1145/3240765.3240815}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChoiC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongW18, author = {Jason Cong and Jie Wang}, editor = {Iris Bahar}, title = {PolySA: polyhedral-based systolic array auto-compilation}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {117}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240838}, doi = {10.1145/3240765.3240838}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CongW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoskunEJKMS18, author = {Ayse K. Coskun and Furkan Eris and Ajay Joshi and Andrew B. Kahng and Yenai Ma and Vaishnav Srinivas}, editor = {Iris Bahar}, title = {A cross-layer methodology for design and optimization of networks in 2.5D systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {101}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240768}, doi = {10.1145/3240765.3240768}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CoskunEJKMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CuiZ18, author = {Chunfeng Cui and Zheng Zhang}, editor = {Iris Bahar}, title = {Uncertainty quantification of electronic and photonic ICs with non-Gaussian correlated process variations}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {97}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240860}, doi = {10.1145/3240765.3240860}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CuiZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DARH18, author = {Sai Manoj P. D. and Sairaj Amberkar and Setareh Rafatirad and Houman Homayoun}, editor = {Iris Bahar}, title = {Efficient utilization of adversarial training towards robust machine learners and its analysis}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {78}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3267502}, doi = {10.1145/3240765.3267502}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DARH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DessoukyA0S18, author = {Ghada Dessouky and Tigist Abera and Ahmad Ibrahim and Ahmad{-}Reza Sadeghi}, editor = {Iris Bahar}, title = {LiteHAX: lightweight hardware-assisted attestation of program execution}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {106}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240821}, doi = {10.1145/3240765.3240821}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DessoukyA0S18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DoiYH18, author = {Ryutaro Doi and Jaehoon Yu and Masanori Hashimoto}, editor = {Iris Bahar}, title = {Sneak path free reconfiguration of via-switch crossbars based {FPGA}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {68}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240849}, doi = {10.1145/3240765.3240849}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DoiYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DutraBS18, author = {Rafael Dutra and Jonathan Bachrach and Koushik Sen}, editor = {Iris Bahar}, title = {SMTSampler: efficient stimulus generation from complex {SMT} constraints}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {30}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240848}, doi = {10.1145/3240765.3240848}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DutraBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DuttaYZHJ18, author = {Raj Gautam Dutta and Feng Yu and Teng Zhang and Yaodan Hu and Yier Jin}, editor = {Iris Bahar}, title = {Security for safety: a path toward building trusted autonomous vehicles}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {92}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243496}, doi = {10.1145/3240765.3243496}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DuttaYZHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EchavarriaWT18, author = {Jorge Echavarria and Stefan Wildermann and J{\"{u}}rgen Teich}, editor = {Iris Bahar}, title = {Design space exploration of multi-output logic function approximations}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {52}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240795}, doi = {10.1145/3240765.3240795}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/EchavarriaWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FanWG18, author = {Xin Fan and Rui Wang and Tobias Gemmeke}, editor = {Iris Bahar}, title = {Physical modeling of bitcell stability in subthreshold SRAMs for leakage-area optimization under {PVT} variations}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {38}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240836}, doi = {10.1145/3240765.3240836}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FanWG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangLSLF18, author = {Yen{-}Chun Fang and Heng{-}Yi Lin and Min{-}Yan Su and Chien{-}Mo James Li and Eric Jia{-}Wei Fang}, editor = {Iris Bahar}, title = {Machine-learning-based dynamic {IR} drop prediction for {ECO}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {17}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240823}, doi = {10.1145/3240765.3240823}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/FangLSLF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Fourie18, author = {Coenrad J. Fourie}, editor = {Iris Bahar}, title = {Single flux quantum circuit technology and {CAD} overview}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {109}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243498}, doi = {10.1145/3240765.3243498}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Fourie18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GeierFC18, author = {Martin Geier and Fabian Franzen and Samarjit Chakraborty}, editor = {Iris Bahar}, title = {Hardware-accelerated data acquisition and authentication for high-speed video streams on future heterogeneous automotive processing platforms}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {93}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243478}, doi = {10.1145/3240765.3243478}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GeierFC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GreathouseL18, author = {Joseph L. Greathouse and Gabriel H. Loh}, editor = {Iris Bahar}, title = {Machine learning for performance and power modeling of heterogeneous systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {47}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243484}, doi = {10.1145/3240765.3243484}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GreathouseL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuoP18, author = {Jia Guo and Miodrag Potkonjak}, editor = {Iris Bahar}, title = {Watermarking deep neural networks for embedded systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {133}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240862}, doi = {10.1145/3240765.3240862}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuoP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaIR18, author = {Saransh Gupta and Mohsen Imani and Tajana Rosing}, editor = {Iris Bahar}, title = {{FELIX:} fast and energy-efficient logic in memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {55}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240811}, doi = {10.1145/3240765.3240811}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GuptaIR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GyotenHS18, author = {Hidenori Gyoten and Masayuki Hiromoto and Takashi Sato}, editor = {Iris Bahar}, title = {Enhancing the solution quality of hardware ising-model solver via parallel tempering}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {70}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240806}, doi = {10.1145/3240765.3240806}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GyotenHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HenkelTWA18, author = {J{\"{o}}rg Henkel and J{\"{u}}rgen Teich and Stefan Wildermann and Hussam Amrouch}, editor = {Iris Bahar}, title = {Dynamic resource management for heterogeneous many-cores}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {60}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243471}, doi = {10.1145/3240765.3243471}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HenkelTWA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HerbstLH18, author = {Steven Herbst and ByongChan Lim and Mark Horowitz}, editor = {Iris Bahar}, title = {Fast {FPGA} emulation of analog dynamics in digitally-driven systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {131}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240808}, doi = {10.1145/3240765.3240808}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HerbstLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuAGWK18, author = {Wei Hu and Armaiti Ardeshiricham and Mustafa S. Gobulukoglu and Xinmu Wang and Ryan Kastner}, editor = {Iris Bahar}, title = {Property specific information flow analysis for hardware security verification}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {89}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240839}, doi = {10.1145/3240765.3240839}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuAGWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuLH18, author = {Hanbin Hu and Peng Li and Jianhua Z. Huang}, editor = {Iris Bahar}, title = {Parallelizable Bayesian optimization for analog and mixed-signal rare failure detection with high coverage}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {98}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240835}, doi = {10.1145/3240765.3240835}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuMS18, author = {Yong Hu and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann}, editor = {Iris Bahar}, title = {Wavefront-MCTS: multi-objective design space exploration of NoC architectures based on Monte Carlo tree search}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {102}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240863}, doi = {10.1145/3240765.3240863}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IslamO18, author = {A. K. M. Mahfuzul Islam and Hidetoshi Onodera}, editor = {Iris Bahar}, title = {PVT\({}^{\mbox{2}}\): process, voltage, temperature and time-dependent variability in scaled {CMOS} process}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {126}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243491}, doi = {10.1145/3240765.3243491}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IslamO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JayasankaranSSH18, author = {Nithyashankari Gummidipoondi Jayasankaran and Adriana C. Sanabria{-}Borbon and Edgar S{\'{a}}nchez{-}Sinencio and Jiang Hu and Jeyavijayan Rajendran}, editor = {Iris Bahar}, title = {Towards provably-secure analog and mixed-signal locking against overproduction}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {7}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240858}, doi = {10.1145/3240765.3240858}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JayasankaranSSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangC18, author = {Bing{-}Hui Jiang and Hung{-}Ming Chen}, editor = {Iris Bahar}, title = {Extending {ML-OARSMT} to net open locator with efficient and effective boolean operations}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {83}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240807}, doi = {10.1145/3240765.3240807}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JiangC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangDSZ18, author = {Zhenghong Jiang and Steve Dai and G. Edward Suh and Zhiru Zhang}, editor = {Iris Bahar}, title = {High-level synthesis with timing-sensitive information flow enforcement}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {88}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243415}, doi = {10.1145/3240765.3243415}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangDSZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JinZCG18, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, editor = {Iris Bahar}, title = {Failure prediction based on anomaly detection for complex core routers}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {49}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243476}, doi = {10.1145/3240765.3243476}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JinZCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JoardarDPMM18, author = {Biresh Kumar Joardar and Janardhan Rao Doppa and Partha Pratim Pande and Diana Marculescu and Radu Marculescu}, editor = {Iris Bahar}, title = {Hybrid on-chip communication architectures for heterogeneous manycore systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {62}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243480}, doi = {10.1145/3240765.3243480}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JoardarDPMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JungJCLLKN18, author = {Jinwook Jung and Iris Hui{-}Ru Jiang and Jianli Chen and Shih{-}Ting Lin and Yih{-}Lang Li and Victor N. Kravets and Gi{-}Joon Nam}, editor = {Iris Bahar}, title = {{DATC} {RDF:} an academic flow from logic synthesis to detailed routing}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {37}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3272126}, doi = {10.1145/3240765.3272126}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JungJCLLKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngWX18, author = {Andrew B. Kahng and Lutong Wang and Bangqi Xu}, editor = {Iris Bahar}, title = {TritonRoute: an initial detailed router for advanced {VLSI} technologies}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {81}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240766}, doi = {10.1145/3240765.3240766}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KahngWX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KangKBEH18, author = {Duseok Kang and Euiseok Kim and Inpyo Bae and Bernhard Egger and Soonhoi Ha}, editor = {Iris Bahar}, title = {{C-GOOD:} C-code generation framework for optimized on-device deep learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {105}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240786}, doi = {10.1145/3240765.3240786}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KangKBEH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KhouzaniLY18, author = {Hoda Aghaei Khouzani and Chen Liu and Chengmo Yang}, editor = {Iris Bahar}, title = {Architecting data placement in SSDs for efficient secure deletion implementation}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {42}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240780}, doi = {10.1145/3240765.3240780}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KhouzaniLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimDP18, author = {Ryan Gary Kim and Janardhan Rao Doppa and Partha Pratim Pande}, editor = {Iris Bahar}, title = {Machine learning for design space exploration and optimization of manycore systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {48}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243483}, doi = {10.1145/3240765.3243483}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KimDP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoLM18, author = {Hou{-}Jen Ko and Zhiyuan Li and Samuel P. Midkiff}, editor = {Iris Bahar}, title = {Optimizing data layout and system configuration on FPGA-based heterogeneous platforms}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {119}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240834}, doi = {10.1145/3240765.3240834}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KoLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KosmidisMJVC18, author = {Leonidas Kosmidis and Cristian Maxim and Victor J{\'{e}}gu and Francis Vatrinet and Francisco J. Cazorla}, editor = {Iris Bahar}, title = {Industrial experiences with resource management under software randomization in {ARINC653} avionics environments}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {108}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240818}, doi = {10.1145/3240765.3240818}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KosmidisMJVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuLJLL18, author = {Bon Woong Ku and Yu Liu and Yingyezhe Jin and Peng Li and Sung Kyu Lim}, editor = {Iris Bahar}, title = {Area-efficient and low-power face-to-face-bonded 3D liquid state machine design}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {121}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3264695}, doi = {10.1145/3240765.3264695}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KuLJLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaeuferKKBS18, author = {Kevin Laeufer and Jack Koenig and Donggyu Kim and Jonathan Bachrach and Koushik Sen}, editor = {Iris Bahar}, title = {{RFUZZ:} coverage-directed fuzz testing of {RTL} on FPGAs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {28}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240842}, doi = {10.1145/3240765.3240842}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LaeuferKKBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LaiS18, author = {Liangzhen Lai and Naveen Suda}, editor = {Iris Bahar}, title = {Enabling deep learning at the IoT edge}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {135}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243473}, doi = {10.1145/3240765.3243473}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LaiS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeLJ18, author = {Siang{-}Yun Lee and Nian{-}Ze Lee and Jie{-}Hong R. Jiang}, editor = {Iris Bahar}, title = {Canonicalization of threshold logic representation and its applications}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {85}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240785}, doi = {10.1145/3240765.3240785}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Li0Z0Z18, author = {Wensong Li and Fan Yang and Hengliang Zhu and Xuan Zeng and Dian Zhou}, editor = {Iris Bahar}, title = {An efficient data reuse strategy for multi-pattern data access}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {118}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240778}, doi = {10.1145/3240765.3240778}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Li0Z0Z18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiS18, author = {Tengtao Li and Sachin S. Sapatnekar}, editor = {Iris Bahar}, title = {Strain-aware performance evaluation and correction for OTFT-based flexible displays}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {40}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240853}, doi = {10.1145/3240765.3240853}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiTBTS18, author = {Mengchu Li and Tsun{-}Ming Tseng and Davide Bertozzi and Mahdi Tala and Ulf Schlichtmann}, editor = {Iris Bahar}, title = {CustomTopo: a topology generation method for application-specific wavelength-routed optical NoCs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {100}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240789}, doi = {10.1145/3240765.3240789}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiTBTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiTMCF018, author = {Haoran Li and Zhongyuan Tian and Rafael K. V. Maeda and Xuanqi Chen and Jun Feng and Jiang Xu}, editor = {Iris Bahar}, title = {Co-manage power delivery and consumption for manycore systems using reinforcement learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {34}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240787}, doi = {10.1145/3240765.3240787}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiTMCF018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiangJZLKSN018, author = {Hengyi Liang and Matthew Jagielski and Bowen Zheng and Chung{-}Wei Lin and Eunsuk Kang and Shinichi Shiraishi and Cristina Nita{-}Rotaru and Qi Zhu}, editor = {Iris Bahar}, title = {Network and system level security in connected vehicle applications}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {94}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243488}, doi = {10.1145/3240765.3243488}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiangJZLKSN018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCCCSCL18, author = {Jai{-}Ming Lin and Tai{-}Ting Chen and Yen{-}Fu Chang and Wei{-}Yi Chang and Ya{-}Ting Shyu and Yeong{-}Jar Chang and Juin{-}Ming Lu}, editor = {Iris Bahar}, title = {A fast thermal-aware fixed-outline floorplanning methodology based on analytical models}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {1:1--1:8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240769}, doi = {10.1145/3240765.3240769}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCCCSCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLWHC18, author = {Ping{-}Hsien Lin and Yu{-}Ming Chang and Yung{-}Chun Li and Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang}, editor = {Iris Bahar}, title = {Achieving fast sanitization with zero live data copy for {MLC} flash memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {41}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240773}, doi = {10.1145/3240765.3240773}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLYTYHCLC18, author = {Meng{-}Yao Lin and Hsiang{-}Yun Cheng and Wei{-}Ting Lin and Tzu{-}Hsien Yang and I{-}Ching Tseng and Chia{-}Lin Yang and Han{-}Wen Hu and Hung{-}Sheng Chang and Hsiang{-}Pang Li and Meng{-}Fan Chang}, editor = {Iris Bahar}, title = {{DL-RSIM:} a simulation framework to enable reliable ReRAM-based accelerators for deep learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {31}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240800}, doi = {10.1145/3240765.3240800}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLYTYHCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinSC18, author = {Jai{-}Ming Lin and Jhih{-}Sheng Syu and I{-}Ru Chen}, editor = {Iris Bahar}, title = {Macro-aware row-style power delivery network design for better routability}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {15}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240824}, doi = {10.1145/3240765.3240824}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinscottEBA18, author = {Timothy Linscott and Pete Ehrett and Valeria Bertacco and Todd M. Austin}, editor = {Iris Bahar}, title = {{SWAN:} mitigating hardware trojans with design ambiguity}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {91}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240854}, doi = {10.1145/3240765.3240854}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinscottEBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuCBSYLJ18, author = {Yanqi Liu and Alessandro Costantini and R. Iris Bahar and Zhiqiang Sui and Zhefan Ye and Shiyang Lu and Odest Chadwicke Jenkins}, editor = {Iris Bahar}, title = {Robust object estimation using generative-discriminative inference for secure robotics applications}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {75}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243493}, doi = {10.1145/3240765.3243493}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuCBSYLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LongNRRKYM18, author = {Yun Long and Taesik Na and Prakshi Rastogi and Karthik Rao and Asif Islam Khan and Sudhakar Yalamanchili and Saibal Mukhopadhyay}, editor = {Iris Bahar}, title = {A ferroelectric {FET} based power-efficient architecture for data-intensive computing}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {32}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240770}, doi = {10.1145/3240765.3240770}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LongNRRKYM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LouW018, author = {Qian Lou and Wujie Wen and Lei Jiang}, editor = {Iris Bahar}, title = {3DICT: a reliable and QoS capable mobile process-in-memory architecture for lookup-based CNNs in 3D XPoint ReRAMs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {53}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240767}, doi = {10.1145/3240765.3240767}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LouW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuWLYL18, author = {Hang Lu and Xin Wei and Ning Lin and Guihai Yan and Xiaowei Li}, editor = {Iris Bahar}, title = {Tetris: re-architecting convolutional neural network computation for machine learning accelerators}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {21}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240855}, doi = {10.1145/3240765.3240855}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuWLYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoFK18, author = {Chao Luo and Yunsi Fei and David R. Kaeli}, editor = {Iris Bahar}, title = {{GPU} acceleration of {RSA} is vulnerable to side-channel timing attacks}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {113}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240812}, doi = {10.1145/3240765.3240812}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuoFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoFK18a, author = {Chao Luo and Yunsi Fei and David R. Kaeli}, editor = {Iris Bahar}, title = {Effective simple-power analysis attacks of elliptic curve cryptography on embedded systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {115}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240802}, doi = {10.1145/3240765.3240802}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuoFK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaZ0VS18, author = {Yufei Ma and Tu Zheng and Yu Cao and Sarma B. K. Vrudhula and Jae{-}sun Seo}, editor = {Iris Bahar}, title = {Algorithm-hardware co-design of single shot detector for fast object detection on FPGAs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {57}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240775}, doi = {10.1145/3240765.3240775}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaZ0VS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MahzoonGD18, author = {Alireza Mahzoon and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Iris Bahar}, title = {PolyCleaner: clean your polynomials before backward rewriting to verify million-gate multipliers}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {129}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240837}, doi = {10.1145/3240765.3240837}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MahzoonGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarculescuSC18, author = {Diana Marculescu and Dimitrios Stamoulis and Ermao Cai}, editor = {Iris Bahar}, title = {Hardware-aware machine learning: modeling and optimization}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {137}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243479}, doi = {10.1145/3240765.3243479}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MarculescuSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MasudaNTOMH18, author = {Yutaka Masuda and Jun Nagayama and Hirotaka Takeno and Yoshimasa Ogawa and Yoichi Momiyama and Masanori Hashimoto}, editor = {Iris Bahar}, title = {Comparing voltage adaptation performance between replica and in-situ timing monitors}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {39}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240788}, doi = {10.1145/3240765.3240788}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MasudaNTOMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Mueller-Gritschneder18, author = {Daniel Mueller{-}Gritschneder and Uzair Sharif and Ulf Schlichtmann}, editor = {Iris Bahar}, title = {Performance and accuracy in soft-error resilience evaluation using the multi-level processor simulator {ETISS-ML}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {127}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243490}, doi = {10.1145/3240765.3243490}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Mueller-Gritschneder18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NajafiLR18, author = {M. Hassan Najafi and David J. Lilja and Marc D. Riedel}, editor = {Iris Bahar}, title = {Deterministic methods for stochastic computing using low-discrepancy sequences}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {51}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240797}, doi = {10.1145/3240765.3240797}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NajafiLR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NarayanaswamyPS18, author = {Swaminathan Narayanaswamy and Sangyoung Park and Sebastian Steinhorst and Samarjit Chakraborty}, editor = {Iris Bahar}, title = {Design automation for battery systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {27}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243469}, doi = {10.1145/3240765.3243469}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NarayanaswamyPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NixonMSYLC18, author = {Kent W. Nixon and Jiachen Mao and Juncheng Shen and Huanrui Yang and Hai (Helen) Li and Yiran Chen}, editor = {Iris Bahar}, title = {{SPN} dash: fast detection of adversarial attacks on mobile via sensor pattern noise fingerprinting}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {132}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240851}, doi = {10.1145/3240765.3240851}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NixonMSYLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ONealLTKDB18, author = {Kenneth O'Neal and Mitch Liu and Hans Tang and Amin Kalantar and Kennen DeRenard and Philip Brisk}, editor = {Iris Bahar}, title = {HLSPredict: cross platform performance prediction for {FPGA} high-level synthesis}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {104}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3264635}, doi = {10.1145/3240765.3264635}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ONealLTKDB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OtseiduJBHG18, author = {Kofi Otseidu and Tianyu Jia and Joshua Bryne and Levi J. Hargrove and Jie Gu}, editor = {Iris Bahar}, title = {Design and optimization of edge computing distributed neural processor for biomedical rehabilitation with sensor fusion}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {120}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240794}, doi = {10.1145/3240765.3240794}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/OtseiduJBHG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PalangappaM18, author = {Poovaiah M. Palangappa and Kartik Mohanram}, editor = {Iris Bahar}, title = {{RAPID:} read acceleration for improved performance and endurance in {MLC/TLC} NVMs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {67}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240840}, doi = {10.1145/3240765.3240840}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PalangappaM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PatnaikASK18, author = {Satwik Patnaik and Mohammed Ashraf and Ozgur Sinanoglu and Johann Knechtel}, editor = {Iris Bahar}, title = {Best of both worlds: integration of split manufacturing and camouflaging into a security-driven {CAD} flow for 3D ICs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240784}, doi = {10.1145/3240765.3240784}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PatnaikASK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PedramW18, author = {Massoud Pedram and Yanzhi Wang}, editor = {Iris Bahar}, title = {Design automation methodology and tools for superconductive electronics}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {110:1--110:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243470}, doi = {10.1145/3240765.3243470}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PedramW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PelusoC18, author = {Valentino Peluso and Andrea Calimera}, editor = {Iris Bahar}, title = {Scalable-effort ConvNets for multilevel classification}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {12}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240845}, doi = {10.1145/3240765.3240845}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PelusoC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PengCXJLL018, author = {Zhenghao Peng and Xuyang Chen and Chengwen Xu and Naifeng Jing and Xiaoyao Liang and Cewu Lu and Li Jiang}, editor = {Iris Bahar}, title = {AXNet: approximate computing using an end-to-end trainable neural network}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {11:1--11:8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240783}, doi = {10.1145/3240765.3240783}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PengCXJLL018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PossaniLMPRR18, author = {Vinicius N. Possani and Yi{-}Shan Lu and Alan Mishchenko and Keshav Pingali and Renato P. Ribas and Andr{\'{e}} In{\'{a}}cio Reis}, editor = {Iris Bahar}, title = {Unlocking fine-grain parallelism for {AIG} rewriting}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {87}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240861}, doi = {10.1145/3240765.3240861}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PossaniLMPRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaiSCYHGN018, author = {Shubham Rai and Srivatsa Rangachar Srinivasa and Patsy Cadareanu and Xunzhao Yin and Xiaobo Sharon Hu and Pierre{-}Emmanuel Gaillardon and Vijaykrishnan Narayanan and Akash Kumar}, editor = {Iris Bahar}, title = {Emerging reconfigurable nanotechnologies: can they support future electronics?}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {13}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243472}, doi = {10.1145/3240765.3243472}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RaiSCYHGN018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RegazzoniAP18, author = {Francesco Regazzoni and Cesare Alippi and Ilia Polian}, editor = {Iris Bahar}, title = {Security: the dark side of approximate computing?}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {44}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243497}, doi = {10.1145/3240765.3243497}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RegazzoniAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RegnathS18, author = {Emanuel Regnath and Sebastian Steinhorst}, editor = {Iris Bahar}, title = {LeapChain: efficient blockchain verification for embedded IoT}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {74}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240820}, doi = {10.1145/3240765.3240820}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RegnathS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RiaziK18, author = {M. Sadegh Riazi and Farinaz Koushanfar}, editor = {Iris Bahar}, title = {Privacy-preserving deep learning and inference}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {18}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3274560}, doi = {10.1145/3240765.3274560}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RiaziK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RouhaniSJJK18, author = {Bita Darvish Rouhani and Mohammad Samragh and Mojan Javaheripi and Tara Javidi and Farinaz Koushanfar}, editor = {Iris Bahar}, title = {Assured deep learning: practical defense against adversarial attacks}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {20}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3274525}, doi = {10.1145/3240765.3274525}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RouhaniSJJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RouhaniSJJK18a, author = {Bita Darvish Rouhani and Mohammad Samragh and Mojan Javaheripi and Tara Javidi and Farinaz Koushanfar}, editor = {Iris Bahar}, title = {DeepFense: online accelerated defense against adversarial deep learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {134}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240791}, doi = {10.1145/3240765.3240791}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RouhaniSJJK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyBHCN18, author = {Debayan Roy and Michael Balszun and Thomas Heurung and Samarjit Chakraborty and Amol Naik}, editor = {Iris Bahar}, title = {Waterfall is too slow, let's go Agile: multi-domain coupling for synthesizing automotive cyber-physical systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {25}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243500}, doi = {10.1145/3240765.3243500}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RoyBHCN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SabbaghFWD18, author = {Majid Sabbagh and Yunsi Fei and Thomas Wahl and A. Adam Ding}, editor = {Iris Bahar}, title = {{SCADET:} a side-channel attack detection tool for tracking prime+probe}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {107}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240844}, doi = {10.1145/3240765.3240844}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SabbaghFWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SaeedCZWD0K18, author = {Samah Mohamed Saeed and Xiaotong Cui and Alwin Zulehner and Robert Wille and Rolf Drechsler and Kaijie Wu and Ramesh Karri}, editor = {Iris Bahar}, title = {{IC/IP} piracy assessment of reversible logic}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {5}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240817}, doi = {10.1145/3240765.3240817}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SaeedCZWD0K18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchellenbergG0T18, author = {Falk Schellenberg and Dennis R. E. Gnad and Amir Moradi and Mehdi Baradaran Tahoori}, editor = {Iris Bahar}, title = {Remote inter-chip power analysis side-channel attacks at board-level}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {114}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240841}, doi = {10.1145/3240765.3240841}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SchellenbergG0T18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SenguptaNAS18, author = {Abhrajit Sengupta and Mohammed Thari Nabeel and Mohammed Ashraf and Ozgur Sinanoglu}, editor = {Iris Bahar}, title = {Customized locking of {IP} blocks on a multi-million-gate SoC}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {59}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243467}, doi = {10.1145/3240765.3243467}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SenguptaNAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SepulvedaRD18, author = {Johanna Sep{\'{u}}lveda and Cezar Reinbrecht and Jean{-}Philippe Diguet}, editor = {Iris Bahar}, title = {Security aspects of neuromorphic MPSoCs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {45}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3274038}, doi = {10.1145/3240765.3274038}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SepulvedaRD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShayanBLTCK18, author = {Mohammed Shayan and Sukanta Bhattacharjee and Tung{-}Che Liang and Jack Tang and Krishnendu Chakrabarty and Ramesh Karri}, editor = {Iris Bahar}, title = {Shadow attacks on {MEDA} biochips}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {73}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240846}, doi = {10.1145/3240765.3240846}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShayanBLTCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SimSK18, author = {Jaehyeong Sim and Hoseok Seol and Lee{-}Sup Kim}, editor = {Iris Bahar}, title = {{NID:} processing binary convolutional neural network in commodity {DRAM}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {10}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240831}, doi = {10.1145/3240765.3240831}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SimSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SongX0SJL018, author = {Haiyue Song and Chengwen Xu and Qiang Xu and Zhuoran Song and Naifeng Jing and Xiaoyao Liang and Li Jiang}, editor = {Iris Bahar}, title = {Invocation-driven neural approximate computing with a multiclass-classifier and multiple approximators}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {50}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240819}, doi = {10.1145/3240765.3240819}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SongX0SJL018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StamoulisCPFSBM18, author = {Dimitrios Stamoulis and Ting{-}Wu (Rudy) Chin and Anand Krishnan Prakash and Haocheng Fang and Sribhuvan Sajja and Mitchell Bognar and Diana Marculescu}, editor = {Iris Bahar}, title = {Designing adaptive neural networks for energy-constrained image classification}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {23}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240796}, doi = {10.1145/3240765.3240796}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/StamoulisCPFSBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StevensRR18, author = {Jacob R. Stevens and Ashish Ranjan and Anand Raghunathan}, editor = {Iris Bahar}, title = {AxBA: an approximate bus architecture framework}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {43}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240782}, doi = {10.1145/3240765.3240782}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/StevensRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunCCHC18, author = {Fan{-}Keng Sun and Hao Chen and Ching{-}Yu Chen and Chen{-}Hao Hsu and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {A multithreaded initial detailed routing algorithm considering global routing guides}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {82}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240777}, doi = {10.1145/3240765.3240777}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SunCCHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsengC18, author = {Yu{-}Wei Tseng and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Mixed-cell-height placement considering drain-to-drain abutment}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {64}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240827}, doi = {10.1145/3240765.3240827}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TsengC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VogelLGSA18, author = {Sebastian Vogel and Mengyu Liang and Andre Guntoro and Walter Stechele and Gerd Ascheid}, editor = {Iris Bahar}, title = {Efficient hardware acceleration of CNNs using logarithmic data representation with arbitrary log-base}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {9}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240803}, doi = {10.1145/3240765.3240803}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/VogelLGSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangCWZ18, author = {Chenguang Wang and Yici Cai and Haoyi Wang and Qiang Zhou}, editor = {Iris Bahar}, title = {Electromagnetic equalizer: an active countermeasure against {EM} side-channel attack}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {112}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240804}, doi = {10.1145/3240765.3240804}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangCWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangWZWKCL18, author = {Siyue Wang and Xiao Wang and Pu Zhao and Wujie Wen and David R. Kaeli and Peter Chin and Xue Lin}, editor = {Iris Bahar}, title = {Defensive dropout for hardening deep neural networks under adversarial attacks}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {71:1--71:8}, publisher = {{ACM}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8587615}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangWZWKCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Wei0LYZC18, author = {Xuechao Wei and Yun Liang and Xiuhong Li and Cody Hao Yu and Peng Zhang and Jason Cong}, editor = {Iris Bahar}, title = {{TGPA:} tile-grained pipeline architecture for low latency {CNN} inference}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {58}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240856}, doi = {10.1145/3240765.3240856}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Wei0LYZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeiCLZ18, author = {Tianshu Wei and Xiaoming Chen and Xin Li and Qi Zhu}, editor = {Iris Bahar}, title = {Model-based and data-driven approaches for building automation and control}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {26}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243485}, doi = {10.1145/3240765.3243485}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WeiCLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WilleFN18, author = {Robert Wille and Austin G. Fowler and Yehuda Naveh}, editor = {Iris Bahar}, title = {Computer-aided design for quantum computation}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {128}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3267469}, doi = {10.1145/3240765.3267469}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WilleFN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Wu0TLLYWZ18, author = {Bing Wu and Dan Feng and Wei Tong and Jingning Liu and Shuai Li and Mingshun Yang and Chengning Wang and Yang Zhang}, editor = {Iris Bahar}, title = {Aliens: a novel hybrid architecture for resistive random-access memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {54}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240776}, doi = {10.1145/3240765.3240776}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Wu0TLLYWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XieHFRFCC18, author = {Zhiyao Xie and Yu{-}Hung Huang and Guan{-}Qi Fang and Haoxing Ren and Shao{-}Yun Fang and Yiran Chen and Nvidia Corporation}, editor = {Iris Bahar}, title = {RouteNet: routability prediction for mixed-size designs using convolutional neural network}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {80}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240843}, doi = {10.1145/3240765.3240843}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XieHFRFCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XingHGM18, author = {Yue Xing and Bo{-}Yuan Huang and Aarti Gupta and Sharad Malik}, editor = {Iris Bahar}, title = {A formal instruction-level {GPU} model for scalable verification}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {130}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240771}, doi = {10.1145/3240765.3240771}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XingHGM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XuTWLHL18, author = {Dawen Xu and Kaijie Tu and Ying Wang and Cheng Liu and Bingsheng He and Huawei Li}, editor = {Iris Bahar}, title = {FCN-engine: accelerating deconvolutional layers in classic {CNN} processors}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {22}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240810}, doi = {10.1145/3240765.3240810}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/XuTWLHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangK18, author = {Giyoung Yang and Taewhan Kim}, editor = {Iris Bahar}, title = {Design and algorithm for clock gating and flip-flop co-optimization}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {14}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240793}, doi = {10.1145/3240765.3240793}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YeF018, author = {Mengmei Ye and Xianglong Feng and Sheng Wei}, editor = {Iris Bahar}, title = {{HISA:} hardware isolation-based secure architecture for {CPU-FPGA} embedded systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {90}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240814}, doi = {10.1145/3240765.3240814}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YeF018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YenCHK18, author = {Chih{-}Hsuan Yen and Wei{-}Ming Chen and Pi{-}Cheng Hsiu and Tei{-}Wei Kuo}, editor = {Iris Bahar}, title = {Differentiated handling of physical scenes and virtual objects for mobile augmented reality}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {36}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240798}, doi = {10.1145/3240765.3240798}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YenCHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangG18, author = {Jeff Jun Zhang and Siddharth Garg}, editor = {Iris Bahar}, title = {{FATE:} fast and accurate timing error prediction framework for low power {DNN} accelerator design}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {24}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240809}, doi = {10.1145/3240765.3240809}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangHZ0L18, author = {Wentai Zhang and Hanxian Huang and Jiaxi Zhang and Ming Jiang and Guojie Luo}, editor = {Iris Bahar}, title = {Adaptive-precision framework for {SGD} using deep Q-learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {35}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240774}, doi = {10.1145/3240765.3240774}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangHZ0L18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangWZLXHC18, author = {Xiaofan Zhang and Junsong Wang and Chao Zhu and Yonghua Lin and Jinjun Xiong and Wen{-}Mei W. Hwu and Deming Chen}, editor = {Iris Bahar}, title = {DNNBuilder: an automated tool for building high-performance {DNN} hardware accelerators for FPGAs}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {56}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240801}, doi = {10.1145/3240765.3240801}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangWZLXHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhaoT18, author = {Hengyang Zhao and Sheldon X.{-}D. Tan}, editor = {Iris Bahar}, title = {Multi-physics-based {FEM} analysis for post-voiding analysis of electromigration failure effects}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {124}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3243486}, doi = {10.1145/3240765.3243486}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhaoT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouYHSMQ18, author = {Zhuangzhuang Zhou and Yue Yao and Shuyang Huang and Sanbao Su and Chang Meng and Weikang Qian}, editor = {Iris Bahar}, title = {{DALS:} delay-driven approximate logic synthesis}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {86}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240790}, doi = {10.1145/3240765.3240790}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhouYHSMQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuHCC18, author = {Wenxing Zhu and Zhipeng Huang and Jianli Chen and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Analytical solution of Poisson's equation and its application to {VLSI} global placement}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240779}, doi = {10.1145/3240765.3240779}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhuHCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuLCCZC18, author = {Ziran Zhu and Xingquan Li and Yuhang Chen and Jianli Chen and Wenxing Zhu and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Mixed-cell-height legalization considering technology and region constraints}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {65}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240826}, doi = {10.1145/3240765.3240826}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhuLCCZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuLCXSCWY18, author = {Zhenhua Zhu and Jilan Lin and Ming Cheng and Lixue Xia and Hanbo Sun and Xiaoming Chen and Yu Wang and Huazhong Yang}, editor = {Iris Bahar}, title = {Mixed size crossbar based {RRAM} {CNN} accelerator with overlapped mapping method}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {69}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240825}, doi = {10.1145/3240765.3240825}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuLCXSCWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuLHWYWS18, author = {Ying Zhu and Bing Li and Tsung{-}Yi Ho and Qin Wang and Hailong Yao and Robert Wille and Ulf Schlichtmann}, editor = {Iris Bahar}, title = {Multi-channel and fault-tolerant control multiplexing for flow-based microfluidic biochips}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {123}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240830}, doi = {10.1145/3240765.3240830}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuLHWYWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2018, editor = {Iris Bahar}, title = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765}, doi = {10.1145/3240765}, isbn = {978-1-4503-5950-4}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.