Search dblp for Publications

export results for "toc:db/conf/iccad/iccad2003.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccad/AbabeiB03,
  author       = {Cristinel Ababei and
                  Kia Bazargan},
  title        = {Placement Method Targeting Predictability Robustness and Performance},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {81--85},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257590},
  doi          = {10.1109/ICCAD.2003.1257590},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AbabeiB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AdyaMV03,
  author       = {Saurabh N. Adya and
                  Igor L. Markov and
                  Paul Villarrubia},
  title        = {On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {311--319},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257687},
  doi          = {10.1109/ICCAD.2003.1257687},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AdyaMV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AgarwalBZ03,
  author       = {Aseem Agarwal and
                  David T. Blaauw and
                  Vladimir Zolotov},
  title        = {Statistical Timing Analysis for Intra-Die Process Variations with
                  Spatial Correlations},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {900--907},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257914},
  doi          = {10.1109/ICCAD.2003.1257914},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AgarwalBZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AgarwalBZ03a,
  author       = {Aseem Agarwal and
                  David T. Blaauw and
                  Vladimir Zolotov},
  title        = {Statistical Clock Skew Analysis Considering Intra-Die Process Variations},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {914--921},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257916},
  doi          = {10.1109/ICCAD.2003.1257916},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AgarwalBZ03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AgnihotriYKMOM03,
  author       = {Ameya R. Agnihotri and
                  Mehmet Can Yildiz and
                  Ateen Khatkhate and
                  Ajita Mathur and
                  Satoshi Ono and
                  Patrick H. Madden},
  title        = {Fractional Cut: Improved Recursive Bisection Placement},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {307--310},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257685},
  doi          = {10.1109/ICCAD.2003.1257685},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AgnihotriYKMOM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AhmadiN03,
  author       = {Rubil Ahmadi and
                  Farid N. Najm},
  title        = {Timing Analysis in Presence of Power Supply and Ground Voltage Variations},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {176--183},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257628},
  doi          = {10.1109/ICCAD.2003.1257628},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AhmadiN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AminDI03,
  author       = {Chirayu S. Amin and
                  Florentin Dartu and
                  Yehea I. Ismail},
  title        = {Weibull Based Analytical Waveform Model},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {161--168},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257625},
  doi          = {10.1109/ICCAD.2003.1257625},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AminDI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BaharMC03,
  author       = {R. Iris Bahar and
                  Joseph L. Mundy and
                  Jie Chen},
  title        = {A Probabilistic-Based Design Methodology for Nanoscale Computation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {480--486},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257854},
  doi          = {10.1109/ICCAD.2003.1257854},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BaharMC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BeidasZ03,
  author       = {Rami Beidas and
                  Jianwen Zhu},
  title        = {Performance Efficiency of Context-Flow System-on-Chip Platform},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {356--362},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257744},
  doi          = {10.1109/ICCAD.2003.1257744},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BeidasZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BernsteinCJP03,
  author       = {Kerry Bernstein and
                  Ching{-}Te Chuang and
                  Rajiv V. Joshi and
                  Ruchir Puri},
  title        = {Design and {CAD} Challenges in sub-90nm {CMOS} Technologies},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {129--137},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257609},
  doi          = {10.1109/ICCAD.2003.1257609},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BernsteinCJP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BerryKS03,
  author       = {G{\'{e}}rard Berry and
                  Michael Kishinevsky and
                  Satnam Singh},
  title        = {System Level Design and Verification Using a Synchronous Language},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {433--440},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257813},
  doi          = {10.1109/ICCAD.2003.1257813},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BerryKS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BhardwajVB03,
  author       = {Sarvesh Bhardwaj and
                  Sarma B. K. Vrudhula and
                  David T. Blaauw},
  title        = {{AU:} Timing Analysis Under Uncertainty},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {615--620},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257874},
  doi          = {10.1109/ICCAD.2003.1257874},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BhardwajVB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CaoYHS03,
  author       = {Yu Cao and
                  Xiaodong Yang and
                  Xuejue Huang and
                  Dennis Sylvester},
  title        = {Switch-Factor Based Loop {RLC} Modeling for Efficient Timing Analysis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {848--854},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257907},
  doi          = {10.1109/ICCAD.2003.1257907},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CaoYHS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CarmonaC03,
  author       = {Josep Carmona and
                  Jordi Cortadella},
  title        = {{ILP} Models for the Synthesis of Asynchronous Control Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {818--826},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257903},
  doi          = {10.1109/ICCAD.2003.1257903},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CarmonaC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChanCKSS03,
  author       = {Tony F. Chan and
                  Jason Cong and
                  Tim Kong and
                  Joseph R. Shinnerl and
                  Kenton Sze},
  title        = {An Enhanced Multilevel Algorithm for Circuit Placement},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {299--306},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257683},
  doi          = {10.1109/ICCAD.2003.1257683},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChanCKSS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChangS03,
  author       = {Hongliang Chang and
                  Sachin S. Sapatnekar},
  title        = {Statistical Timing Analysis Considering Spatial Correlations using
                  a Single Pert-Like Traversal},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {621--626},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257875},
  doi          = {10.1109/ICCAD.2003.1257875},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChangS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenCKMWY03,
  author       = {Hongyu Chen and
                  Chung{-}Kuan Cheng and
                  Andrew B. Kahng and
                  Ion I. Mandoiu and
                  Qinke Wang and
                  Bo Yao},
  title        = {The Y-Architecture for On-Chip Interconnect: Analysis and Methodology},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {13--20},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257579},
  doi          = {10.1109/ICCAD.2003.1257579},
  timestamp    = {Thu, 01 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenCKMWY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenKNS03,
  author       = {Guilin Chen and
                  Mahmut T. Kandemir and
                  A. Nadgir and
                  Ugur Sezer},
  title        = {Array Composition and Decomposition for Optimizing Embedded Applications},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {193--196},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257632},
  doi          = {10.1109/ICCAD.2003.1257632},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenKNS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenLC03,
  author       = {Tsung{-}Hao Chen and
                  Clement Luk and
                  Charlie Chung{-}Ping Chen},
  title        = {SuPREME: Substrate and Power-delivery Reluctance-Enhanced Macromodel
                  Evaluation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {786--792},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257898},
  doi          = {10.1109/ICCAD.2003.1257898},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenLC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChengWLCHW03,
  author       = {Kuo{-}Liang Cheng and
                  Chih{-}Wea Wang and
                  Jih{-}Nung Lee and
                  Yung{-}Fa Chou and
                  Chih{-}Tsun Huang and
                  Cheng{-}Wen Wu},
  title        = {{FAME:} {A} Fault-Pattern Based Memory Failure Analysis Framework},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {595--598},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257871},
  doi          = {10.1109/ICCAD.2003.1257871},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChengWLCHW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CheonLW03,
  author       = {Yongseok Cheon and
                  Seokjin Lee and
                  Martin D. F. Wong},
  title        = {Stable Multiway Circuit Partitioning for {ECO}},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {718--725},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257888},
  doi          = {10.1109/ICCAD.2003.1257888},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CheonLW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CheungPH03,
  author       = {Newton Cheung and
                  Sri Parameswaran and
                  J{\"{o}}rg Henkel},
  title        = {{INSIDE:} INstruction Selection/Identification {\&} Design Exploration
                  for Extensible Processors},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {291--298},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257681},
  doi          = {10.1109/ICCAD.2003.1257681},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CheungPH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoiB03,
  author       = {Wonjoon Choi and
                  Kia Bazargan},
  title        = {Incremental Placement for Timing Optimization},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {463--466},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257851},
  doi          = {10.1109/ICCAD.2003.1257851},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoiB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChuYTD03,
  author       = {Chris C. N. Chu and
                  Evangeline F. Y. Young and
                  Dennis K. Y. Tong and
                  Sampath Dechu},
  title        = {Retiming with Interconnect and Gate Delay},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {221--226},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257648},
  doi          = {10.1109/ICCAD.2003.1257648},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChuYTD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongFHYZ03,
  author       = {Jason Cong and
                  Yiping Fan and
                  Guoling Han and
                  Xun Yang and
                  Zhiru Zhang},
  title        = {Architectural Synthesis Integrated with Global Placement for Multi-Cycle
                  Communication},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {536--543},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257863},
  doi          = {10.1109/ICCAD.2003.1257863},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongFHYZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongKSXY03,
  author       = {Jason Cong and
                  Tim Kong and
                  Joseph R. Shinnerl and
                  Min Xie and
                  Xin Yuan},
  title        = {Large-Scale Circuit Placement: Gap and Promise},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {883--890},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257912},
  doi          = {10.1109/ICCAD.2003.1257912},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongKSXY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongRX03,
  author       = {Jason Cong and
                  Michail Romesis and
                  Min Xie},
  title        = {Optimality and Stability Study of Timing-Driven Placement Algorithms},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {472--479},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257853},
  doi          = {10.1109/ICCAD.2003.1257853},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongRX03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CozKPLB03,
  author       = {Yannick L. Le Coz and
                  Dhivya Krishna and
                  Dusan M. Petranovic and
                  William M. Loh and
                  Peter Bendix},
  title        = {A Sum-over-Paths Impulse-Response Moment-Extraction Algorithm for
                  IC-Interconnect Networks: Verification, Coupled {RC} Lines},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {665--671},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257881},
  doi          = {10.1109/ICCAD.2003.1257881},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CozKPLB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DeA03,
  author       = {S. K. De and
                  Narayan R. Aluru},
  title        = {Physical And Reduced-Order Dynamic Analysis of {MEMS}},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {270--274},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257674},
  doi          = {10.1109/ICCAD.2003.1257674},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DeA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Demir03,
  author       = {Alper Demir},
  title        = {Noise Analysis for Optical Fiber Communication Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {441--445},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257814},
  doi          = {10.1109/ICCAD.2003.1257814},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Demir03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DevganK03,
  author       = {Anirudh Devgan and
                  Chandramouli V. Kashyap},
  title        = {Block-based Static Timing Analysis with Uncertainty},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {607--614},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257873},
  doi          = {10.1109/ICCAD.2003.1257873},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DevganK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DhillonDCL03,
  author       = {Yuvraj Singh Dhillon and
                  Abdulkadir Utku Diril and
                  Abhijit Chatterjee and
                  Hsien{-}Hsin S. Lee},
  title        = {Algorithm for Achieving Minimum Energy Consumption in {CMOS} Circuits
                  Using Multiple Supply and Threshold Voltages at the Module Level},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {693--700},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257885},
  doi          = {10.1109/ICCAD.2003.1257885},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DhillonDCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FangRC03,
  author       = {Claire Fang Fang and
                  Rob A. Rutenbar and
                  Tsuhan Chen},
  title        = {Fast, Accurate Static Analysis for Fixed-Point Finite-Precision Effects
                  in {DSP} Designs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {275--282},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257675},
  doi          = {10.1109/ICCAD.2003.1257675},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/FangRC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FangXN03,
  author       = {Bai Hong Fang and
                  Qiang Xu and
                  Nicola Nicolici},
  title        = {Hardware/Software Co-testing of Embedded Memories in Complex SOCs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {599--606},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257872},
  doi          = {10.1109/ICCAD.2003.1257872},
  timestamp    = {Thu, 30 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/FangXN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FerzliN03,
  author       = {Imad A. Ferzli and
                  Farid N. Najm},
  title        = {Statistical Verification of Power Grids Considering Process-Induced
                  Leakage Current Variations},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {770--777},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257896},
  doi          = {10.1109/ICCAD.2003.1257896},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/FerzliN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GarceaMO03,
  author       = {Giuseppe S. Garcea and
                  N. P. van der Meijs and
                  Ralph H. J. M. Otten},
  title        = {Simultaneous Analytic Area and Power Optimization for Repeater Insertion},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {568--573},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257867},
  doi          = {10.1109/ICCAD.2003.1257867},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GarceaMO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GhoshG03,
  author       = {Arijit Ghosh and
                  Tony Givargis},
  title        = {Cache Optimization For Embedded Processor Cores: An Analytical Approach},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {342--347},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257734},
  doi          = {10.1109/ICCAD.2003.1257734},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GhoshG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GoplenS03,
  author       = {Brent Goplen and
                  Sachin S. Sapatnekar},
  title        = {Efficient Thermal Placement of Standard Cells in 3D ICs using a Force
                  Directed Approach},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {86--90},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257591},
  doi          = {10.1109/ICCAD.2003.1257591},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GoplenS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaGYA03,
  author       = {Aarti Gupta and
                  Malay K. Ganai and
                  Zijiang Yang and
                  Pranav Ashar},
  title        = {Iterative Abstraction using SAT-based {BMC} with Proof Analysis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {416--423},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257811},
  doi          = {10.1109/ICCAD.2003.1257811},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaGYA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaIS03,
  author       = {Rajesh K. Gupta and
                  Sandy Irani and
                  Sandeep K. Shukla},
  title        = {Formal Methods for Dynamic Power Management},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {874--882},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257911},
  doi          = {10.1109/ICCAD.2003.1257911},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaIS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaK03,
  author       = {Puneet Gupta and
                  Andrew B. Kahng},
  title        = {Manufacturing-Aware Physical Design},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {681--688},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257883},
  doi          = {10.1109/ICCAD.2003.1257883},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaKMS03,
  author       = {Puneet Gupta and
                  Andrew B. Kahng and
                  Ion I. Mandoiu and
                  Puneet Sharma},
  title        = {Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {754--759},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257893},
  doi          = {10.1109/ICCAD.2003.1257893},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaKMS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaZJ03,
  author       = {Pallav Gupta and
                  Lin Zhong and
                  Niraj K. Jha},
  title        = {A High-level Interconnect Power Model for Design Space Exploration},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {551--559},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257865},
  doi          = {10.1109/ICCAD.2003.1257865},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaZJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HashimotoYO03,
  author       = {Masanori Hashimoto and
                  Yuji Yamada and
                  Hidetoshi Onodera},
  title        = {Equivalent Waveform Propagation for Static Timing Analysis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {169--175},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257627},
  doi          = {10.1109/ICCAD.2003.1257627},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HashimotoYO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HeldKMRV03,
  author       = {Stephan Held and
                  Bernhard Korte and
                  Jens Ma{\ss}berg and
                  Matthias Ringe and
                  Jens Vygen},
  title        = {Clock Scheduling and Clocktree Construction for High Performance {ASICS}},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {232--240},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257653},
  doi          = {10.1109/ICCAD.2003.1257653},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HeldKMRV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HoCCL03,
  author       = {Tsung{-}Yi Ho and
                  Yao{-}Wen Chang and
                  Sao{-}Jie Chen and
                  D. T. Lee},
  title        = {A Fast Crosstalk- and Performance-Driven Multilevel Routing System},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {382--387},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257806},
  doi          = {10.1109/ICCAD.2003.1257806},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HoCCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuCY03,
  author       = {Alan J. Hu and
                  Jeremy Casas and
                  Jin Yang},
  title        = {Efficient Generation of Monitor Circuits for {GSTE} Assertion Graphs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {154--160},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257620},
  doi          = {10.1109/ICCAD.2003.1257620},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HuCY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuaQ03,
  author       = {Shaoxiong Hua and
                  Gang Qu},
  title        = {Approaching the Maximum Energy Saving on Embedded Systems with Multiple
                  Voltages},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {26--29},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257581},
  doi          = {10.1109/ICCAD.2003.1257581},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HuaQ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuangN03,
  author       = {Shih{-}Hsu Huang and
                  Yow{-}Tyng Nieh},
  title        = {Clock Period Minimization of Non-Zero Clock Skew Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {809--812},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257901},
  doi          = {10.1109/ICCAD.2003.1257901},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HuangN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuangRRJ03,
  author       = {Chao Huang and
                  Srivaths Ravi and
                  Anand Raghunathan and
                  Niraj K. Jha},
  title        = {Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive
                  Applications},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {46--53},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257584},
  doi          = {10.1109/ICCAD.2003.1257584},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HuangRRJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/IranliFP03,
  author       = {Ali Iranli and
                  Hanif Fatemi and
                  Massoud Pedram},
  title        = {A Game Theoretic Approach to Dynamic Energy Minimization in Wireless
                  Transceivers},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {504--509},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257858},
  doi          = {10.1109/ICCAD.2003.1257858},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/IranliFP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/IyerPC03,
  author       = {Madhu K. Iyer and
                  Ganapathy Parthasarathy and
                  Kwang{-}Ting Cheng},
  title        = {{SATORI} - {A} Fast Sequential {SAT} Engine for Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {320--325},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257731},
  doi          = {10.1109/ICCAD.2003.1257731},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/IyerPC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KahngMRXZ03,
  author       = {Andrew B. Kahng and
                  Ion I. Mandoiu and
                  Sherief Reda and
                  Xu Xu and
                  Alexander Zelikovsky},
  title        = {Evaluation of Placement Techniques for {DNA} Probe Array Layout},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {262--269},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257670},
  doi          = {10.1109/ICCAD.2003.1257670},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KahngMRXZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KallaHH03,
  author       = {Praveen Kalla and
                  Xiaobo Sharon Hu and
                  J{\"{o}}rg Henkel},
  title        = {{LRU-SEQ:} {A} Novel Replacement Policy for Transition Energy Reduction
                  in Instruction Caches},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {518--522},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257860},
  doi          = {10.1109/ICCAD.2003.1257860},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KallaHH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KaminskaA03,
  author       = {Bozena Kaminska and
                  Karim Arabi},
  title        = {Mixed Signal {DFT:} {A} Concise Overview},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {672--680},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257882},
  doi          = {10.1109/ICCAD.2003.1257882},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KaminskaA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KhandelwalDNS03,
  author       = {Vishal Khandelwal and
                  Azadeh Davoodi and
                  Akash Nanavati and
                  Ankur Srivastava},
  title        = {A Probabilistic Approach to Buffer Insertion},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {560--567},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257866},
  doi          = {10.1109/ICCAD.2003.1257866},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KhandelwalDNS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimBM03,
  author       = {Nam Sung Kim and
                  David T. Blaauw and
                  Trevor N. Mudge},
  title        = {Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level
                  Caches},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {627--632},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257876},
  doi          = {10.1109/ICCAD.2003.1257876},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KimBM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KokradyR03,
  author       = {Aman Kokrady and
                  C. P. Ravikumar},
  title        = {Static Verification of Test Vectors for {IR} Drop Failure},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {760--764},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257894},
  doi          = {10.1109/ICCAD.2003.1257894},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KokradyR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KrishnaT03,
  author       = {C. V. Krishna and
                  Nur A. Touba},
  title        = {Adjustable Width Linear Combinational Scan Vector Decompression},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {863--866},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257909},
  doi          = {10.1109/ICCAD.2003.1257909},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KrishnaT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KunduB03,
  author       = {Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {{ATPG} for Noise-Induced Switch Failures in Domino Logic},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {765--769},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257895},
  doi          = {10.1109/ICCAD.2003.1257895},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KunduB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LamoureuxW03,
  author       = {Julien Lamoureux and
                  Steven J. E. Wilton},
  title        = {On the Interaction Between Power-Aware {FPGA} {CAD} Algorithms},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {701--708},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257886},
  doi          = {10.1109/ICCAD.2003.1257886},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LamoureuxW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LePD03,
  author       = {Jiayong Le and
                  Lawrence T. Pileggi and
                  Anirudh Devgan},
  title        = {Circuit Simulation of Nanotechnology Devices with Non-monotonic {I-V}
                  Characteristics},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {491--496},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257856},
  doi          = {10.1109/ICCAD.2003.1257856},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LePD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeCW03,
  author       = {Seokjin Lee and
                  Yongseok Cheon and
                  Martin D. F. Wong},
  title        = {A Min-Cost Flow Based Detailed Router for FPGAs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {388--393},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257807},
  doi          = {10.1109/ICCAD.2003.1257807},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiLXP03,
  author       = {Peng Li and
                  Xin Li and
                  Yang Xu and
                  Lawrence T. Pileggi},
  title        = {A Hybrid Approach to Nonlinear Macromodel Generation for Time-Varying
                  Analog Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {454--462},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257816},
  doi          = {10.1109/ICCAD.2003.1257816},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiLXP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiS03,
  author       = {Zhao Li and
                  Chuanjin Richard Shi},
  title        = {{SILCA:} Fast-Yet-Accurate Time-Domain Simulation of {VLSI} Circuits
                  with Strong Parasitic Coupling Effects},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {793--800},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257899},
  doi          = {10.1109/ICCAD.2003.1257899},
  timestamp    = {Mon, 29 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiVKI03,
  author       = {Lin Li and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  title        = {Adapative Error Protection for Energy Efficiency},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257566},
  doi          = {10.1109/ICCAD.2003.1257566},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiVKI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiZLZ03,
  author       = {Ruiming Li and
                  Dian Zhou and
                  Jin Liu and
                  Xuan Zeng},
  title        = {Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {581--587},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257869},
  doi          = {10.1109/ICCAD.2003.1257869},
  timestamp    = {Sun, 21 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiZLZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiaoH03,
  author       = {Weiping Liao and
                  Lei He},
  title        = {Full-Chip Interconnect Power Estimation and Simulation Considering
                  Concurrent Repeater and Flip-Flop Insertion},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {574--580},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257868},
  doi          = {10.1109/ICCAD.2003.1257868},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiaoH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinZ03,
  author       = {Chuan Lin and
                  Hai Zhou},
  title        = {Retiming for Wire Pipelining in System-On-Chip},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {215--220},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257645},
  doi          = {10.1109/ICCAD.2003.1257645},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuC03,
  author       = {Jinfeng Liu and
                  Pai H. Chou},
  title        = {Energy Optimization of Distributed Embedded Processors by Combined
                  Data Compression and Functional Partitioning},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {201--208},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257637},
  doi          = {10.1109/ICCAD.2003.1257637},
  timestamp    = {Tue, 11 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuKM03,
  author       = {Cong Liu and
                  Andreas Kuehlmann and
                  Matthew W. Moskewicz},
  title        = {{CAMA:} {A} Multi-Valued Satisfiability Solver},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {326--333},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257732},
  doi          = {10.1109/ICCAD.2003.1257732},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuKM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuSH03,
  author       = {Dean Liu and
                  Stefanos Sidiropoulos and
                  Mark Horowitz},
  title        = {A Framework for Designing Reusable Analog Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {375--381},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257805},
  doi          = {10.1109/ICCAD.2003.1257805},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuSH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuZZC03,
  author       = {Jianhua Liu and
                  Shuo Zhou and
                  Haikun Zhu and
                  Chung{-}Kuan Cheng},
  title        = {An Algorithmic Approach for Generic Parallel Adders},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {734--740},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257890},
  doi          = {10.1109/ICCAD.2003.1257890},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuZZC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LotfiTAS03,
  author       = {Reza Lotfi and
                  Mohammad Taherzadeh{-}Sani and
                  M. Yaser Azizi and
                  Omid Shoaei},
  title        = {Systematic Design for Power Minimization of Pipelined Analog-to-Digital
                  Converters},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {371--374},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257804},
  doi          = {10.1109/ICCAD.2003.1257804},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LotfiTAS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LuK03,
  author       = {Ruibing Lu and
                  Cheng{-}Kok Koh},
  title        = {SAMBA-Bus: {A} High Performance Bus Architecture for System-on-Chips},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {8--12},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257568},
  doi          = {10.1109/ICCAD.2003.1257568},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LuK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LuK03a,
  author       = {Ruibing Lu and
                  Cheng{-}Kok Koh},
  title        = {Performance Optimization of Latency Insensitive Systems Through Buffer
                  Queue Sizing of Communication Channels},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {227--231},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257650},
  doi          = {10.1109/ICCAD.2003.1257650},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LuK03a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MahapatraBPI03,
  author       = {Santanu Mahapatra and
                  Kaustav Banerjee and
                  Florent Pegeon and
                  Adrian M. Ionescu},
  title        = {A {CAD} Framework for Co-Design and Analysis of {CMOS-SET} Hybrid
                  Integrated Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {497--503},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257857},
  doi          = {10.1109/ICCAD.2003.1257857},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MahapatraBPI03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MamidipakaKDA03,
  author       = {Mahesh Mamidipaka and
                  Kamal S. Khouri and
                  Nikil D. Dutt and
                  Magdy S. Abadir},
  title        = {{IDAP:} {A} Tool for High Level Power Estimation of Custom Array Structures},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {113--119},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257602},
  doi          = {10.1109/ICCAD.2003.1257602},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MamidipakaKDA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MarculescuZSM03,
  author       = {Diana Marculescu and
                  Nicholas H. Zamora and
                  Phillip Stanley{-}Marbell and
                  Radu Marculescu},
  title        = {Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {348--355},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257742},
  doi          = {10.1109/ICCAD.2003.1257742},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MarculescuZSM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MaslovDM03,
  author       = {Dmitri Maslov and
                  Gerhard W. Dueck and
                  D. Michael Miller},
  title        = {Fredkin/Toffoli Templates for Reversible Logic Synthesis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {256--261},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257667},
  doi          = {10.1109/ICCAD.2003.1257667},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MaslovDM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MishchenkoB03,
  author       = {Alan Mishchenko and
                  Robert K. Brayton},
  title        = {A Theory of Non-Deterministic Networks},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {709--717},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257887},
  doi          = {10.1109/ICCAD.2003.1257887},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MishchenkoB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NadezhinGGEZBPBAP03,
  author       = {D. Nadezhin and
                  Sergey Gavrilov and
                  Alexey Glebov and
                  Y. Egorov and
                  Vladimir Zolotov and
                  David T. Blaauw and
                  Rajendran Panda and
                  Murat R. Becer and
                  Alexandre Ardelea and
                  A. Patel},
  title        = {{SOI} Transistor Model for Fast Transient Simulation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {120128},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257605},
  doi          = {10.1109/ICCAD.2003.1257605},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NadezhinGGEZBPBAP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NamgoongL03,
  author       = {Won Namgoong and
                  Jongrit Lerdworatawee},
  title        = {Amplification of Ultrawideband Signals},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {363--366},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257802},
  doi          = {10.1109/ICCAD.2003.1257802},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NamgoongL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NardiZGDS03,
  author       = {Alessandra Nardi and
                  Haibo Zeng and
                  Joshua L. Garrett and
                  Luca Daniel and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {A Methodology for the Computation of an Upper Bound on Nose Current
                  Spectrum of {CMOS} Switching Activity},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {778--785},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257897},
  doi          = {10.1109/ICCAD.2003.1257897},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/NardiZGDS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NelsonMY03,
  author       = {Curtis A. Nelson and
                  Chris J. Myers and
                  Tomohiro Yoneda},
  title        = {Efficient Verification of Hazard-Freedom in Gate-Level Timed Asynchronous
                  Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {424--432},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257812},
  doi          = {10.1109/ICCAD.2003.1257812},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NelsonMY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NicolaidisAB03,
  author       = {Michael Nicolaidis and
                  Nadir Achouri and
                  Slimane Boutobza},
  title        = {Dynamic Data-bit Memory Built-In Self- Repair},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {588--594},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257870},
  doi          = {10.1109/ICCAD.2003.1257870},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NicolaidisAB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OkadaYO03,
  author       = {Ken{-}ichi Okada and
                  Kento Yamaoka and
                  Hidetoshi Onodera},
  title        = {A Statistical Gate-Delay Model Considering Intra-Gate Variability},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {908--913},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257915},
  doi          = {10.1109/ICCAD.2003.1257915},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OkadaYO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OrtizKMG03,
  author       = {Alberto Garc{\'{\i}}a Ortiz and
                  Lukusa D. Kabulepa and
                  Tudor Murgan and
                  Manfred Glesner},
  title        = {Moment-Based Power Estimation in Very Deep Submicron Technologies},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {107--112},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257599},
  doi          = {10.1109/ICCAD.2003.1257599},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OrtizKMG03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OzdalW03,
  author       = {Muhammet Mustafa Ozdal and
                  Martin D. F. Wong},
  title        = {Length-Matching Routing for High-Speed Printed Circuit Boards},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {394--400},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257808},
  doi          = {10.1109/ICCAD.2003.1257808},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OzdalW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PamunuwaET03,
  author       = {Dinesh Pamunuwa and
                  Shauki Elassaad and
                  Hannu Tenhunen},
  title        = {Analytic Modeling of Interconnects for Deep Sub-Micron Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {835--842},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257905},
  doi          = {10.1109/ICCAD.2003.1257905},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PamunuwaET03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PantBZSP03,
  author       = {Sanjay Pant and
                  David T. Blaauw and
                  Vladimir Zolotov and
                  Savithri Sundareswaran and
                  Rajendran Panda},
  title        = {Vectorless Analysis of Supply Noise Induced Delay Variation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {184--192},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257629},
  doi          = {10.1109/ICCAD.2003.1257629},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PantBZSP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ParkKY03,
  author       = {In{-}Cheol Park and
                  Se{-}Hyeon Kang and
                  Yongseok Yi},
  title        = {Fast Cycle-accurate Behavioral Simulation for Pipelined Processors
                  Using Early Pipeline Evaluation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {138--141},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257613},
  doi          = {10.1109/ICCAD.2003.1257613},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ParkKY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PetrovO03,
  author       = {Peter Petrov and
                  Alex Orailoglu},
  title        = {Compiler-Based Register Name Adjustment for Low-Power Embedded Processors},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {523--528},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257861},
  doi          = {10.1109/ICCAD.2003.1257861},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PetrovO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PhillipsAOS03,
  author       = {Joel R. Phillips and
                  Jo{\~{a}}o Afonso and
                  Arlindo L. Oliveira and
                  Lu{\'{\i}}s Miguel Silveira},
  title        = {Analog Macromodeling using Kernel Methods},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {446--453},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257815},
  doi          = {10.1109/ICCAD.2003.1257815},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PhillipsAOS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PomeranzR03,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {On Application of Output Masking to Undetectable Faults in Synchronous
                  Sequential Circuits with Design-for-Testability Logic},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {867--873},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257910},
  doi          = {10.1109/ICCAD.2003.1257910},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PomeranzR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RajaramLGMH03,
  author       = {Anand Rajaram and
                  Bing Lu and
                  Wei Guo and
                  Rabi N. Mahapatra and
                  Jiang Hu},
  title        = {Analytical Bound for Unwanted Clock Skew due to Wire Width Variation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {401--407},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257809},
  doi          = {10.1109/ICCAD.2003.1257809},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RajaramLGMH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaoLBB03,
  author       = {Rahul M. Rao and
                  Frank Liu and
                  Jeffrey L. Burns and
                  Richard B. Brown},
  title        = {A Heuristic to Determine Low Leakage Sleep State Vectors for {CMOS}
                  Combinational Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {689--692},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257884},
  doi          = {10.1109/ICCAD.2003.1257884},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RaoLBB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RavindranKS03,
  author       = {Kaushik Ravindran and
                  Andreas Kuehlmann and
                  Ellen Sentovich},
  title        = {Multi-Domain Clock Skew Scheduling},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {801--808},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257900},
  doi          = {10.1109/ICCAD.2003.1257900},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RavindranKS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaychowdhuryMR03,
  author       = {Arijit Raychowdhury and
                  Saibal Mukhopadhyay and
                  Kaushik Roy},
  title        = {Modeling of Ballistic Carbon Nanotube Field Effect Transistors for
                  Efficient Circuit Simulation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {487--490},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257855},
  doi          = {10.1109/ICCAD.2003.1257855},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RaychowdhuryMR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SassoneL03,
  author       = {Peter G. Sassone and
                  Sung Kyu Lim},
  title        = {A Novel Geometric Algorithm for Fast Wire-Optimized Floorplanning},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {74--80},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257589},
  doi          = {10.1109/ICCAD.2003.1257589},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SassoneL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SehgalOC03,
  author       = {Anuja Sehgal and
                  Sule Ozev and
                  Krishnendu Chakrabarty},
  title        = {{TAM} Optimization for Mixed-Signal SOCs using Analog Test Wrappers},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {95--99},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257594},
  doi          = {10.1109/ICCAD.2003.1257594},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SehgalOC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SekarLD03,
  author       = {Krishna Sekar and
                  Kanishka Lahiri and
                  Sujit Dey},
  title        = {Dynamic Platform Management for Configurable Platform-Based System-on-Chips},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {641--649},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257878},
  doi          = {10.1109/ICCAD.2003.1257878},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SekarLD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SelvakkumaranK03,
  author       = {Navaratnasothie Selvakkumaran and
                  George Karypis},
  title        = {Multi.Objective Hypergraph Partitioning Algorithms for Cut and Maximum
                  Subdomain Degree Minimization},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {726--733},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257889},
  doi          = {10.1109/ICCAD.2003.1257889},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SelvakkumaranK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Sheehan03,
  author       = {Bernard N. Sheehan},
  title        = {Branch Merge Reduction of {RLCM} Networks},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {658--664},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257880},
  doi          = {10.1109/ICCAD.2003.1257880},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Sheehan03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SinanogluO03,
  author       = {Ozgur Sinanoglu and
                  Alex Orailoglu},
  title        = {Partial Core Encryption for Performance-Efficient Test of SOCs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {91--94},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257592},
  doi          = {10.1109/ICCAD.2003.1257592},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SinanogluO03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SinghTP03,
  author       = {Abhishek Singh and
                  Jitin Tharian and
                  Jim Plusquellic},
  title        = {Path Delay Estimation using Power Supply Transient Signals: {A} Comparative
                  Study using Fourier and Wavelet Analysis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {748--753},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257892},
  doi          = {10.1109/ICCAD.2003.1257892},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SinghTP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SongZRW03,
  author       = {Ben Song and
                  Zhenhai Zhu and
                  John D. Rockway and
                  Jacob K. White},
  title        = {A New Surface Integral Formulation For Wideband Impedance Extraction
                  of 3-D Structures},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {843--847},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257906},
  doi          = {10.1109/ICCAD.2003.1257906},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SongZRW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SrivastavaMCS03,
  author       = {Ankur Srivastava and
                  Seda Ogrenci Memik and
                  Bo{-}Kyung Choi and
                  Majid Sarrafzadeh},
  title        = {Achieving Design Closure Through Delay Relaxation Parameter},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {54--57},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257585},
  doi          = {10.1109/ICCAD.2003.1257585},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SrivastavaMCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/StammermannHSSN03,
  author       = {Ansgar Stammermann and
                  Domenik Helms and
                  Milan Schulte and
                  Arne Schulz and
                  Wolfgang Nebel},
  title        = {Binding, Allocation and Floorplanning in Low Power High-Level Synthesis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {544--550},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257864},
  doi          = {10.1109/ICCAD.2003.1257864},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/StammermannHSSN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Stanley-MarbellM03,
  author       = {Phillip Stanley{-}Marbell and
                  Diana Marculescu},
  title        = {Dynamic Fault-Tolerance and Metrics for Battery Powered, Failure-Prone
                  Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {633--640},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257877},
  doi          = {10.1109/ICCAD.2003.1257877},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Stanley-MarbellM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/StehrPSGA03,
  author       = {Guido Stehr and
                  Michael Pronath and
                  Frank Schenkel and
                  Helmut E. Graeb and
                  Kurt Antreich},
  title        = {Initial Sizing of Analog Integrated Circuits by Centering Within Topology-Given
                  Implicit Specification},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {241--246},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257655},
  doi          = {10.1109/ICCAD.2003.1257655},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/StehrPSGA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SunRRJ03,
  author       = {Fei Sun and
                  Srivaths Ravi and
                  Anand Raghunathan and
                  Niraj K. Jha},
  title        = {A Scalable Application-Specific Processor Synthesis Methodology},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {283--290},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257678},
  doi          = {10.1109/ICCAD.2003.1257678},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SunRRJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SwahnH03,
  author       = {Brian Swahn and
                  Soha Hassoun},
  title        = {Hardware Scheduling for Dynamic Adaptability using External Profiling
                  and Hardware Threading},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {58--65},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257586},
  doi          = {10.1109/ICCAD.2003.1257586},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SwahnH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SwaminathanC03,
  author       = {Vishnu Swaminathan and
                  Krishnendu Chakrabarty},
  title        = {Generalized Network Flow Techniques for Dynamic Voltage Scaling in
                  Hard Real-Time Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {21--25},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257580},
  doi          = {10.1109/ICCAD.2003.1257580},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SwaminathanC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Taherzadeh-SaniLS03,
  author       = {Mohammad Taherzadeh{-}Sani and
                  Reza Lotfi and
                  Omid Shoaei},
  title        = {A Statistical Approach to Estimate the Dynamic Non-Linearity Parameters
                  of Pipeline ADCs},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {367--370},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257803},
  doi          = {10.1109/ICCAD.2003.1257803},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Taherzadeh-SaniLS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Tan03,
  author       = {Sheldon X.{-}D. Tan},
  title        = {A General S-Domain Hierarchical Network Reduction Algorithm},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {650--657},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257879},
  doi          = {10.1109/ICCAD.2003.1257879},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Tan03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/UmK03,
  author       = {Junhyung Um and
                  Taewhan Kim},
  title        = {Code Placement with Selective Cache Activity Minimization for Embedded
                  Real-time Software Design},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {197--200},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257634},
  doi          = {10.1109/ICCAD.2003.1257634},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/UmK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VanasscheGS03,
  author       = {Piet Vanassche and
                  Georges G. E. Gielen and
                  Willy M. C. Sansen},
  title        = {A Generalized Method for Computing Oscillator Phase Noise Spectra},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {247--250},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257661},
  doi          = {10.1109/ICCAD.2003.1257661},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/VanasscheGS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VaratkarM03,
  author       = {Girish Varatkar and
                  Radu Marculescu},
  title        = {Communication-Aware Task Scheduling and Voltage Selection for Total
                  Systems Energy Minimization},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {510--517},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257859},
  doi          = {10.1109/ICCAD.2003.1257859},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/VaratkarM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Veerse03,
  author       = {Fabrice Veers{\'{e}}},
  title        = {Efficient Iterative Time Preconditioners for Harmonic Balance {RF}
                  Circuit Simulation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {251--255},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257664},
  doi          = {10.1109/ICCAD.2003.1257664},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Veerse03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangHS03,
  author       = {Chao Wang and
                  Gary D. Hachtel and
                  Fabio Somenzi},
  title        = {The Compositional Far Side of Image Computation},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {334--341},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257733},
  doi          = {10.1109/ICCAD.2003.1257733},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangHS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangLJHS03,
  author       = {Chao Wang and
                  Bing Li and
                  HoonSang Jin and
                  Gary D. Hachtel and
                  Fabio Somenzi},
  title        = {Improving Ariadne{\'{y}}s Bundle by Following Multiple Threads
                  in Abstraction Refinement},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {408--415},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCAD.2003.1257810},
  doi          = {10.1109/ICCAD.2003.1257810},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangLJHS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangR03,
  author       = {Qi Wang and
                  Sumit Roy},
  title        = {{RTL} Power Optimization with Gate-Level Accuracy},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {39--45},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257583},
  doi          = {10.1109/ICCAD.2003.1257583},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangRPRT03,
  author       = {Chen Wang and
                  Sudhakar M. Reddy and
                  Irith Pomeranz and
                  Janusz Rajski and
                  Jerzy Tyszer},
  title        = {On Compacting Test Response Data Containing Unknown Values},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {855--862},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257908},
  doi          = {10.1109/ICCAD.2003.1257908},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangRPRT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangRR03,
  author       = {Maogang Wang and
                  Abhishek Ranjan and
                  Salil Raje},
  title        = {Multi-Million Gate {FPGA} Physical Design Challenges},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {891--899},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257913},
  doi          = {10.1109/ICCAD.2003.1257913},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangRR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XiaCWJ03,
  author       = {Yu Xia and
                  Malgorzata Chrzanowska{-}Jeske and
                  Benyi Wang and
                  Marcin Jeske},
  title        = {Using a Distributed Rectangle Bin-Packing Approach for Core-based
                  SoC Test Scheduling with Power Constraints},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {100--106},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257595},
  doi          = {10.1109/ICCAD.2003.1257595},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XiaCWJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XiangTW03,
  author       = {Hua Xiang and
                  Xiaoping Tang and
                  Martin D. F. Wong},
  title        = {Bus-Driven Floorplanning},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {66--73},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257588},
  doi          = {10.1109/ICCAD.2003.1257588},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XiangTW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuWCS03,
  author       = {Huaiyu Xu and
                  Maogang Wang and
                  Bo{-}Kyung Choi and
                  Majid Sarrafzadeh},
  title        = {A Trade-off Oriented Placement Tool},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {467--471},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257852},
  doi          = {10.1109/ICCAD.2003.1257852},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XuWCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YanLJ03,
  author       = {Le Yan and
                  Jiong Luo and
                  Niraj K. Jha},
  title        = {Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous
                  Distributed Real-time Embedded Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {30--38},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257582},
  doi          = {10.1109/ICCAD.2003.1257582},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YanLJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangS03,
  author       = {Lei Yang and
                  Chuanjin Richard Shi},
  title        = {{FROSTY:} {A} Fast Hierarchy Extractor for Industrial {CMOS} Circuits},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {741--747},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257891},
  doi          = {10.1109/ICCAD.2003.1257891},
  timestamp    = {Mon, 29 Jul 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YangS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YehM03,
  author       = {Chao{-}Yang Yeh and
                  Malgorzata Marek{-}Sadowska},
  title        = {Minimum-Area Sequential Budgeting for {FPGA}},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {813--817},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257902},
  doi          = {10.1109/ICCAD.2003.1257902},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YehM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YioultsisWC03,
  author       = {Traianos V. Yioultsis and
                  Anne Woo and
                  Andreas C. Cangellaris},
  title        = {Passive Synthesis of Compact Frequency-Dependent Interconnect Models
                  via Quadrature Spectral Rules},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {827--834},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257904},
  doi          = {10.1109/ICCAD.2003.1257904},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YioultsisWC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuanPAA03,
  author       = {Jun Yuan and
                  Carl Pixley and
                  Adnan Aziz and
                  Ken Albin},
  title        = {A Framework for Constrained Functional Verification},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {142--145},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257615},
  doi          = {10.1109/ICCAD.2003.1257615},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuanPAA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangCS03,
  author       = {Ying Zhang and
                  Krishnendu Chakrabarty and
                  Vishnu Swaminathan},
  title        = {Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded
                  Systems},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {209--214},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257640},
  doi          = {10.1109/ICCAD.2003.1257640},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangCS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangFPC03,
  author       = {Zhiru Zhang and
                  Yiping Fan and
                  Miodrag Potkonjak and
                  Jason Cong},
  title        = {Gradual Relaxation Techniques with Applications to Behavioral Synthesis},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {529--535},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257862},
  doi          = {10.1109/ICCAD.2003.1257862},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangFPC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhuK03,
  author       = {Yunshan Zhu and
                  James H. Kukula},
  title        = {Generator-based Verification},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {146--153},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257617},
  doi          = {10.1109/ICCAD.2003.1257617},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhuK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccad/2003,
  title        = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8895/proceeding},
  isbn         = {1-58113-762-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}