Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2006p.bht:"
@inproceedings{DBLP:conf/date/AbbasIA06, author = {Mohamed Abbas and Makoto Ikeda and Kunihiro Asada}, editor = {Georges G. E. Gielen}, title = {On-chip 8GHz non-periodic high-swing noise detector}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {670--671}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244040}, doi = {10.1109/DATE.2006.244040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbbasIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbbaspourFP06, author = {Soroush Abbaspour and Hanif Fatemi and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Non-gaussian statistical interconnect timing analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {533--538}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243891}, doi = {10.1109/DATE.2006.243891}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbbaspourFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbdollahiP06, author = {Afshin Abdollahi and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Analysis and synthesis of quantum circuits by using quantum decision diagrams}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {317--322}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244176}, doi = {10.1109/DATE.2006.244176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbdollahiP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmedM06, author = {Waseem Ahmed and Doug Myers}, editor = {Georges G. E. Gielen}, title = {Faster exploration of high level design alternatives using {UML} for better partitions}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {579--580}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243971}, doi = {10.1109/DATE.2006.243971}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AhmedM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhnYPKKC06, author = {Minwook Ahn and Jonghee W. Yoon and Yunheung Paek and Yoonjin Kim and Mary Kiemb and Kiyoung Choi}, editor = {Georges G. E. Gielen}, title = {A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {363--368}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243737}, doi = {10.1109/DATE.2006.243737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AhnYPKKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-ArsHG06, author = {Zaid Al{-}Ars and Said Hamdioui and Ad J. van de Goor}, editor = {Georges G. E. Gielen}, title = {Space of {DRAM} fault models and corresponding testing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1252--1257}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244080}, doi = {10.1109/DATE.2006.244080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Al-ArsHG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-JunaidK06, author = {Hessa Al{-}Junaid and Tom J. Kazmierski}, editor = {Georges G. E. Gielen}, title = {{HDL} models of ferromagnetic core hysteresis using timeless discretisation of the magnetic slope}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {644--645}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244011}, doi = {10.1109/DATE.2006.244011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Al-JunaidK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Albrecht06, author = {Christoph Albrecht}, editor = {Georges G. E. Gielen}, title = {Efficient incremental clock latency scheduling for large circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1091--1096}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243961}, doi = {10.1109/DATE.2006.243961}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Albrecht06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlimondaACP06, author = {Andrea Alimonda and Andrea Acquaviva and Salvatore Carta and Alessandro Pisano}, editor = {Georges G. E. Gielen}, title = {A control theoretic approach to run-time energy optimization of pipelined processing in MPSoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {876--877}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243772}, doi = {10.1109/DATE.2006.243772}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlimondaACP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlmukhaizimM06, author = {Sobeeh Almukhaizim and Yiorgos Makris}, editor = {Georges G. E. Gielen}, title = {Berger code-based concurrent error detection in asynchronous burst-mode machines}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {71--72}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243984}, doi = {10.1109/DATE.2006.243984}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AlmukhaizimM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmelifardFP06, author = {Behnam Amelifard and Farzan Fallah and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Reducing the sub-threshold and gate-tunneling leakage of {SRAM} cells using Dual-Vt and Dual-Tox assignment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {995--1000}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243896}, doi = {10.1109/DATE.2006.243896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AmelifardFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AngioliniCLFFB06, author = {Federico Angiolini and Jianjiang Ceng and Rainer Leupers and Federico Ferrari and Cesare Ferri and Luca Benini}, editor = {Georges G. E. Gielen}, title = {An integrated open framework for heterogeneous MPSoC design space exploration}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1145--1150}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244000}, doi = {10.1109/DATE.2006.244000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AngioliniCLFFB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AngioliniMCBR06, author = {Federico Angiolini and Paolo Meloni and Salvatore Carta and Luca Benini and Luigi Raffo}, editor = {Georges G. E. Gielen}, title = {Contrasting a NoC and a traditional interconnect fabric with layout awareness}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {124--129}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244033}, doi = {10.1109/DATE.2006.244033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AngioliniMCBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ArpinenKSHH06, author = {Tero Arpinen and Petri Kukkala and Erno Salminen and Marko H{\"{a}}nnik{\"{a}}inen and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen}, editor = {Georges G. E. Gielen}, title = {Configurable multiprocessor platform with {RTOS} for distributed execution of {UML} 2.0 designed applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1324--1329}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244125}, doi = {10.1109/DATE.2006.244125}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ArpinenKSHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AsadiSTK06, author = {Hossein Asadi and Vilas Sridharan and Mehdi Baradaran Tahoori and David R. Kaeli}, editor = {Georges G. E. Gielen}, title = {Vulnerability analysis of {L2} cache elements to single event upsets}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1276--1281}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244100}, doi = {10.1109/DATE.2006.244100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AsadiSTK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BabighianBMM06, author = {Pietro Babighian and Luca Benini and Alberto Macii and Enrico Macii}, editor = {Georges G. E. Gielen}, title = {Enabling fine-grain leakage management by voltage anchor insertion}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {868--873}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243770}, doi = {10.1109/DATE.2006.243770}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BabighianBMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BalachandranBCWRNB06, author = {J. Balachandran and Steven Brebels and Geert Carchon and Tomas Webers and Walter De Raedt and Bart Nauwelaers and Eric Beyne}, editor = {Georges G. E. Gielen}, title = {Analysis and modeling of power grid transmission lines}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {33--38}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243965}, doi = {10.1109/DATE.2006.243965}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BalachandranBCWRNB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BalarinP06, author = {Felice Balarin and Roberto Passerone}, editor = {Georges G. E. Gielen}, title = {Functional verification methodology based on formal interface specification and transactor generation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1013--1018}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243899}, doi = {10.1109/DATE.2006.243899}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BalarinP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanerjeeRMB06, author = {Nilanjan Banerjee and Kaushik Roy and Hamid Mahmoodi{-}Meimand and Swarup Bhunia}, editor = {Georges G. E. Gielen}, title = {Low power synthesis of dynamic logic circuits using fine-grained clock gating}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {862--867}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243769}, doi = {10.1109/DATE.2006.243769}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanerjeeRMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BartzasMPACST06, author = {Alexandros Bartzas and Stylianos Mamagkakis and Georgios Pouiklis and David Atienza and Francky Catthoor and Dimitrios Soudris and Antonios Thanailakis}, editor = {Georges G. E. Gielen}, title = {Dynamic data type refinement methodology for systematic performance-energy design exploration of network applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {740--745}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244093}, doi = {10.1109/DATE.2006.244093}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BartzasMPACST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeltrameSSLP06, author = {Giovanni Beltrame and Donatella Sciuto and Cristina Silvano and Damien Lyonnard and Chuck Pilkington}, editor = {Georges G. E. Gielen}, title = {Exploiting {TLM} and object introspection for system-level simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {100--105}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244004}, doi = {10.1109/DATE.2006.244004}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeltrameSSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Benini06, author = {Luca Benini}, editor = {Georges G. E. Gielen}, title = {Application specific NoC design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {491--495}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243857}, doi = {10.1109/DATE.2006.243857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Benini06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BensoBCNP06, author = {Alfredo Benso and Alberto Bosio and Stefano Di Carlo and Giorgio Di Natale and Paolo Prinetto}, editor = {Georges G. E. Gielen}, title = {Automatic march tests generations for static linked faults in SRAMs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1258--1263}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244097}, doi = {10.1109/DATE.2006.244097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BensoBCNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernardiSSSR06, author = {Paolo Bernardi and Ernesto S{\'{a}}nchez and Massimiliano Schillaci and Giovanni Squillero and Matteo Sonza Reorda}, editor = {Georges G. E. Gielen}, title = {An effective technique for minimizing the cost of processor software-based diagnosis in SoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {412--417}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243795}, doi = {10.1109/DATE.2006.243795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BernardiSSSR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BernasconiCDV06, author = {Anna Bernasconi and Valentina Ciriani and Rolf Drechsler and Tiziano Villa}, editor = {Georges G. E. Gielen}, title = {Efficient minimization of fully testable 2-SPP networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1300--1305}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244121}, doi = {10.1109/DATE.2006.244121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BernasconiCDV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BertozziABP06, author = {Stefano Bertozzi and Andrea Acquaviva and Davide Bertozzi and Antonio Poggiali}, editor = {Georges G. E. Gielen}, title = {Supporting task migration in multi-processor systems-on-chip: a feasibility study}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {15--20}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243952}, doi = {10.1109/DATE.2006.243952}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BertozziABP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Beutel06, author = {Jan Beutel}, editor = {Georges G. E. Gielen}, title = {Fast-prototyping using the BTnode platform}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {977--982}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243867}, doi = {10.1109/DATE.2006.243867}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Beutel06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhaduriSCTGG06, author = {Debayan Bhaduri and Sandeep K. Shukla and Deji Coker and Valerie E. Taylor and Paul S. Graham and Maya B. Gokhale}, editor = {Georges G. E. Gielen}, title = {A hybrid framework for design and analysis of fault-tolerant architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {335--336}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244179}, doi = {10.1109/DATE.2006.244179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BhaduriSCTGG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhanjaOLP06, author = {Sanjukta Bhanja and Marco Ottavi and Fabrizio Lombardi and Salvatore Pontarelli}, editor = {Georges G. E. Gielen}, title = {Novel designs for thermally robust coplanar crossing in {QCA}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {786--791}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244120}, doi = {10.1109/DATE.2006.244120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BhanjaOLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiswasDIP06, author = {Partha Biswas and Nikil D. Dutt and Paolo Ienne and Laura Pozzi}, editor = {Georges G. E. Gielen}, title = {Automatic identification of application-specific functional units with architecturally visible storage}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {212--217}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244088}, doi = {10.1109/DATE.2006.244088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BiswasDIP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFP06, author = {Nicola Bombieri and Franco Fummi and Graziano Pravadelli}, editor = {Georges G. E. Gielen}, title = {On the evaluation of transactor-based verification for reusing {TLM} assertions and testbenches at {RTL}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1007--1012}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243898}, doi = {10.1109/DATE.2006.243898}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoniventoCS06, author = {Alvise Bonivento and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Platform-based design of wireless sensor networks for industrial applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1103--1107}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243975}, doi = {10.1109/DATE.2006.243975}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoniventoCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonnetLM06, author = {Philippe Bonnet and Martin Leopold and Klaus Madsen}, editor = {Georges G. E. Gielen}, title = {Hogthrob: towards a sensor network infrastructure for sow monitoring (wireless sensor network special day)}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1109}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243977}, doi = {10.1109/DATE.2006.243977}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BonnetLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrackKW06, author = {Torben Brack and Frank Kienle and Norbert Wehn}, editor = {Georges G. E. Gielen}, title = {Disclosing the {LDPC} code decoder design space}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {200--205}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244086}, doi = {10.1109/DATE.2006.244086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrackKW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BudnikR06, author = {Mark M. Budnik and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {Minimizing ohmic loss and supply voltage variation using a novel distributed power supply network}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1116--1121}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243979}, doi = {10.1109/DATE.2006.243979}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BudnikR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BuhlerKBHSSPR06, author = {Markus B{\"{u}}hler and J{\"{u}}rgen Koehl and Jeanne Bickford and Jason Hibbeler and Ulf Schlichtmann and Ralf Sommer and Michael Pronath and Andreas Ripp}, editor = {Georges G. E. Gielen}, title = {{DFM/DFY} design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {387--392}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243763}, doi = {10.1109/DATE.2006.243763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BuhlerKBHSSPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurgBWSB06, author = {Andreas Burg and Moritz Borgmann and Markus Wenk and Christoph Studer and Helmut B{\"{o}}lcskei}, editor = {Georges G. E. Gielen}, title = {Advanced receiver algorithms for {MIMO} wireless communications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {593--598}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243974}, doi = {10.1109/DATE.2006.243974}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BurgBWSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarbognaniBFKF06, author = {Flavio Carbognani and Felix B{\"{u}}rgin and Norbert Felber and Hubert Kaeslin and Wolfgang Fichtner}, editor = {Georges G. E. Gielen}, title = {Two-phase resonant clocking for ultra-low-power hearing aid applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {73--78}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243985}, doi = {10.1109/DATE.2006.243985}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CarbognaniBFKF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaiK06, author = {Donald Chai and Andreas Kuehlmann}, editor = {Georges G. E. Gielen}, title = {Building a better Boolean matcher and symmetry detector}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1079--1084}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243959}, doi = {10.1109/DATE.2006.243959}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChaiK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrabortySDMMP06, author = {Ashutosh Chakraborty and Prassanna Sithambaram and Karthik Duraisami and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Georges G. E. Gielen}, title = {Thermal resilient bounded-skew clock tree optimization methodology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {832--837}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243740}, doi = {10.1109/DATE.2006.243740}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChakrabortySDMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrapaniACKPS06, author = {Lakshmi N. Chakrapani and Bilge Saglam Akgul and Suresh Cheemalavagu and Pinar Korkmaz and Krishna V. Palem and Balasubramanian Seshasayee}, editor = {Georges G. E. Gielen}, title = {Ultra-efficient (embedded) {SOC} architectures based on probabilistic {CMOS} {(PCMOS)} technology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1110--1115}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243978}, doi = {10.1109/DATE.2006.243978}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChakrapaniACKPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Chang06, author = {Yen{-}Jen Chang}, editor = {Georges G. E. Gielen}, title = {An ultra low-power {TLB} design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1122--1127}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243980}, doi = {10.1109/DATE.2006.243980}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Chang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaoWCWC06, author = {Mango Chia{-}Tso Chao and Seongmoon Wang and Srimat T. Chakradhar and Wenlong Wei and Kwang{-}Ting Cheng}, editor = {Georges G. E. Gielen}, title = {Coverage loss by using space compactors in presence of unknown values}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1053--1054}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243930}, doi = {10.1109/DATE.2006.243930}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChaoWCWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChattopadhyayGKWSILAM06, author = {Anupam Chattopadhyay and B. Geukes and David Kammler and Ernst Martin Witte and Oliver Schliebusch and Harold Ishebabi and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Georges G. E. Gielen}, title = {Automatic ADL-based operand isolation for embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {600--605}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243993}, doi = {10.1109/DATE.2006.243993}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChattopadhyayGKWSILAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenMBR06, author = {Qikai Chen and Saibal Mukhopadhyay and Aditya Bansal and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {Circuit-aware device design methodology for nanometer technologies: a case study for low power {SRAM} design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {983--988}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243868}, doi = {10.1109/DATE.2006.243868}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenMBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenOKK06, author = {Guilin Chen and Ozcan Ozturk and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, editor = {Georges G. E. Gielen}, title = {Dynamic scratch-pad memory management for irregular array access patterns}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {931--936}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243810}, doi = {10.1109/DATE.2006.243810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenOKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChengG06, author = {Hui Cheng and Steve Goddard}, editor = {Georges G. E. Gielen}, title = {Online energy-aware {I/O} device scheduling for hard real-time systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1055--1060}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243931}, doi = {10.1109/DATE.2006.243931}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChengG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CherrounDF06, author = {Hadda Cherroun and Alain Darte and Paul Feautrier}, editor = {Georges G. E. Gielen}, title = {Scheduling under resource constraints using dis-equations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1067--1072}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243957}, doi = {10.1109/DATE.2006.243957}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CherrounDF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoRJ06, author = {Hyeonjoong Cho and Binoy Ravindran and E. Douglas Jensen}, editor = {Georges G. E. Gielen}, title = {Lock-free synchronization for dynamic embedded real-time systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {438--443}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243800}, doi = {10.1109/DATE.2006.243800}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChoRJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ConradD06, author = {Mirko Conrad and Heiko D{\"{o}}rr}, editor = {Georges G. E. Gielen}, title = {Model-based development of in-vehicle software}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {89--90}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243989}, doi = {10.1109/DATE.2006.243989}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ConradD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CorneaND06, author = {Radu Cornea and Alexandru Nicolau and Nikil D. Dutt}, editor = {Georges G. E. Gielen}, title = {Software annotations for power optimization on mobile devices}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {684--689}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244043}, doi = {10.1109/DATE.2006.244043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CorneaND06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DErricoQ06, author = {Joseph D'Errico and Wei Qin}, editor = {Georges G. E. Gielen}, title = {Constructing portable compiled instruction-set simulators: an ADL-driven approach}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {112--117}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244006}, doi = {10.1109/DATE.2006.244006}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DErricoQ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasBDC06, author = {Sayantan Das and Prasenjit Basu and Pallab Dasgupta and P. P. Chakrabarti}, editor = {Georges G. E. Gielen}, title = {What lies between design intent coverage and model checking?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1217--1222}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244051}, doi = {10.1109/DATE.2006.244051}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DasBDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Degardins06, author = {Pascal D{\'{e}}gardins}, editor = {Georges G. E. Gielen}, title = {Electric and electronic vehicle architecture assessment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {558}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243925}, doi = {10.1109/DATE.2006.243925}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Degardins06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DensmoreDS06, author = {Douglas Densmore and Adam Donlin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {{FPGA} architecture characterization for system level performance analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {734--739}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244092}, doi = {10.1109/DATE.2006.244092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DensmoreDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DhayniMRB06, author = {Achraf Dhayni and Salvador Mir and Libor Rufer and Ahc{\`{e}}ne Bounceur}, editor = {Georges G. E. Gielen}, title = {Pseudorandom functional {BIST} for linear and nonlinear {MEMS}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {664--669}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244039}, doi = {10.1109/DATE.2006.244039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DhayniMRB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DililloRAG06, author = {Luigi Dilillo and Paul M. Rosinger and Bashir M. Al{-}Hashimi and Patrick Girard}, editor = {Georges G. E. Gielen}, title = {Minimizing test power in {SRAM} through reduction of pre-charge activity}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1159--1164}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244016}, doi = {10.1109/DATE.2006.244016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DililloRAG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DimondML06, author = {Robert G. Dimond and Oskar Mencer and Wayne Luk}, editor = {Georges G. E. Gielen}, title = {Automating processor customisation: optimised memory access and resource sharing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {206--211}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244087}, doi = {10.1109/DATE.2006.244087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DimondML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuttA06, author = {Shantanu Dutt and Hasan Arslan}, editor = {Georges G. E. Gielen}, title = {Efficient timing-driven incremental routing for {VLSI} circuits using {DFS} and localized slack-satisfaction computations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {768--773}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244117}, doi = {10.1109/DATE.2006.244117}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DuttA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/El-HoiydiACCDEGGLMPPPRRRV06, author = {Amre El{-}Hoiydi and Claude Arm and Ricardo Caseiro and Stefan Cserveny and Jean{-}Dominique Decotignie and Christian C. Enz and Fr{\'{e}}d{\'{e}}ric Giroud and Steve Gyger and E. Leroux and Thierry Melly and Vincent Peiris and Franz{-}Xaver Pengg and Pierre{-}David Pfister and Nicolas Raemy and A. Ribordy and David Ruffieux and Patrick Volet}, editor = {Georges G. E. Gielen}, title = {The ultra low-power wiseNET system}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {971--976}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243866}, doi = {10.1109/DATE.2006.243866}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/El-HoiydiACCDEGGLMPPPRRRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EyermanEB06, author = {Stijn Eyerman and Lieven Eeckhout and Koen De Bosschere}, editor = {Georges G. E. Gielen}, title = {Efficient design space exploration of high performance embedded out-of-order processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {351--356}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243735}, doi = {10.1109/DATE.2006.243735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EyermanEB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FeyGD06, author = {G{\"{o}}rschwin Fey and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Georges G. E. Gielen}, title = {Avoiding false negatives in formal verification for protocol-driven blocks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1225--1226}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244074}, doi = {10.1109/DATE.2006.244074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FeyGD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FeySVD06, author = {G{\"{o}}rschwin Fey and Sean Safarpour and Andreas G. Veneris and Rolf Drechsler}, editor = {Georges G. E. Gielen}, title = {On the relation between simulation-based and SAT-based diagnosis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1139--1144}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243999}, doi = {10.1109/DATE.2006.243999}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FeySVD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FrehseKR06, author = {Goran Frehse and Bruce H. Krogh and Rob A. Rutenbar}, editor = {Georges G. E. Gielen}, title = {Verifying analog oscillator circuits using forward/backward abstraction refinement}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {257--262}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244113}, doi = {10.1109/DATE.2006.244113}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FrehseKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Friedman06, author = {Jon Friedman}, editor = {Georges G. E. Gielen}, title = {MATLAB/Simulink for automotive systems design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {87--88}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243988}, doi = {10.1109/DATE.2006.243988}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Friedman06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GandhiM06, author = {Kaushal R. Gandhi and Nihar R. Mahapatra}, editor = {Georges G. E. Gielen}, title = {Exploiting data-dependent slack using dynamic multi-VDD to minimize energy consumption in datapath circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1001--1006}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243897}, doi = {10.1109/DATE.2006.243897}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GandhiM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GarciaMN06, author = {Jos{\'{e}} C. Garc{\'{\i}}a and Juan A. Montiel{-}Nelson and Saeid Nooshabadi}, editor = {Georges G. E. Gielen}, title = {Bootstrapped full-swing {CMOS} driver for low supply voltage operation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {410--411}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243767}, doi = {10.1109/DATE.2006.243767}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GarciaMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GianniniNBCCDB06, author = {Vito Giannini and Pierluigi Nuzzo and Fernando De Bernardinis and Jan Craninckx and Boris Come and Stefano D'Amico and Andrea Baschirotto}, editor = {Georges G. E. Gielen}, title = {A synthesis tool for power-efficient base-band filter design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {162--163}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244059}, doi = {10.1109/DATE.2006.244059}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GianniniNBCCDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GillPW06, author = {Balkaran S. Gill and Christos A. Papachristou and Francis G. Wolff}, editor = {Georges G. E. Gielen}, title = {Soft delay error analysis in logic circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {47--52}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243968}, doi = {10.1109/DATE.2006.243968}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GillPW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GiunchigliaNT06, author = {Enrico Giunchiglia and Massimo Narizzano and Armando Tacchella}, editor = {Georges G. E. Gielen}, title = {Quantifier structure in search based procedures for QBFs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {812--817}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244148}, doi = {10.1109/DATE.2006.244148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GiunchigliaNT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrossschadlIPTV06, author = {Johann Gro{\ss}sch{\"{a}}dl and Paolo Ienne and Laura Pozzi and Stefan Tillich and Ajay Kumar Verma}, editor = {Georges G. E. Gielen}, title = {Combining algorithm exploration with instruction set design: a case study in elliptic curve cryptography}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {218--223}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244089}, doi = {10.1109/DATE.2006.244089}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GrossschadlIPTV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuillotBRCGA06, author = {J{\'{e}}r{\'{e}}mie Guillot and Emmanuel Boutillon and Qian Ren and Maciej J. Ciesielski and Daniel Gomez{-}Prado and Serkan Askar}, editor = {Georges G. E. Gielen}, title = {Efficient factorization of {DSP} transforms using taylor expansion diagrams}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {754--755}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244096}, doi = {10.1109/DATE.2006.244096}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuillotBRCGA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaJL06, author = {Pallav Gupta and Niraj K. Jha and Loganathan Lingappan}, editor = {Georges G. E. Gielen}, title = {Test generation for combinational quantum cellular automata {(QCA)} circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {311--316}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244175}, doi = {10.1109/DATE.2006.244175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuptaJL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuzWBCGK06, author = {Zvika Guz and Isask'har Walter and Evgeny Bolotin and Israel Cidon and Ran Ginosar and Avinoam Kolodny}, editor = {Georges G. E. Gielen}, title = {Efficient link capacity and QoS design for network-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {9--14}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243951}, doi = {10.1109/DATE.2006.243951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuzWBCGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HabibiTSLM06, author = {Ali Habibi and Sofi{\`{e}}ne Tahar and Amer Samarah and Donglin Li and Otmane A{\"{\i}}t Mohamed}, editor = {Georges G. E. Gielen}, title = {Efficient assertion based verification using {TLM}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {106--111}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244005}, doi = {10.1109/DATE.2006.244005}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HabibiTSLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HandziskiKWW06, author = {Vlado Handziski and Andreas K{\"{o}}pke and Andreas Willig and Adam Wolisz}, editor = {Georges G. E. Gielen}, title = {An environment for controlled experiments with in-house sensor networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1108}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243976}, doi = {10.1109/DATE.2006.243976}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HandziskiKWW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Harris06, author = {Ian G. Harris}, editor = {Georges G. E. Gielen}, title = {A coverage metric for the validation of interacting processes}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1019--1024}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243900}, doi = {10.1109/DATE.2006.243900}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Harris06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HassenT06, author = {Jouna{\"{\i}}di Ben Hassen and Sofi{\`{e}}ne Tahar}, editor = {Georges G. E. Gielen}, title = {On the numerical verification of probabilistic rewriting systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1223--1224}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244073}, doi = {10.1109/DATE.2006.244073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HassenT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Havinga06, author = {Paul J. M. Havinga}, editor = {Georges G. E. Gielen}, title = {Wireless sensor networks and beyond}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {970}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243865}, doi = {10.1109/DATE.2006.243865}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Havinga06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeJ06, author = {Chen He and Margarida F. Jacome}, editor = {Georges G. E. Gielen}, title = {{RAS-NANO:} a reliability-aware synthesis framework for reconfigurable nanofabrics}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1179--1184}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244020}, doi = {10.1109/DATE.2006.244020}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HePE06, author = {Zhiyuan He and Zebo Peng and Petru Eles}, editor = {Georges G. E. Gielen}, title = {Power constrained and defect-probability driven SoC test scheduling with test set partitioning}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {291--296}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244141}, doi = {10.1109/DATE.2006.244141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HePE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HealyVEBLLL06, author = {Michael B. Healy and Mario Vittes and Mongkol Ekpanyapong and Chinnakrishnan S. Ballapuram and Sung Kyu Lim and Hsien{-}Hsin S. Lee and Gabriel H. Loh}, editor = {Georges G. E. Gielen}, title = {Microarchitectural floorplanning under performance and thermal tradeoff}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1288--1293}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244102}, doi = {10.1109/DATE.2006.244102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HealyVEBLLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Heighton06, author = {John Heighton}, editor = {Georges G. E. Gielen}, title = {Designing signal processing systems for FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {92}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243991}, doi = {10.1109/DATE.2006.243991}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Heighton06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HelyBFR06, author = {David H{\'{e}}ly and Fr{\'{e}}d{\'{e}}ric Bancel and Marie{-}Lise Flottes and Bruno Rouzeyre}, editor = {Georges G. E. Gielen}, title = {A secure scan design methodology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1177--1178}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244019}, doi = {10.1109/DATE.2006.244019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HelyBFR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeniaE06, author = {Rafik Henia and Rolf Ernst}, editor = {Georges G. E. Gielen}, title = {Improved offset-analysis using multiple timing-references}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {450--455}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243802}, doi = {10.1109/DATE.2006.243802}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeniaE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HerkersdorfS06, author = {Andreas Herkersdorf and Walter Stechele}, editor = {Georges G. E. Gielen}, title = {AutoVision: flexible processor architecture for video-assisted driving}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {556}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243923}, doi = {10.1109/DATE.2006.243923}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HerkersdorfS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HosangadiFK06, author = {Anup Hosangadi and Farzan Fallah and Ryan Kastner}, editor = {Georges G. E. Gielen}, title = {Optimizing high speed arithmetic circuits using three-term extraction}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1294--1299}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244103}, doi = {10.1109/DATE.2006.244103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HosangadiFK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HosseinabadyBBN06, author = {Mohammad Hosseinabady and Abbas Banaiyan and Mahdi Nazm Bojnordi and Zainalabedin Navabi}, editor = {Georges G. E. Gielen}, title = {A concurrent testing method for NoC switches}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1171--1176}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244018}, doi = {10.1109/DATE.2006.244018}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HosseinabadyBBN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuCK06, author = {Heng{-}Ruey Hsu and Jian{-}Jia Chen and Tei{-}Wei Kuo}, editor = {Georges G. E. Gielen}, title = {Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1061--1066}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243932}, doi = {10.1109/DATE.2006.243932}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsuCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangG06, author = {Yu Huang and Keith Gallie}, editor = {Georges G. E. Gielen}, title = {Diagnosis of defects on scan enable and clock trees}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {436--437}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243799}, doi = {10.1109/DATE.2006.243799}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangG06a, author = {Po{-}Kuan Huang and Soheil Ghiasi}, editor = {Georges G. E. Gielen}, title = {Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {943--944}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243835}, doi = {10.1109/DATE.2006.243835}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangG06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangML06, author = {Jing Huang and Mariam Momenzadeh and Fabrizio Lombardi}, editor = {Georges G. E. Gielen}, title = {Defect tolerance of {QCA} tiles}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {774--779}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244118}, doi = {10.1109/DATE.2006.244118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IgnatNSN06, author = {N. Ignat and Bogdan Nicolescu and Yvon Savaria and Gabriela Nicolescu}, editor = {Georges G. E. Gielen}, title = {Soft-error classification and impact analysis on real-time operating systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {182--187}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244063}, doi = {10.1109/DATE.2006.244063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IgnatNSN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IizukaIA06, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, editor = {Georges G. E. Gielen}, title = {Timing-driven cell layout de-compaction for yield optimization by critical area minimization}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {884--889}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243774}, doi = {10.1109/DATE.2006.243774}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IizukaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IzosimovPEP06, author = {Viacheslav Izosimov and Paul Pop and Petru Eles and Zebo Peng}, editor = {Georges G. E. Gielen}, title = {Synthesis of fault-tolerant schedules with transparency/performance trade-offs for distributed embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {706--711}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244067}, doi = {10.1109/DATE.2006.244067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IzosimovPEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JerinicLHM06, author = {Vasco Jerinic and Jan Langer and Ulrich Heinkel and Dietmar M{\"{u}}ller}, editor = {Georges G. E. Gielen}, title = {New methods and coverage metrics for functional verification}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1025--1030}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243901}, doi = {10.1109/DATE.2006.243901}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JerinicLHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JinS06, author = {HoonSang Jin and Fabio Somenzi}, editor = {Georges G. E. Gielen}, title = {Strong conflict analysis for propositional satisfiability}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {818--823}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244149}, doi = {10.1109/DATE.2006.244149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JinS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KahngPSW06, author = {Andrew B. Kahng and Chul{-}Hong Park and Puneet Sharma and Qinke Wang}, editor = {Georges G. E. Gielen}, title = {Lens aberration aware timing-driven placement}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {890--895}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243803}, doi = {10.1109/DATE.2006.243803}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KahngPSW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KanajanZPS06, author = {Sri Kanajan and Haibo Zeng and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Exploring trade-off's between centralized versus decentralized automotive architectures using a virtual integration environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {548--553}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243895}, doi = {10.1109/DATE.2006.243895}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KanajanZPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KandemirCLIK06, author = {Mahmut T. Kandemir and Guangyu Chen and Feihui Li and Mary Jane Irwin and Ibrahim Kolcu}, editor = {Georges G. E. Gielen}, title = {Activity clustering for leakage management in SPMs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {696--697}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244045}, doi = {10.1109/DATE.2006.244045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KandemirCLIK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KaneMS06, author = {Roma Kane and Panagiotis Manolios and Sudarshan K. Srinivasan}, editor = {Georges G. E. Gielen}, title = {Monolithic verification of deep pipelines with collapsed flushing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1234--1239}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244077}, doi = {10.1109/DATE.2006.244077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KaneMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarlssonEP06, author = {Daniel Karlsson and Petru Eles and Zebo Peng}, editor = {Georges G. E. Gielen}, title = {Formal verification of systemc designs using a petri-net based representation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1228--1233}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244076}, doi = {10.1109/DATE.2006.244076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KarlssonEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KastnerGHBKBS06, author = {Ryan Kastner and Wenrui Gong and Xin Hao and Forrest Brewer and Adam Kaplan and Philip Brisk and Majid Sarrafzadeh}, editor = {Georges G. E. Gielen}, title = {Layout driven data communication optimization for high level synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1185--1190}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244021}, doi = {10.1109/DATE.2006.244021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KastnerGHBKBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KavousianosKN06, author = {Xrysovalantis Kavousianos and Emmanouil Kalligeros and Dimitris Nikolos}, editor = {Georges G. E. Gielen}, title = {Efficient test-data compression for {IP} cores using multilevel Huffman coding}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1033--1038}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243926}, doi = {10.1109/DATE.2006.243926}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KavousianosKN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KempfKWALM06, author = {Torsten Kempf and Kingshuk Karuri and Stefan Wallentowitz and Gerd Ascheid and Rainer Leupers and Heinrich Meyr}, editor = {Georges G. E. Gielen}, title = {A {SW} performance estimation framework for early system-level-design using fine-grained instrumentation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {468--473}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243830}, doi = {10.1109/DATE.2006.243830}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KempfKWALM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kim06, author = {Soontae Kim}, editor = {Georges G. E. Gielen}, title = {Area-efficient error protection for caches}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1282--1287}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244101}, doi = {10.1109/DATE.2006.244101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Kim06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimH06, author = {Min{-}Seok Kim and Jiang Hu}, editor = {Georges G. E. Gielen}, title = {Associative skew clock routing for difficult instances}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {762--767}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244116}, doi = {10.1109/DATE.2006.244116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHG06, author = {Dohyung Kim and Soonhoi Ha and Rajesh Gupta}, editor = {Georges G. E. Gielen}, title = {Parallel co-simulation using virtual synchronization with redundant host execution}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1151--1156}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244001}, doi = {10.1109/DATE.2006.244001}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimHG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KlingaufGG06, author = {Wolfgang Klingauf and Hagen G{\"{a}}dke and Robert G{\"{u}}nzel}, editor = {Georges G. E. Gielen}, title = {{TRAIN:} a virtual transaction layer architecture for TLM-based {HW/SW} codesign of synthesizable MPSoC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1318--1323}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244124}, doi = {10.1109/DATE.2006.244124}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KlingaufGG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KogelB06, author = {Tim Kogel and Matthew Braun}, editor = {Georges G. E. Gielen}, title = {Virtual prototyping of embedded platforms for wireless and multimedia}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {488--490}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243856}, doi = {10.1109/DATE.2006.243856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KogelB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KooM06, author = {Heon{-}Mo Koo and Prabhat Mishra}, editor = {Georges G. E. Gielen}, title = {Functional test generation using property decompositions for validation of pipelined processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1240--1245}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244078}, doi = {10.1109/DATE.2006.244078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KooM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KranitisMLTPGH06, author = {Nektarios Kranitis and Andreas Merentitis and Nikolaos Laoutaris and George Theodorou and Antonis M. Paschalis and Dimitris Gizopoulos and Constantin Halatsis}, editor = {Georges G. E. Gielen}, title = {Optimal periodic testing of intermittent faults in embedded pipelined processor applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {65--70}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243983}, doi = {10.1109/DATE.2006.243983}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KranitisMLTPGH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KrautzP0TWV06, author = {Udo Krautz and Matthias Pflanz and Christian Jacobi and Hans{-}Werner Tast and Kai Weber and Heinrich Theodor Vierhaus}, editor = {Georges G. E. Gielen}, title = {Evaluating coverage of error detection logic for soft errors using formal methods}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {176--181}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244062}, doi = {10.1109/DATE.2006.244062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KrautzP0TWV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KruppM06, author = {Alexander Krupp and Wolfgang M{\"{u}}ller}, editor = {Georges G. E. Gielen}, title = {Classification trees for random tests and functional coverage}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1031--1032}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243902}, doi = {10.1109/DATE.2006.243902}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KruppM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KrusemanH06, author = {Bram Kruseman and Manuel Heiligers}, editor = {Georges G. E. Gielen}, title = {On test conditions for the detection of open defects}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {896--901}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243804}, doi = {10.1109/DATE.2006.243804}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KrusemanH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KulkarniB06, author = {Chidamber Kulkarni and Gordon J. Brebner}, editor = {Georges G. E. Gielen}, title = {Memory centric thread synchronization on platform FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {959--964}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243863}, doi = {10.1109/DATE.2006.243863}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KulkarniB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KumarA06, author = {Akhilesh Kumar and Mohab Anis}, editor = {Georges G. E. Gielen}, title = {An analytical state dependent leakage power model for FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {612--617}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243995}, doi = {10.1109/DATE.2006.243995}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KumarA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kundu06, author = {Sandip Kundu}, editor = {Georges G. E. Gielen}, title = {A design for failure analysis {(DFFA)} technique to ensure incorruptible signatures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {309--310}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244174}, doi = {10.1109/DATE.2006.244174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Kundu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KunzliPBT06, author = {Simon K{\"{u}}nzli and Francesco Poletti and Luca Benini and Lothar Thiele}, editor = {Georges G. E. Gielen}, title = {Combining simulation and formal methods for system-level performance analysis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {236--241}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244109}, doi = {10.1109/DATE.2006.244109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KunzliPBT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaMeresK06, author = {Brock J. LaMeres and Sunil P. Khatri}, editor = {Georges G. E. Gielen}, title = {Bus stuttering: an encoding technique to reduce inductive noise in off-chip data transmission}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {522--527}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243889}, doi = {10.1109/DATE.2006.243889}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LaMeresK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LahiriBCM06, author = {Anirban Lahiri and Anupam Basu and Monojit Choudhury and Srobona Mitra}, editor = {Georges G. E. Gielen}, title = {Battery-aware code partitioning for a text to speech system}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {672--677}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244041}, doi = {10.1109/DATE.2006.244041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LahiriBCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Lamberg06, author = {Klaus Lamberg}, editor = {Georges G. E. Gielen}, title = {Model-based testing of automotive electronics}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {91}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243990}, doi = {10.1109/DATE.2006.243990}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Lamberg06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LambretteH06, author = {Uwe Lambrette and Booz Allen Hamilton}, editor = {Georges G. E. Gielen}, title = {SoC: fuelling the hopes of the mobile industry}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {727}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244072}, doi = {10.1109/DATE.2006.244072}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LambretteH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeKKCY06, author = {Se{-}Joong Lee and Kwanho Kim and Hyejung Kim and Namjun Cho and Hoi{-}Jun Yoo}, editor = {Georges G. E. Gielen}, title = {A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {79--80}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243986}, doi = {10.1109/DATE.2006.243986}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeeKKCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Leteinturier06, author = {Patrick Leteinturier}, editor = {Georges G. E. Gielen}, title = {Automotive semi-conductor trend {\&} challenges}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {559}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243953}, doi = {10.1109/DATE.2006.243953}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Leteinturier06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeupersKKP06, author = {Rainer Leupers and Kingshuk Karuri and Stefan Kraemer and Manas Pandey}, editor = {Georges G. E. Gielen}, title = {A design flow for configurable embedded processors based on optimized instruction set extension synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {581--586}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243972}, doi = {10.1109/DATE.2006.243972}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeupersKKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiCY06, author = {Zhongwen Li and Hong Chen and Shui Yu}, editor = {Georges G. E. Gielen}, title = {Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {678--683}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244042}, doi = {10.1109/DATE.2006.244042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinC06, author = {Yung{-}Chieh Lin and Kwang{-}Ting Cheng}, editor = {Georges G. E. Gielen}, title = {Multiple-fault diagnosis based on single-fault activation and single-output observation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {424--429}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243797}, doi = {10.1109/DATE.2006.243797}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinHF06, author = {Kuan Jen Lin and Shih Hao Huang and Shan Chien Fang}, editor = {Georges G. E. Gielen}, title = {Cooptimization of interface hardware and software for {I/O} controllers}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {724--725}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244070}, doi = {10.1109/DATE.2006.244070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinHF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Liu06, author = {Frank Liu}, editor = {Georges G. E. Gielen}, title = {A practical method to estimate interconnect responses to variabilities}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {545--546}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243893}, doi = {10.1109/DATE.2006.243893}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Liu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuBCLM06, author = {Yang Liu and Christos{-}Savvas Bouganis and Peter Y. K. Cheung and Philip Heng Wai Leong and Stephen J. Motley}, editor = {Georges G. E. Gielen}, title = {Hardware efficient architectures for Eigenvalue computation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {953--958}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243838}, doi = {10.1109/DATE.2006.243838}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuBCLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuH06, author = {Yi{-}Yu Liu and TingTing Hwang}, editor = {Georges G. E. Gielen}, title = {Crosstalk-aware domino logic synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1312--1317}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244123}, doi = {10.1109/DATE.2006.244123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuI06, author = {Chunsheng Liu and Vikram Iyengar}, editor = {Georges G. E. Gielen}, title = {Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {652--657}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244013}, doi = {10.1109/DATE.2006.244013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLP06, author = {Chunsheng Liu and Zach Link and Dhiraj K. Pradhan}, editor = {Georges G. E. Gielen}, title = {Reuse-based test access and integrated test scheduling for network-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {303--308}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244143}, doi = {10.1109/DATE.2006.244143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuZSLS06, author = {Zhijian Lu and Yan Zhang and Mircea R. Stan and John C. Lach and Kevin Skadron}, editor = {Georges G. E. Gielen}, title = {Procrastinating voltage scheduling with discrete frequency sets}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {456--461}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243828}, doi = {10.1109/DATE.2006.243828}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuZSLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LucasHRERWGFHES06, author = {Amilcar do Carmo Lucas and Sven Heithecker and Peter R{\"{u}}ffer and Rolf Ernst and Holger R{\"{u}}ckert and Gerhard Wischermann and Karin Gebel and Reinhard Fach and Wolfgang Huther and Stefan Eichner and Gunter Scheller}, editor = {Georges G. E. Gielen}, title = {A reconfigurable {HW/SW} platform for computation intensive high-resolution real-time digital film applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {194--199}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244085}, doi = {10.1109/DATE.2006.244085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LucasHRERWGFHES06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaC06, author = {Zhe Ma and Francky Catthoor}, editor = {Georges G. E. Gielen}, title = {Scalable performance-energy trade-off exploration of embedded real-time systems on multiprocessor platforms}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1073--1078}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243958}, doi = {10.1109/DATE.2006.243958}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaciiPFADZ06, author = {Enrico Macii and Massoud Pedram and Dirk Friebel and Robert C. Aitken and Antun Domic and Roberto Zafalon}, editor = {Georges G. E. Gielen}, title = {Low-power design tools: are {EDA} vendors taking this matter seriously?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1227}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244075}, doi = {10.1109/DATE.2006.244075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaciiPFADZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MajidzadehS06, author = {Vahid Majidzadeh and Omid Shoaei}, editor = {Georges G. E. Gielen}, title = {Arbitrary design of high order noise transfer function for a novel class of reduced-sample-rate sigma-delta-pipeline ADCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {138--143}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244036}, doi = {10.1109/DATE.2006.244036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MajidzadehS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MallikSBZ06, author = {Arindam Mallik and Debjit Sinha and Prithviraj Banerjee and Hai Zhou}, editor = {Georges G. E. Gielen}, title = {Smart bit-width allocation for low power optimization in a systemc based {ASIC} design environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {618--623}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243996}, doi = {10.1109/DATE.2006.243996}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MallikSBZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MamagkakisAPCSM06, author = {Stylianos Mamagkakis and David Atienza and Christophe Poucet and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias}, editor = {Georges G. E. Gielen}, title = {Automated exploration of pareto-optimal configurations in parameterized dynamic memory allocation for embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {874--875}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243771}, doi = {10.1109/DATE.2006.243771}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MamagkakisAPCSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ManolacheEP06, author = {Sorin Manolache and Petru Eles and Zebo Peng}, editor = {Georges G. E. Gielen}, title = {Buffer space optimisation with communication synthesis and traffic shaping for NoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {718--723}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244069}, doi = {10.1109/DATE.2006.244069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ManolacheEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarculescuRS06, author = {Radu Marculescu and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Is "Network" the next "Big Idea" in design?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {254--256}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244112}, doi = {10.1109/DATE.2006.244112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarculescuRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MartensE06, author = {Ewout Martens and Georges G. E. Gielen}, editor = {Georges G. E. Gielen}, title = {Top-down heterogeneous synthesis of analog and mixed-signal systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {275--280}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244137}, doi = {10.1109/DATE.2006.244137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MartensE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MartinezLC06, author = {Jose A. Martinez and Steven P. Levitan and Donald M. Chiarulli}, editor = {Georges G. E. Gielen}, title = {Nonlinear model order reduction using remainder functions}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {281--282}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244138}, doi = {10.1109/DATE.2006.244138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MartinezLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MatulaM06, author = {David W. Matula and Lee D. McFearin}, editor = {Georges G. E. Gielen}, title = {A formal model and efficient traversal algorithm for generating testbenches for verification of {IEEE} standard floating point division}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1134--1138}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243998}, doi = {10.1109/DATE.2006.243998}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MatulaM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Maurer06, author = {Peter M. Maurer}, editor = {Georges G. E. Gielen}, title = {Using conjugate symmetries to enhance gate-level simulations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {638--643}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244010}, doi = {10.1109/DATE.2006.244010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Maurer06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/McConaghyG06, author = {Trent McConaghy and Georges G. E. Gielen}, editor = {Georges G. E. Gielen}, title = {Double-strength {CAFFEINE:} fast template-free symbolic modeling of analog circuits via implicit canonical form functions and explicit introns}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {269--274}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244136}, doi = {10.1109/DATE.2006.244136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/McConaghyG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeiR06, author = {Ting Mei and Jaijeet S. Roychowdhury}, editor = {Georges G. E. Gielen}, title = {Efficient {AC} analysis of oscillators using least-squares methods}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {263--268}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244114}, doi = {10.1109/DATE.2006.244114}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeiR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MinzTL06, author = {Jacob R. Minz and Somaskanda Thyagaraja and Sung Kyu Lim}, editor = {Georges G. E. Gielen}, title = {Optical routing for 3D system-on-package}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {337--338}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244180}, doi = {10.1109/DATE.2006.244180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MinzTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MochockiLC06, author = {Bren Mochocki and Kanishka Lahiri and Srihari Cadambi}, editor = {Georges G. E. Gielen}, title = {Power analysis of mobile 3D graphics}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {502--507}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243859}, doi = {10.1109/DATE.2006.243859}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MochockiLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoezE06, author = {Kambiz K. Moez and Mohamed I. Elmasry}, editor = {Georges G. E. Gielen}, title = {A 10-GHz 15-dB four-stage distributed amplifier in 0.18 {\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {405--409}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243766}, doi = {10.1109/DATE.2006.243766}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoezE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MohantyVK06, author = {Saraju P. Mohanty and Ramakrishna Velagapudi and Elias Kougianos}, editor = {Georges G. E. Gielen}, title = {Physical-aware simulated annealing optimization of gate leakage in nanoscale datapath circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1191--1196}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244046}, doi = {10.1109/DATE.2006.244046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MohantyVK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MolnosHCE06, author = {Anca Mariana Molnos and Marc J. M. Heijligers and Sorin Dan Cotofana and Jos T. J. van Eijndhoven}, editor = {Georges G. E. Gielen}, title = {Compositional, efficient caches for a chip multi-processor}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {345--350}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243734}, doi = {10.1109/DATE.2006.243734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MolnosHCE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MonchieroPSV06, author = {Matteo Monchiero and Gianluca Palermo and Cristina Silvano and Oreste Villa}, editor = {Georges G. E. Gielen}, title = {Power/performance hardware optimization for synchronization intensive applications in MPSoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {606--611}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243994}, doi = {10.1109/DATE.2006.243994}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MonchieroPSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Morin-AlloryB06, author = {Katell Morin{-}Allory and Dominique Borrione}, editor = {Georges G. E. Gielen}, title = {Proven correct monitors from {PSL} specifications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1246--1251}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244079}, doi = {10.1109/DATE.2006.244079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Morin-AlloryB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Muller-Glaser06, author = {Klaus D. M{\"{u}}ller{-}Glaser}, editor = {Georges G. E. Gielen}, title = {Domain specific model driven design for automotive electronic control units}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {557}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243924}, doi = {10.1109/DATE.2006.243924}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Muller-Glaser06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MullerBJ06, author = {Olivier Muller and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, editor = {Georges G. E. Gielen}, title = {ASIP-based multiprocessor SoC design for simple and double binary turbo decoding}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1330--1335}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244126}, doi = {10.1109/DATE.2006.244126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MullerBJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuraliCRGM06, author = {Srinivasan Murali and Martijn Coenen and Andrei Radulescu and Kees Goossens and Giovanni De Micheli}, editor = {Georges G. E. Gielen}, title = {A methodology for mapping multiple use-cases onto networks on chips}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {118--123}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244007}, doi = {10.1109/DATE.2006.244007}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MuraliCRGM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NakamuraST06, author = {Hiroaki Nakamura and Naoto Sato and Naoshi Tabuchi}, editor = {Georges G. E. Gielen}, title = {An efficient and portable scheduler for {RTOS} simulation and its certified integration to SystemC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1157--1158}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244015}, doi = {10.1109/DATE.2006.244015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NakamuraST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NascimentoL06, author = {Paulo S{\'{e}}rgio B. do Nascimento and Manoel Eus{\'{e}}bio de Lima}, editor = {Georges G. E. Gielen}, title = {Temporal partitioning for image processing based on time-space complexity in reconfigurable architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {375--380}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243761}, doi = {10.1109/DATE.2006.243761}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NascimentoL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NazarianP06, author = {Shahin Nazarian and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Cell delay analysis based on rate-of-current change}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {539--544}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243892}, doi = {10.1109/DATE.2006.243892}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NazarianP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NegreirosCS06, author = {Marcelo Negreiros and Luigi Carro and Altamiro Amadeu Susin}, editor = {Georges G. E. Gielen}, title = {An improved {RF} loopback for test time reduction}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {646--651}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244012}, doi = {10.1109/DATE.2006.244012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NegreirosCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NelsonZDBPMB06, author = {Jeffrey E. Nelson and Thomas Zanon and Rao Desineni and Jason G. Brown and N. Patil and Wojciech Maly and R. D. (Shawn) Blanton}, editor = {Georges G. E. Gielen}, title = {Extraction of defect density and size distributions from wafer sort test results}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {913--918}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243807}, doi = {10.1109/DATE.2006.243807}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NelsonZDBPMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NepalBMPZ06, author = {Kundan Nepal and R. Iris Bahar and Joseph L. Mundy and William R. Patterson and Alexander Zaslavsky}, editor = {Georges G. E. Gielen}, title = {Designing {MRF} based error correcting circuits for memory elements}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {792--793}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244144}, doi = {10.1109/DATE.2006.244144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NepalBMPZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiclassSC06, author = {Cristiano Niclass and Maximilian Sergio and Edoardo Charbon}, editor = {Georges G. E. Gielen}, title = {A single photon avalanche diode array fabricated in deep-submicron {CMOS} technology}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {81--86}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243987}, doi = {10.1109/DATE.2006.243987}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NiclassSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NollL06, author = {Tobias G. Noll and Uwe Lambrette}, editor = {Georges G. E. Gielen}, title = {Cross disciplinary aspects {(4G} wireless special day)}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {726}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244071}, doi = {10.1109/DATE.2006.244071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NollL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OgrasMLC06, author = {{\"{U}}mit Y. Ogras and Radu Marculescu and Hyung Gyu Lee and Naehyuck Chang}, editor = {Georges G. E. Gielen}, title = {Communication architecture optimization: making the shortest path shorter in regular networks-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {712--717}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244068}, doi = {10.1109/DATE.2006.244068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OgrasMLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OmanaCRM06, author = {Martin Oma{\~{n}}a and Jos{\'{e}} Manuel Cazeaux and Daniele Rossi and Cecilia Metra}, editor = {Georges G. E. Gielen}, title = {Low-cost and highly reliable detector for transient and crosstalk faults affecting {FPGA} interconnects}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {170--175}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244061}, doi = {10.1109/DATE.2006.244061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OmanaCRM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaciMPB06, author = {Giacomo Paci and Paul Marchal and Francesco Poletti and Luca Benini}, editor = {Georges G. E. Gielen}, title = {Exploring "temperature-aware" design in low-power MPSoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {838--843}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243741}, doi = {10.1109/DATE.2006.243741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaciMPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanainteBV06, author = {Elena Moscu Panainte and Koen Bertels and Stamatis Vassiliadis}, editor = {Georges G. E. Gielen}, title = {Compiler-driven FPGA-area allocation for reconfigurable computing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {369--374}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243738}, doi = {10.1109/DATE.2006.243738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanainteBV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanditKMP06, author = {Soumya Pandit and Sougata Kar and Chittaranjan A. Mandal and Amit Patra}, editor = {Georges G. E. Gielen}, title = {High level synthesis of higher order continuous time state variable filters with minimum sensitivity and hardware count}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1203--1204}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244048}, doi = {10.1109/DATE.2006.244048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanditKMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkCR06, author = {Jongsun Park and Jung Hwan Choi and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {Dynamic bit-width adaptation in {DCT:} image quality versus computation energy trade-off}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {520--521}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243862}, doi = {10.1109/DATE.2006.243862}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkCR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkESNDP06, author = {Sanghyun Park and Eugene Earlie and Aviral Shrivastava and Alex Nicolau and Nikil D. Dutt and Yunheung Paek}, editor = {Georges G. E. Gielen}, title = {Automatic generation of operation tables for fast exploration of bypasses in embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1197--1202}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244047}, doi = {10.1109/DATE.2006.244047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkESNDP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkOPSH06, author = {Hae{-}woo Park and Kyoungjoo Oh and Soyoung Park and Myoung{-}min Sim and Soonhoi Ha}, editor = {Georges G. E. Gielen}, title = {Dynamic code overlay of SDF-modeled programs on low-end embedded systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {945--946}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243836}, doi = {10.1109/DATE.2006.243836}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkOPSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PasrichaD06, author = {Sudeep Pasricha and Nikil D. Dutt}, editor = {Georges G. E. Gielen}, title = {{COSMECA:} application specific co-synthesis of memory and communication architectures for MPSoC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {700--705}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244066}, doi = {10.1109/DATE.2006.244066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PasrichaD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatelSB06, author = {Hiren D. Patel and Sandeep K. Shukla and Reinaldo A. Bergamaschi}, editor = {Georges G. E. Gielen}, title = {Heterogeneous behavioral hierarchy for system level designs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {565--570}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243955}, doi = {10.1109/DATE.2006.243955}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatelSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaulKKAR06, author = {Bipul Chandra Paul and Kunhyuk Kang and Haldun Kufluoglu and Muhammad Ashraful Alam and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {Temporal performance degradation under {NBTI:} estimation and design for improved reliability of nanoscale circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {780--785}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244119}, doi = {10.1109/DATE.2006.244119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaulKKAR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaulinPLBBLLL06, author = {Pierre G. Paulin and Chuck Pilkington and Michel Langevin and Essaid Bensoudane and Olivier Benny and Damien Lyonnard and Bruno Lavigueur and David Lo}, editor = {Georges G. E. Gielen}, title = {Distributed object models for multi-processor SoC's, with application to low-power multimedia wireless systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {482--487}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243833}, doi = {10.1109/DATE.2006.243833}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaulinPLBBLLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PettisRL06, author = {Nathaniel Pettis and Jason Ridenour and Yung{-}Hsiang Lu}, editor = {Georges G. E. Gielen}, title = {Automatic run-time selection of power policies for operating systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {508--513}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243860}, doi = {10.1109/DATE.2006.243860}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PettisRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PionteckAK06, author = {Thilo Pionteck and Carsten Albrecht and Roman Koch}, editor = {Georges G. E. Gielen}, title = {A dynamically reconfigurable packet-switched network-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {136--137}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244035}, doi = {10.1109/DATE.2006.244035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PionteckAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PolianF06, author = {Ilia Polian and Hideo Fujiwara}, editor = {Georges G. E. Gielen}, title = {Functional constraints vs. test compression in scan-based delay testing}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1039--1044}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243927}, doi = {10.1109/DATE.2006.243927}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PolianF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomeranzR06, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Georges G. E. Gielen}, title = {Generation of broadside transition fault test sets that detect four-way bridging faults}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {907--912}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243806}, doi = {10.1109/DATE.2006.243806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomeranzR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomeranzR06a, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Georges G. E. Gielen}, title = {Test compaction for transition faults under transparent-scan}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1264--1269}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244098}, doi = {10.1109/DATE.2006.244098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomeranzR06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ProchnowH06, author = {Steffen Prochnow and Reinhard von Hanxleden}, editor = {Georges G. E. Gielen}, title = {Comfortable modeling of complex reactive systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {577--578}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243970}, doi = {10.1109/DATE.2006.243970}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ProchnowH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuSN06, author = {Yang Qu and Juha{-}Pekka Soininen and Jari Nurmi}, editor = {Georges G. E. Gielen}, title = {A parallel configuration model for reducing the run-time reconfiguration overhead}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {965--969}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243864}, doi = {10.1109/DATE.2006.243864}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QuSN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RadhakrishnanGP06, author = {Swarnalatha Radhakrishnan and Hui Guo and Sri Parameswaran}, editor = {Georges G. E. Gielen}, title = {Customization of application specific heterogeneous multi-pipeline processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {746--751}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244094}, doi = {10.1109/DATE.2006.244094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RadhakrishnanGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaghavanLJCV06, author = {Praveen Raghavan and Andy Lambrechts and Murali Jayapala and Francky Catthoor and Diederik Verkest}, editor = {Georges G. E. Gielen}, title = {Distributed loop controller architecture for multi-threading in uni-threaded {VLIW} processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {339--344}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243733}, doi = {10.1109/DATE.2006.243733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaghavanLJCV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaoCBS06, author = {Rajeev R. Rao and Kaviraj Chopra and David T. Blaauw and Dennis Sylvester}, editor = {Georges G. E. Gielen}, title = {An efficient static algorithm for computing the soft error rates of combinational circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {164--169}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244060}, doi = {10.1109/DATE.2006.244060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaoCBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaychowdhuryPBR06, author = {Arijit Raychowdhury and Bipul Chandra Paul and Swarup Bhunia and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {Ultralow power computing with sub-threshold leakage: a comparative study of bulk and {SOI} technologies}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {856--861}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243768}, doi = {10.1109/DATE.2006.243768}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaychowdhuryPBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReddyWM06, author = {Subodh M. Reddy and Gustavo R. Wilke and Rajeev Murgai}, editor = {Georges G. E. Gielen}, title = {Analyzing timing uncertainty in mesh-based clock architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1097--1102}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243962}, doi = {10.1109/DATE.2006.243962}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReddyWM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReyesKBAN06, author = {V{\'{\i}}ctor Reyes and Wido Kruijtzer and Tom{\'{a}}s Bautista and Ghiath Alkadi and Antonio N{\'{u}}{\~{n}}ez}, editor = {Georges G. E. Gielen}, title = {A unified system-level modeling and simulation environment for MPSoC design: {MPEG-4} decoder case study}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {474--479}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243831}, doi = {10.1109/DATE.2006.243831}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReyesKBAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Rhines06, author = {Walden C. Rhines}, editor = {Georges G. E. Gielen}, title = {Sociology of design and {EDA}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {2}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {http://dl.acm.org/citation.cfm?id=1131484}, timestamp = {Tue, 10 Nov 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Rhines06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RickettsIVI06, author = {Andrew J. Ricketts and Kevin M. Irick and Narayanan Vijaykrishnan and Mary Jane Irwin}, editor = {Georges G. E. Gielen}, title = {Priority scheduling in digital microfluidics-based biochips}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {329--334}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244178}, doi = {10.1109/DATE.2006.244178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RickettsIVI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RobellySCF06, author = {Pablo Robelly and Hendrik Seidel and K. C. Chen and Gerhard P. Fettweis}, editor = {Georges G. E. Gielen}, title = {Energy efficiency vs. programmability trade-off: architectures and design principles}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {587--592}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243973}, doi = {10.1109/DATE.2006.243973}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RobellySCF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RongP06, author = {Peng Rong and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1128--1133}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243981}, doi = {10.1109/DATE.2006.243981}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RongP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RosselloS06, author = {Jos{\'{e}} Luis Rossell{\'{o}} and Jaume Segura}, editor = {Georges G. E. Gielen}, title = {A compact model to identify delay faults due to crosstalk}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {902--906}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243805}, doi = {10.1109/DATE.2006.243805}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RosselloS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RossiSM06, author = {Daniele Rossi and Carlo Steiner and Cecilia Metra}, editor = {Georges G. E. Gielen}, title = {Analysis of the impact of bus implemented EDCs on on-chip {SSN}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {59--64}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243982}, doi = {10.1109/DATE.2006.243982}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RossiSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RuggieroGBPM06, author = {Martino Ruggiero and Alessio Guerri and Davide Bertozzi and Francesco Poletti and Michela Milano}, editor = {Georges G. E. Gielen}, title = {Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {3--8}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243950}, doi = {10.1109/DATE.2006.243950}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RuggieroGBPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Ruiz-SautuaMMH06, author = {Rafael Ruiz{-}Sautua and Mar{\'{\i}}a C. Molina and Jos{\'{e}} M. Mend{\'{\i}}as and Rom{\'{a}}n Hermida}, editor = {Georges G. E. Gielen}, title = {Pre-synthesis optimization of multiplications to improve circuit performance}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1306--1311}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244122}, doi = {10.1109/DATE.2006.244122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Ruiz-SautuaMMH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ScharwachterHLAM06, author = {Hanno Scharw{\"{a}}chter and Manuel Hohenauer and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Georges G. E. Gielen}, title = {An interprocedural code optimization technique for network processors using hardware multi-threading support}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {919--924}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243808}, doi = {10.1109/DATE.2006.243808}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ScharwachterHLAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchaumontSV06, author = {Patrick Schaumont and Sandeep K. Shukla and Ingrid Verbauwhede}, editor = {Georges G. E. Gielen}, title = {Design with race-free hardware semantics}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {571--576}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243956}, doi = {10.1109/DATE.2006.243956}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchaumontSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchirnerD06, author = {Gunar Schirner and Rainer D{\"{o}}mer}, editor = {Georges G. E. Gielen}, title = {Quantitative analysis of transaction level models for the {AMBA} bus}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {230--235}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244108}, doi = {10.1109/DATE.2006.244108}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchirnerD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Schoeberl06, author = {Martin Schoeberl}, editor = {Georges G. E. Gielen}, title = {A time predictable Java processor}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {800--805}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244146}, doi = {10.1109/DATE.2006.244146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Schoeberl06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchusterNPF06, author = {Christian Schuster and Jean{-}Luc Nagel and Christian Piguet and Pierre{-}Andr{\'{e}} Farine}, editor = {Georges G. E. Gielen}, title = {Architectural and technology influence on the optimal total power consumption}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {989--994}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243869}, doi = {10.1109/DATE.2006.243869}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchusterNPF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SebekeJHFSG06, author = {Christian Sebeke and C. Jung and Klaus Harbich and S. Fuchs and J. Schwarz and Peter G{\"{o}}hner}, editor = {Georges G. E. Gielen}, title = {Test and reliability challenges in automotive microelectronics}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {547}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243894}, doi = {10.1109/DATE.2006.243894}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SebekeJHFSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SehgalGMC06, author = {Anuja Sehgal and Sandeep Kumar Goel and Erik Jan Marinissen and Krishnendu Chakrabarty}, editor = {Georges G. E. Gielen}, title = {Hierarchy-aware and area-efficient test infrastructure design for core-based system chips}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {285--290}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244140}, doi = {10.1109/DATE.2006.244140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SehgalGMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SekarLRD06, author = {Krishna Sekar and Kanishka Lahiri and Anand Raghunathan and Sujit Dey}, editor = {Georges G. E. Gielen}, title = {Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {728--733}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244091}, doi = {10.1109/DATE.2006.244091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SekarLRD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SethuramanV06, author = {Balasubramanian Sethuraman and Ranga Vemuri}, editor = {Georges G. E. Gielen}, title = {optiMap: a tool for automated generation of noc architectures using multi-port routers for FPGAs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {947--952}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243837}, doi = {10.1109/DATE.2006.243837}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SethuramanV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Shaver06, author = {D. Shaver}, editor = {Georges G. E. Gielen}, title = {Next generation architectures can dramatically reduce the 4G deployment cycle}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {599}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243992}, doi = {10.1109/DATE.2006.243992}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Shaver06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShekharKE06, author = {Namrata Shekhar and Priyank Kalla and Florian Enescu}, editor = {Georges G. E. Gielen}, title = {Equivalence verification of arithmetic datapaths with multiple word-length operands}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {824--829}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244150}, doi = {10.1109/DATE.2006.244150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShekharKE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinKKH06, author = {Keoncheol Shin and Jungeun Kim and Seonggun Kim and Hwansoo Han}, editor = {Georges G. E. Gielen}, title = {Restructuring field layouts for embedded memory systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {937--942}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243834}, doi = {10.1109/DATE.2006.243834}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShinKKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Shrikumar06, author = {H. Shrikumar}, editor = {Georges G. E. Gielen}, title = {40Gbps de-layered silicon protocol engine for {TCP} record}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {188--193}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244064}, doi = {10.1109/DATE.2006.244064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Shrikumar06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShyamB06, author = {Smitha Shyam and Valeria Bertacco}, editor = {Georges G. E. Gielen}, title = {Distance-guided hybrid verification with {GUIDO}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1211--1216}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244050}, doi = {10.1109/DATE.2006.244050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShyamB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoffkeZMG06, author = {Oliver Soffke and Peter Zipf and Tudor Murgan and Manfred Glesner}, editor = {Georges G. E. Gielen}, title = {A signal theory based approach to the statistical analysis of combinatorial nanoelectronic circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {632--637}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244009}, doi = {10.1109/DATE.2006.244009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SoffkeZMG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SovianiTE06, author = {Cristian Soviani and Olivier Tardieu and Stephen A. Edwards}, editor = {Georges G. E. Gielen}, title = {Optimizing sequential cycles through Shannon decomposition and retiming}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1085--1090}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243960}, doi = {10.1109/DATE.2006.243960}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SovianiTE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SridharanC06, author = {Jayashree Sridharan and Tom Chen}, editor = {Georges G. E. Gielen}, title = {Modeling multiple input switching of {CMOS} gates in {DSM} technology using {HDMR}}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {626--631}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244008}, doi = {10.1109/DATE.2006.244008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SridharanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SrinivasanC06, author = {Krishnan Srinivasan and Karam S. Chatha}, editor = {Georges G. E. Gielen}, title = {A low complexity heuristic for design of custom network-on-chip architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {130--135}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244034}, doi = {10.1109/DATE.2006.244034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SrinivasanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SrinivasanTC06, author = {Ganesh Srinivasan and Friedrich Taenzler and Abhijit Chatterjee}, editor = {Georges G. E. Gielen}, title = {Online {RF} checkers for diagnosing multi-gigahertz automatic test boards on low cost {ATE} platforms}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {658--663}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244014}, doi = {10.1109/DATE.2006.244014}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SrinivasanTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Stanley-MarbellLR06, author = {Phillip Stanley{-}Marbell and Kanishka Lahiri and Anand Raghunathan}, editor = {Georges G. E. Gielen}, title = {Adaptive data placement in an embedded multiprocessor thread library}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {698--699}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244065}, doi = {10.1109/DATE.2006.244065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Stanley-MarbellLR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SteinhammerGAK06, author = {Klaus Steinhammer and Petr Grillinger and Astrit Ademaj and Hermann Kopetz}, editor = {Georges G. E. Gielen}, title = {A time-triggered ethernet {(TTE)} switch}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {794--799}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244145}, doi = {10.1109/DATE.2006.244145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SteinhammerGAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StreubuhrFHTDS06, author = {Martin Streub{\"{u}}hr and Joachim Falk and Christian Haubelt and J{\"{u}}rgen Teich and Rainer Dorsch and Thomas Schlipf}, editor = {Georges G. E. Gielen}, title = {Task-accurate performance modeling in SystemC for real-time multi-processor architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {480--481}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243832}, doi = {10.1109/DATE.2006.243832}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StreubuhrFHTDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuHC06, author = {Fei Su and William L. Hwang and Krishnendu Chakrabarty}, editor = {Georges G. E. Gielen}, title = {Droplet routing in the synthesis of digital microfluidic biochips}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {323--328}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244177}, doi = {10.1109/DATE.2006.244177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SuHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SundaresanM06, author = {Krishnan Sundaresan and Nihar R. Mahapatra}, editor = {Georges G. E. Gielen}, title = {Value-based bit ordering for energy optimization of on-chip global signal buses}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {624--625}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243997}, doi = {10.1109/DATE.2006.243997}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SundaresanM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SutharD06, author = {Vishal Suthar and Shantanu Dutt}, editor = {Georges G. E. Gielen}, title = {Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1165--1170}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244017}, doi = {10.1109/DATE.2006.244017}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SutharD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanjiWKA06, author = {Yuichi Tanji and Takayuki Watanabe and Hidemasa Kubota and Hideki Asai}, editor = {Georges G. E. Gielen}, title = {Large scale {RLC} circuit analysis using {RLCG-MNA} formulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {45--46}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243967}, doi = {10.1109/DATE.2006.243967}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TanjiWKA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThornbergO06, author = {Benny Th{\"{o}}rnberg and Mattias O'Nils}, editor = {Georges G. E. Gielen}, title = {Impact of bit-width specification on the memory hierarchy for a real-time video processing system}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {752--753}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244095}, doi = {10.1109/DATE.2006.244095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ThornbergO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TsengLC06, author = {Tsu{-}Wei Tseng and Jin{-}Fu Li and Da{-}Ming Chang}, editor = {Georges G. E. Gielen}, title = {A built-in redundancy-analysis scheme for RAMs with 2D redundancy using 1D local bitmap}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {53--58}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243969}, doi = {10.1109/DATE.2006.243969}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TsengLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UdayakumaranB06, author = {Sumesh Udayakumaran and Rajeev Barua}, editor = {Georges G. E. Gielen}, title = {An integrated scratch-pad allocator for affine and non-affine code}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {925--930}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243809}, doi = {10.1109/DATE.2006.243809}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UdayakumaranB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UmKHKCKEK06, author = {Junhyung Um and Woo{-}Cheol Kwon and Sungpack Hong and Young{-}Taek Kim and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo and Taewhan Kim}, editor = {Georges G. E. Gielen}, title = {A systematic {IP} and bus subsystem modeling for platform-based system design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {560--564}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243954}, doi = {10.1109/DATE.2006.243954}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UmKHKCKEK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VanderperrenD06, author = {Yves Vanderperren and Wim Dehaene}, editor = {Georges G. E. Gielen}, title = {From UML/SysML to Matlab/Simulink: current state and future perspectives}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {93}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244002}, doi = {10.1109/DATE.2006.244002}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VanderperrenD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VandersteenBDR06, author = {Gerd Vandersteen and Stephane Bronckers and Petr Dobrovoln{\'{y}} and Yves Rolain}, editor = {Georges G. E. Gielen}, title = {Systematic stability-analysis method for analog circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {150--155}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244038}, doi = {10.1109/DATE.2006.244038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VandersteenBDR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VandierendonckML06, author = {Hans Vandierendonck and Philippe Manet and Jean{-}Didier Legat}, editor = {Georges G. E. Gielen}, title = {Application-specific reconfigurable XOR-indexing to eliminate cache conflict misses}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {357--362}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243736}, doi = {10.1109/DATE.2006.243736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VandierendonckML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VenkataramanHLS06, author = {Ganesh Venkataraman and Jiang Hu and Frank Liu and Cliff C. N. Sze}, editor = {Georges G. E. Gielen}, title = {Integrated placement and skew optimization for rotary clocking}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {756--761}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244115}, doi = {10.1109/DATE.2006.244115}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VenkataramanHLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ViaudPG06, author = {Emmanuel Viaud and Fran{\c{c}}ois P{\^{e}}cheux and Alain Greiner}, editor = {Georges G. E. Gielen}, title = {An efficient {TLM/T} modeling and simulation environment based on conservative parallel discrete event principles}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {94--99}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244003}, doi = {10.1109/DATE.2006.244003}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ViaudPG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ViehlSBR06, author = {Alexander Viehl and Timo Sch{\"{o}}nwald and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Georges G. E. Gielen}, title = {Formal performance analysis and simulation of UML/SysML models for {ESL} design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {242--247}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244110}, doi = {10.1109/DATE.2006.244110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ViehlSBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ViswanathAJ06, author = {Vinod Viswanath and Jacob A. Abraham and Warren A. Hunt Jr.}, editor = {Georges G. E. Gielen}, title = {Automatic insertion of low power annotations in {RTL} for pipelined microprocessors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {496--501}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243858}, doi = {10.1109/DATE.2006.243858}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ViswanathAJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Vries06, author = {Ren{\'{e}} Penning de Vries}, editor = {Georges G. E. Gielen}, title = {{EDA} challenges in the converging application world}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {http://dl.acm.org/citation.cfm?id=1131483}, timestamp = {Tue, 12 Jan 2016 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Vries06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WandelerMT06, author = {Ernesto Wandeler and Alexander Maxiaguine and Lothar Thiele}, editor = {Georges G. E. Gielen}, title = {Performance analysis of greedy shapers in real-time systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {444--449}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243801}, doi = {10.1109/DATE.2006.243801}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WandelerMT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangBC06, author = {Seongmoon Wang and Kedarnath J. Balakrishnan and Srimat T. Chakradhar}, editor = {Georges G. E. Gielen}, title = {Efficient unknown blocking using {LFSR} reseeding}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1051--1052}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243929}, doi = {10.1109/DATE.2006.243929}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangCG06, author = {Zhanglei Wang and Krishnendu Chakrabarty and Michael G{\"{o}}ssel}, editor = {Georges G. E. Gielen}, title = {Test set enrichment using a probabilistic fault model and the theory of output deviations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1270--1275}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244099}, doi = {10.1109/DATE.2006.244099}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangM06, author = {Baohua Wang and Pinaki Mazumder}, editor = {Georges G. E. Gielen}, title = {A logarithmic full-chip thermal analysis algorithm based on multi-layer Green's function}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {39--44}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243966}, doi = {10.1109/DATE.2006.243966}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangXVI06, author = {Feng Wang and Yuan Xie and Narayanan Vijaykrishnan and Mary Jane Irwin}, editor = {Georges G. E. Gielen}, title = {On-chip bus thermal analysis and optimization}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {850--855}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243743}, doi = {10.1109/DATE.2006.243743}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangXVI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangYIG06, author = {Chao Wang and Zijiang Yang and Franjo Ivancic and Aarti Gupta}, editor = {Georges G. E. Gielen}, title = {Disjunctive image computation for embedded software verification}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1205--1210}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244049}, doi = {10.1109/DATE.2006.244049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangYIG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Weber06, author = {Thomas Weber}, editor = {Georges G. E. Gielen}, title = {Management of complex automotive communication networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {554--555}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243922}, doi = {10.1109/DATE.2006.243922}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Weber06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WehrmeisterPB06, author = {Marco A. Wehrmeister and Carlos Eduardo Pereira and Leandro Buss Becker}, editor = {Georges G. E. Gielen}, title = {Optimizing the generation of object-oriented real-time embedded applications based on the real-time specification for Java}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {806--811}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244147}, doi = {10.1109/DATE.2006.244147}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WehrmeisterPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiTD06, author = {Ying Wei and Hua Tang and Alex Doboli}, editor = {Georges G. E. Gielen}, title = {Systematic methodology for designing reconfigurable Delta-Sigma modulator topologies for multimode communication systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {393--398}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243764}, doi = {10.1109/DATE.2006.243764}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WeiTD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WildHO06, author = {Thomas Wild and Andreas Herkersdorf and Rainer Ohlendorf}, editor = {Georges G. E. Gielen}, title = {Performance evaluation for system-on-chip architectures using trace-based transaction level simulation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {248--253}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244111}, doi = {10.1109/DATE.2006.244111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WildHO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WongL06, author = {Eric Wong and Sung Kyu Lim}, editor = {Georges G. E. Gielen}, title = {3D floorplanning with thermal vias}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {878--883}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243773}, doi = {10.1109/DATE.2006.243773}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WongL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/X06, editor = {Georges G. E. Gielen}, title = {4G applications, architectures, design methodology and tools for MPSoC}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {830--831}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243739}, doi = {10.1109/DATE.2006.243739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/X06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XianL06, author = {Changjiu Xian and Yung{-}Hsiang Lu}, editor = {Georges G. E. Gielen}, title = {Energy reduction by workload adaptation in a multi-process environment}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {514--519}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243861}, doi = {10.1109/DATE.2006.243861}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XianL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XueOLKK06, author = {Liping Xue and Ozcan Ozturk and Feihui Li and Mahmut T. Kandemir and Ibrahim Kolcu}, editor = {Georges G. E. Gielen}, title = {Dynamic partitioning of processing and memory resources in embedded MPSoC architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {690--695}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244044}, doi = {10.1109/DATE.2006.244044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XueOLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangC06, author = {Kai Yang and Kwang{-}Ting Cheng}, editor = {Georges G. E. Gielen}, title = {Timing-reasoning-based delay fault diagnosis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {418--423}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243796}, doi = {10.1109/DATE.2006.243796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangCBHS06, author = {Guang Yang and Xi Chen and Felice Balarin and Harry Hsieh and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Communication and co-simulation infrastructure for heterogeneous system integration}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {462--467}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243829}, doi = {10.1109/DATE.2006.243829}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangCBHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangGZSD06, author = {Yonghong Yang and Zhenyu (Peter) Gu and Changyun Zhu and Li Shang and Robert P. Dick}, editor = {Georges G. E. Gielen}, title = {Adaptive chip-package thermal analysis for synthesis and design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {844--849}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243742}, doi = {10.1109/DATE.2006.243742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangGZSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangV06, author = {Huiying Yang and Ranga Vemuri}, editor = {Georges G. E. Gielen}, title = {Efficient temperature-dependent symbolic sensitivity analysis and symbolic performance evaluation in analog circuit synthesis}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {283--284}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244139}, doi = {10.1109/DATE.2006.244139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YavariSR06, author = {Mohammad Yavari and Omid Shoaei and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Georges G. E. Gielen}, title = {Systematic and optimal design of {CMOS} two-stage opamps with hybrid cascode compensation}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {144--149}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244037}, doi = {10.1109/DATE.2006.244037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YavariSR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YavariSR06a, author = {Mohammad Yavari and Omid Shoaei and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Georges G. E. Gielen}, title = {Double-sampling single-loop sigma-delta modulator topologies for broadband applications}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {399--404}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243765}, doi = {10.1109/DATE.2006.243765}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YavariSR06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YiNMKAL06, author = {Ying Yi and Ioannis Nousias and Mark Milward and Sami Khawam and Tughrul Arslan and Iain Lindsay}, editor = {Georges G. E. Gielen}, title = {System-level scheduling on instruction cell based reconfigurable systems}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {381--386}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243762}, doi = {10.1109/DATE.2006.243762}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YiNMKAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YonedaMF06, author = {Tomokazu Yoneda and Kimihiko Masuda and Hideo Fujiwara}, editor = {Georges G. E. Gielen}, title = {Power-constrained test scheduling for multi-clock domain SoCs}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {297--302}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244142}, doi = {10.1109/DATE.2006.244142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YonedaMF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZengFSCZC06, author = {Xuan Zeng and Lihong Feng and Yangfeng Su and Wei Cai and Dian Zhou and Charles C. Chiang}, editor = {Georges G. E. Gielen}, title = {Time domain model order reduction by wavelet collocation method}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {21--26}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243963}, doi = {10.1109/DATE.2006.243963}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZengFSCZC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZengI06, author = {Gang Zeng and Hideo Ito}, editor = {Georges G. E. Gielen}, title = {Concurrent core test for {SOC} using shared test set and scan chain disable}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {1045--1050}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243928}, doi = {10.1109/DATE.2006.243928}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZengI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangHC06, author = {Lizheng Zhang and Yuhen Hu and Charlie Chung{-}Ping Chen}, editor = {Georges G. E. Gielen}, title = {Statistical timing analysis with path reconvergence and spatial correlations}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {528--532}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243890}, doi = {10.1109/DATE.2006.243890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangZD06, author = {Hui Zhang and Yang Zhao and Alex Doboli}, editor = {Georges G. E. Gielen}, title = {{ALAMO:} an improved alpha-space based methodology for modeling process parameter variations in analog circuits}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {156--161}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244058}, doi = {10.1109/DATE.2006.244058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangZD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouSMS06, author = {Quming Zhou and Kai Sun and Kartik Mohanram and Danny C. Sorensen}, editor = {Georges G. E. Gielen}, title = {Large power grid analysis using domain decomposition}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {27--32}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243964}, doi = {10.1109/DATE.2006.243964}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhouSMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouW06, author = {Jun Zhou and Hans{-}Joachim Wunderlich}, editor = {Georges G. E. Gielen}, title = {Software-based self-test of processors under power constraints}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {430--435}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243798}, doi = {10.1109/DATE.2006.243798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhouW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZmilyK06, author = {Ahmad Zmily and Christos Kozyrakis}, editor = {Georges G. E. Gielen}, title = {Simultaneously improving code size, performance, and energy in embedded processors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {224--229}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244090}, doi = {10.1109/DATE.2006.244090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZmilyK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2006p, editor = {Georges G. E. Gielen}, title = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://ieeexplore.ieee.org/xpl/conhome/11014/proceeding}, isbn = {3-9810801-1-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2006p.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.