Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/dac/dac2012.bht:"
@inproceedings{DBLP:conf/dac/0001AG12, author = {Karthik Chandrasekar and Benny Akesson and Kees Goossens}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Run-time power-down strategies for real-time {SDRAM} memory controllers}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {988--993}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228538}, doi = {10.1145/2228360.2228538}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/0001AG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/0002ZW12, author = {Qiang Ma and Hongbo Zhang and Martin D. F. Wong}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {591--596}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228468}, doi = {10.1145/2228360.2228468}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/0002ZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AadithyaR12, author = {Aadithya V. Karthik and Jaijeet S. Roychowdhury}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{DAE2FSM:} automatic generation of accurate discrete-time logical abstractions for continuous-time circuit dynamics}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {311--316}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228418}, doi = {10.1145/2228360.2228418}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AadithyaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AbhishekN12, author = {Abhishek and Farid N. Najm}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Incremental power grid verification}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {151--156}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228390}, doi = {10.1145/2228360.2228390}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AbhishekN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgostaBP12, author = {Giovanni Agosta and Alessandro Barenghi and Gerardo Pelosi}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A code morphing methodology to automate power analysis countermeasures}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {77--82}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228376}, doi = {10.1145/2228360.2228376}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgostaBP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AhmadyanKV12, author = {Seyed Nematollah Ahmadyan and Jayanand Asok Kumar and Shobha Vasudevan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Goal-oriented stimulus generation for analog circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1018--1023}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228544}, doi = {10.1145/2228360.2228544}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AhmadyanKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Al-MaashriDCCXNC12, author = {Ahmed Al{-}Maashri and Michael DeBole and Matthew Cotter and Nandhini Chandramoorthy and Yang Xiao and Vijaykrishnan Narayanan and Chaitali Chakrabarti}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Accelerating neuromorphic vision algorithms for recognition}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {579--584}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228465}, doi = {10.1145/2228360.2228465}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Al-MaashriDCCXNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AthikulwongsePL12, author = {Krit Athikulwongse and Mohit Pathak and Sung Kyu Lim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Exploiting die-to-die thermal coupling in 3D {IC} placement}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {741--746}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228495}, doi = {10.1145/2228360.2228495}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AthikulwongsePL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AuerbachBBCFRS12, author = {Joshua S. Auerbach and David F. Bacon and Ioana Burcea and Perry Cheng and Stephen J. Fink and Rodric M. Rabbah and Sunil Shukla}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A compiler and runtime for heterogeneous computing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {271--276}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228411}, doi = {10.1145/2228360.2228411}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AuerbachBBCFRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BachrachVRLWAWA12, author = {Jonathan Bachrach and Huy Vo and Brian C. Richards and Yunsup Lee and Andrew Waterman and Rimas Avizienis and John Wawrzynek and Krste Asanovic}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Chisel: constructing hardware in a Scala embedded language}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1216--1225}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228584}, doi = {10.1145/2228360.2228584}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BachrachVRLWAWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BathenD12, author = {Luis Angel D. Bathen and Nikil D. Dutt}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {\emph{HaVOC}: a hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {447--452}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228438}, doi = {10.1145/2228360.2228438}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BathenD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BerettaRKGRA12, author = {Ivan Beretta and Francisco J. Rinc{\'{o}}n and Nadia Khaled and Paolo Roberto Grassi and Vincenzo Rana and David Atienza}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Design exploration of energy-performance trade-offs for wireless sensor networks}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1043--1048}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228549}, doi = {10.1145/2228360.2228549}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BerettaRKGRA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Bertacco12, author = {Valeria Bertacco}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Humans for {EDA} and {EDA} for humans}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {729--733}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228492}, doi = {10.1145/2228360.2228492}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Bertacco12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BhardwajCR12, author = {Kshitij Bhardwaj and Koushik Chakraborty and Sanghamitra Roy}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Towards graceful aging degradation in NoCs through an adaptive routing algorithm}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {382--391}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228429}, doi = {10.1145/2228360.2228429}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BhardwajCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BobbaMLM12, author = {Shashikanth Bobba and Michele De Marchi and Yusuf Leblebici and Giovanni De Micheli}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Physical synthesis onto a Sea-of-Tiles with double-gate silicon nanowire transistors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {42--47}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228369}, doi = {10.1145/2228360.2228369}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BobbaMLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BurlesonCRF12, author = {Wayne P. Burleson and Shane S. Clark and Benjamin Ransford and Kevin Fu}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Design challenges for secure implantable medical devices}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {12--17}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228364}, doi = {10.1145/2228360.2228364}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BurlesonCRF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CampanoniJHWB12, author = {Simone Campanoni and Timothy M. Jones and Glenn H. Holloway and Gu{-}Yeon Wei and David M. Brooks}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The {HELIX} project: overview and directions}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {277--282}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228412}, doi = {10.1145/2228360.2228412}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CampanoniJHWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CastrillonTLA12, author = {Jer{\'{o}}nimo Castrill{\'{o}}n and Andreas Tretter and Rainer Leupers and Gerd Ascheid}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Communication-aware mapping of {KPN} applications onto heterogeneous MPSoCs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1266--1271}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228597}, doi = {10.1145/2228360.2228597}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CastrillonTLA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChajiJ12, author = {G. Reza Chaji and Javid Jaffari}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Generic low-cost characterization of V\({}_{\mbox{th}}\) and mobility variations in {LTPS} TFTs for non-uniformity calibration of active-matrix {OLED} displays}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {182--187}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228396}, doi = {10.1145/2228360.2228396}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChajiJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChanSSM12, author = {Carven Chan and Daniel Schwartz{-}Narbonne and Divjyot Sethi and Sharad Malik}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Specification and synthesis of hardware checkpointing and rollback mechanisms}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1226--1232}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228585}, doi = {10.1145/2228360.2228585}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChanSSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangB12, author = {Kai{-}Hui Chang and Chris Browy}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Improving gate-level simulation accuracy when unknowns exist}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {936--940}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228528}, doi = {10.1145/2228360.2228528}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangH12, author = {Leland Chang and Wilfried Haensch}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Near-threshold operation for power-efficient computing?: it depends..}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1159--1163}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228573}, doi = {10.1145/2228360.2228573}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangJC12, author = {Hua{-}Yu Chang and Iris Hui{-}Ru Jiang and Yao{-}Wen Chang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Timing {ECO} optimization using metal-configurable gate-array spare cells}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {802--807}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228505}, doi = {10.1145/2228360.2228505}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChatterjeeKMZB12, author = {Debapriya Chatterjee and Anatoly Koyfman and Ronny Morad and Avi Ziv and Valeria Bertacco}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Checking architectural outputs instruction-by-instruction on acceleration platforms}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {955--961}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228531}, doi = {10.1145/2228360.2228531}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChatterjeeKMZB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CheC12, author = {Weijia Che and Karam S. Chatha}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Unrolling and retiming of stream applications onto embedded multicore processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1272--1277}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228598}, doi = {10.1145/2228360.2228598}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CheC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenH12, author = {Fu{-}Wei Chen and TingTing Hwang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Clock tree synthesis with methodology of re-use in 3D {IC}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1094--1099}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228559}, doi = {10.1145/2228360.2228559}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenHKYW12, author = {Chi{-}Hao Chen and Pi{-}Cheng Hsiu and Tei{-}Wei Kuo and Chia{-}Lin Yang and Cheng{-}Yuan Michael Wang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Age-based {PCM} wear leveling with nearly zero search cost}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {453--458}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228439}, doi = {10.1145/2228360.2228439}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenHKYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenZCZX12, author = {Xiang Chen and Jian Zheng and Yiran Chen and Mengying Zhao and Chun Jason Xue}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Quality-retaining {OLED} dynamic voltage scaling for video streaming applications on mobile devices}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1000--1005}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228540}, doi = {10.1145/2228360.2228540}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenZCZX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiOKH12, author = {Junchul Choi and Hyunok Oh and Sungchan Kim and Soonhoi Ha}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Executing synchronous dataflow graphs on a SPM-based multicore architecture}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {664--671}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228480}, doi = {10.1145/2228360.2228480}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoiOKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChouHC12, author = {Sheng Chou and Meng{-}Kai Hsu and Yao{-}Wen Chang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Structure-aware placement for datapath-intensive circuit designs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {762--767}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228498}, doi = {10.1145/2228360.2228498}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChouHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChouHHH12, author = {Chun{-}Nan Chou and Yen{-}Sheng Ho and Chiao Hsieh and Chung{-}Yang (Ric) Huang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Symbolic model checking on SystemC designs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {327--333}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228421}, doi = {10.1145/2228360.2228421}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChouHHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChristmannBCWP12, author = {Jean{-}Fr{\'{e}}d{\'{e}}ric Christmann and Edith Beign{\'{e}} and Cyril Condemine and J{\'{e}}r{\^{o}}me Willemin and Christian Piguet}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Energy harvesting and power management for autonomous sensor nodes}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1049--1054}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228550}, doi = {10.1145/2228360.2228550}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChristmannBCWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChungJ12, author = {Yi{-}Ting Chung and Jie{-}Hong Roland Jiang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Functional timing analysis made fast and general}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1055--1060}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228552}, doi = {10.1145/2228360.2228552}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChungJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongGGGR12, author = {Jason Cong and Mohammad Ali Ghodrat and Michael Gill and Beayna Grigorian and Glenn Reinman}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Architecture support for accelerator-rich CMPs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {843--849}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228512}, doi = {10.1145/2228360.2228512}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongGGGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongL12, author = {Jason Cong and Bin Liu}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A metric for layout-friendly microarchitecture optimization in high-level synthesis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1239--1244}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228587}, doi = {10.1145/2228360.2228587}, timestamp = {Wed, 10 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CongL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongZZ12, author = {Jason Cong and Peng Zhang and Yi Zou}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Optimizing memory hierarchy allocation with loop transformations for high-level synthesis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1233--1238}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228586}, doi = {10.1145/2228360.2228586}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CropPC12, author = {Joseph Crop and Robert Pawlowski and Patrick Chiang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Regaining throughput using completion detection for error-resilient, near-threshold logic}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {974--979}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228535}, doi = {10.1145/2228360.2228535}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CropPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DingLM12, author = {Huping Ding and Yun Liang and Tulika Mitra}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {WCET-centric partial instruction cache locking}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {412--420}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228434}, doi = {10.1145/2228360.2228434}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DingLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DinglerKNHCNPBLS12, author = {Aaron Dingler and Steve Kurtz and Michael T. Niemier and Xiaobo Sharon Hu and Gy{\"{o}}rgy Csaba and Joseph Nahas and Wolfgang Porod and Gary H. Bernstein and Peng Li and Vjiay Karthik Sankar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Making non-volatile nanomagnet logic non-volatile}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {476--485}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228445}, doi = {10.1145/2228360.2228445}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DinglerKNHCNPBLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DonkohLS12, author = {Eric Donkoh and Alicia Lowery and Emily Shriver}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A hybrid and adaptive model for predicting register file and {SRAM} power using a reference design}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {62--67}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228373}, doi = {10.1145/2228360.2228373}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DonkohLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DonohooOPA12, author = {Brad K. Donohoo and Chris Ohlsen and Sudeep Pasricha and Charles Anderson}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Exploiting spatiotemporal and device contexts for energy-efficient mobile embedded systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1278--1283}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228599}, doi = {10.1145/2228360.2228599}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DonohooOPA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EberlGTA12, author = {Michael Eberl and Michael Gla{\ss} and J{\"{u}}rgen Teich and Ulrich Abelein}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Considering diagnosis functionality during automatic system-level design of automotive networks}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {205--213}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228400}, doi = {10.1145/2228360.2228400}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/EberlGTA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/El-ShambakeyR12, author = {Mohammed El{-}Shambakey and Binoy Ravindran}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{STM} concurrency control for embedded real-time software with tighter time bounds}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {437--446}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228437}, doi = {10.1145/2228360.2228437}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/El-ShambakeyR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangC12, author = {Shao{-}Yun Fang and Yao{-}Wen Chang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Simultaneous flare level and flare variation minimization with dummification in {EUVL}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1179--1184}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228578}, doi = {10.1145/2228360.2228578}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FangC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangCC12, author = {Shao{-}Yun Fang and Yao{-}Wen Chang and Wei{-}Yu Chen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A novel layout decomposition algorithm for triple patterning lithography}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1185--1190}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228579}, doi = {10.1145/2228360.2228579}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangMZLHZCLZ12, author = {Zhenman Fang and Qinghao Min and Keyong Zhou and Yi Lu and Yibin Hu and Weihua Zhang and Haibo Chen and Jian Li and Binyu Zang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Transformer: a functional-driven cycle-accurate multicore simulator}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {106--114}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228381}, doi = {10.1145/2228360.2228381}, timestamp = {Sun, 23 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FangMZLHZCLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ForoutanSP12, author = {Sahar Foroutan and Abbas Sheibanyrad and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Cost-efficient buffer sizing in shared-memory 3D-MPSoCs using wide {I/O} interfaces}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {366--375}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228427}, doi = {10.1145/2228360.2228427}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ForoutanSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ForteS12, author = {Domenic Forte and Ankur Srivastava}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {On improving the uniqueness of silicon-based physically unclonable functions via optical proximity correction}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {96--105}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228379}, doi = {10.1145/2228360.2228379}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ForteS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Gester0NPSV12, author = {Michael Gester and Dirk M{\"{u}}ller and Tim Nieberg and Christian Panten and Christian Schulte and Jens Vygen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Algorithms and data structures for fast and good {VLSI} routing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {459--464}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228441}, doi = {10.1145/2228360.2228441}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Gester0NPSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhasemiSSK12, author = {Hamid Reza Ghasemi and Abhishek A. Sinkar and Michael J. Schulte and Nam Sung Kim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Cost-effective power delivery to support per-core voltage domains for power-constrained processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {56--61}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228372}, doi = {10.1145/2228360.2228372}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhasemiSSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhosalLRTPWTA12, author = {Arkadeb Ghosal and Rhishikesh Limaye and Kaushik Ravindran and Stavros Tripakis and Ankita Prasad and Guoqiang Wang and Trung N. Tran and Hugo A. Andrade}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Static dataflow with access patterns: semantics and analysis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {656--663}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228479}, doi = {10.1145/2228360.2228479}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhosalLRTPWTA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GrissomB12, author = {Daniel T. Grissom and Philip Brisk}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Path scheduling on digital microfluidic biochips}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {26--35}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228367}, doi = {10.1145/2228360.2228367}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GrissomB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoK12, author = {Xiaofei Guo and Ramesh Karri}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Invariance-based concurrent error detection for advanced encryption standard}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {573--578}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228463}, doi = {10.1145/2228360.2228463}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuoK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoYSCZ12, author = {Jing Guo and Fan Yang and Subarna Sinha and Charles C. Chiang and Xuan Zeng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Improved tangent space based distance metric for accurate lithographic hotspot classification}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1173--1178}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228577}, doi = {10.1145/2228360.2228577}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuoYSCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HamzehSV12, author = {Mahdi Hamzeh and Aviral Shrivastava and Sarma B. K. Vrudhula}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {EPIMap: using epimorphism to map applications on CGRAs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1284--1291}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228600}, doi = {10.1145/2228360.2228600}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HamzehSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaoRX12, author = {Kecheng Hao and Sandip Ray and Fei Xie}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Equivalence checking for behaviorally synthesized pipelines}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {344--349}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228423}, doi = {10.1145/2228360.2228423}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaoRX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Harris12, author = {Ian G. Harris}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Extracting design information from natural language specifications}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1256--1257}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228591}, doi = {10.1145/2228360.2228591}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Harris12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HoffmannHKLMMNSSACD12, author = {Henry Hoffmann and Jim Holt and George Kurian and Eric Lau and Martina Maggio and Jason E. Miller and Sabrina M. Neuman and Mahmut E. Sinangil and Yildiz Sinangil and Anant Agarwal and Anantha P. Chandrakasan and Srinivas Devadas}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Self-aware computing in the Angstrom processor}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {259--264}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228409}, doi = {10.1145/2228360.2228409}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HoffmannHKLMMNSSACD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuCG12, author = {Xuchu Hu and Walter James Condley and Matthew R. Guthaus}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Library-aware resonant clock synthesis {(LARCS)}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {145--150}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228389}, doi = {10.1145/2228360.2228389}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuLWR12, author = {Miao Hu and Hai Li and Qing Wu and Garrett S. Rose}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Hardware realization of {BSB} recall function using memristor crossbar arrays}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {498--503}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228448}, doi = {10.1145/2228360.2228448}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuLWR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangCBK12, author = {Kai Huang and Gang Chen and Christian Buckl and Alois C. Knoll}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Conforming the runtime inputs for hard real-time embedded systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {430--436}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228436}, doi = {10.1145/2228360.2228436}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangCBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangCK12, author = {Po{-}Chun Huang and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Joint management of {RAM} and flash memory with access pattern considerations}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {882--887}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228518}, doi = {10.1145/2228360.2228518}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangCTCK12, author = {Yoshi Shih{-}Chieh Huang and Yu{-}Chi Chang and Tsung{-}Chan Tsai and Yuan{-}Ying Chang and Chung{-}Ta King}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Attackboard: a novel dependency-aware traffic generator for exploring NoC design space}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {376--381}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228428}, doi = {10.1145/2228360.2228428}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangCTCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangHRBK12, author = {Jia Huang and Kai Huang and Andreas Raabe and Christian Buckl and Alois C. Knoll}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Towards fault-tolerant embedded systems with imperfect fault detection}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {188--196}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228398}, doi = {10.1145/2228360.2228398}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangHRBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangLR12, author = {Jiawei Huang and John C. Lach and Gabriel Robins}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A methodology for energy-quality tradeoff using imprecise hardware}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {504--509}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228450}, doi = {10.1145/2228360.2228450}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuangLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangLTCSCK12, author = {Shi{-}Yu Huang and Yu{-}Hsiang Lin and Kun{-}Han Tsai and Wu{-}Tung Cheng and Stephen K. Sunter and Yung{-}Fa Chou and Ding{-}Ming Kwai}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Small delay testing for TSVs in 3-D ICs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1031--1036}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228546}, doi = {10.1145/2228360.2228546}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangLTCSCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangLWT12, author = {Yu{-}Hung Huang and Yi{-}Shan Lu and Hsin{-}I Wu and Ren{-}Song Tsay}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A non-intrusive timing synchronization interface for hardware-assisted {HW/SW} co-simulation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {127--132}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228384}, doi = {10.1145/2228360.2228384}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangLWT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangYCL12, author = {Rei{-}Fu Huang and Hao{-}Yu Yang and Mango Chia{-}Tso Chao and Shih{-}Chin Lin}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Alternate hammering test for application-specific DRAMs and an industrial case study}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1012--1017}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228543}, doi = {10.1145/2228360.2228543}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangYCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Jeff12, author = {Brian Jeff}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Big.LITTLE system architecture from {ARM:} saving power through heterogeneous multiprocessing and task context migration}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1143--1146}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228569}, doi = {10.1145/2228360.2228569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Jeff12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JeongESP12, author = {Min Kyu Jeong and Mattan Erez and Chander Sudanthi and Nigel C. Paver}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A QoS-aware memory controller for dynamically balancing {GPU} and {CPU} bandwidth use in an MPSoC}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {850--855}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228513}, doi = {10.1145/2228360.2228513}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JeongESP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangZZY12, author = {Lei Jiang and Bo Zhao and Youtao Zhang and Jun Yang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Constructing large and fast multi-level cell {STT-MRAM} based cache for embedded processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {907--912}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228521}, doi = {10.1145/2228360.2228521}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangZZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JimenezNI12, author = {Xavier Jimenez and David Novo and Paolo Ienne}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Software controlled cell bit-density to improve {NAND} flash lifetime}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {229--234}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228404}, doi = {10.1145/2228360.2228404}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JimenezNI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JogMXXNID12, author = {Adwait Jog and Asit K. Mishra and Cong Xu and Yuan Xie and Vijaykrishnan Narayanan and Ravishankar R. Iyer and Chita R. Das}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Cache revive: architecting volatile {STT-RAM} caches for enhanced performance in CMPs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {243--252}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228406}, doi = {10.1145/2228360.2228406}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JogMXXNID12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JoubertDBTH12, author = {Antoine Joubert and Marc Duranton and Bilel Belhadj and Olivier Temam and Rodolphe H{\'{e}}liot}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Capacitance of TSVs in 3-D stacked chips a problem?: not for neuromorphic systems!}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1264--1265}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228595}, doi = {10.1145/2228360.2228595}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JoubertDBTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungCK12, author = {Seobin Jung and Yunju Choi and Jaeha Kim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Variability-aware, discrete optimization for analog circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {536--541}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228456}, doi = {10.1145/2228360.2228456}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JungCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungPL12, author = {Moongon Jung and David Z. Pan and Sung Kyu Lim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {317--326}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228419}, doi = {10.1145/2228360.2228419}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JungPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungSB12, author = {Deokwoo Jung and Andreas Savvides and Athanasios Bamis}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Tracking appliance usage information in residential settings using off-the-shelf low-frequency meters}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {163--168}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228393}, doi = {10.1145/2228360.2228393}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JungSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KahngK12, author = {Andrew B. Kahng and Seokhyeong Kang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Accuracy-configurable adder for approximate arithmetic designs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {820--825}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228509}, doi = {10.1145/2228360.2228509}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KahngK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KahngLN12, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Explicit modeling of control and data for improved NoC router estimation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {392--397}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228430}, doi = {10.1145/2228360.2228430}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KahngLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KanjJLHN12, author = {Rouwaida Kanj and Rajiv V. Joshi and Zhuo Li and Jerry Hayes and Sani R. Nassif}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Yield estimation via multi-cones}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1107--1112}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228562}, doi = {10.1145/2228360.2228562}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KanjJLHN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KarakonstantisRBB12, author = {Georgios Karakonstantis and Christoph Roth and Christian Benkeser and Andreas Burg}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {On the exploitation of the inherent error resilience of wireless systems under unreliable silicon}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {510--515}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228451}, doi = {10.1145/2228360.2228451}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KarakonstantisRBB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KaulAHAKB12, author = {Himanshu Kaul and Mark A. Anders and Steven Hsu and Amit Agarwal and Ram Krishnamurthy and Shekhar Borkar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Near-threshold voltage {(NTV)} design: opportunities and challenges}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1153--1158}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228572}, doi = {10.1145/2228360.2228572}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KaulAHAKB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KelleyWSRH12, author = {Kyle Kelley and Megan Wachs and John P. Stevenson and Stephen Richardson and Mark Horowitz}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Removing overhead from high-level interfaces}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {783--789}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228502}, doi = {10.1145/2228360.2228502}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KelleyWSRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KengV12, author = {Brian Keng and Andreas G. Veneris}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Path directed abstraction and refinement in SAT-based design debugging}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {947--954}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228530}, doi = {10.1145/2228360.2228530}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KengV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimLCKWYL12, author = {Dongki Kim and Sungkwang Lee and Jaewoong Chung and Daehyun Kim and Dong Hyuk Woo and Sungjoo Yoo and Sunggu Lee}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Hybrid DRAM/PRAM-based main memory for single-chip {CPU/GPU}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {888--896}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228519}, doi = {10.1145/2228360.2228519}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimLCKWYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimPCXWP12, author = {Younghyun Kim and Sangyoung Park and Naehyuck Chang and Qing Xie and Yanzhi Wang and Massoud Pedram}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Networked architecture for hybrid electrical energy storage systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {522--528}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228453}, doi = {10.1145/2228360.2228453}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimPCXWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimYL12, author = {Youngsik Kim and Sungjoo Yoo and Sunggu Lee}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Write performance improvement by hiding {R} drift latency in phase-change {RAM}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {897--906}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228520}, doi = {10.1145/2228360.2228520}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KirschP12, author = {Christoph M. Kirsch and Hannes Payer}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Incorrect systems: it's not the problem, it's the solution}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {913--917}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228523}, doi = {10.1145/2228360.2228523}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KirschP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KongC12, author = {Joonho Kong and Sung Woo Chung}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Exploiting narrow-width values for process variation-tolerant 3-D microprocessors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1197--1206}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228581}, doi = {10.1145/2228360.2228581}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KongC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KoushanfarFMBSSP12, author = {Farinaz Koushanfar and Saverio Fazzari and Carl McCants and William Bryson and Matthew Sale and Peilin Song and Miodrag Potkonjak}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Can {EDA} combat the rise of electronic counterfeiting?}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {133--138}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228386}, doi = {10.1145/2228360.2228386}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KoushanfarFMBSSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KoushanfarSS12, author = {Farinaz Koushanfar and Ahmad{-}Reza Sadeghi and Herv{\'{e}} Seudie}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{EDA} for secure and dependable cybercars: challenges and opportunities}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {220--228}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228402}, doi = {10.1145/2228360.2228402}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KoushanfarSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KozhikkottuDR12, author = {Vivek Joy Kozhikkottu and Sujit Dey and Anand Raghunathan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Recovery-based design for variation-tolerant SoCs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {826--833}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228510}, doi = {10.1145/2228360.2228510}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KozhikkottuDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KuangBK12, author = {Jilong Kuang and Laxmi N. Bhuyan and Raymond Klefstad}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Traffic-aware power optimization for network applications on multicore servers}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1006--1011}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228541}, doi = {10.1145/2228360.2228541}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KuangBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarBKV12, author = {Jayanand Asok Kumar and Kenneth M. Butler and Heesoo Kim and Shobha Vasudevan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Early prediction of {NBTI} effects using {RTL} source code analysis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {808--813}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228506}, doi = {10.1145/2228360.2228506}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarBKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarGCALT12, author = {Pratyush Kumar and Dip Goswami and Samarjit Chakraborty and Anuradha Annaswamy and Kai Lampka and Lothar Thiele}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A hybrid approach to cyber-physical systems verification}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {688--696}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228484}, doi = {10.1145/2228360.2228484}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarGCALT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KuoHCKC12, author = {Chin{-}Cheng Kuo and Wei{-}Yi Hu and Yi{-}Hung Chen and Jui{-}Feng Kuan and Yi{-}Kan Cheng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Efficient trimmed-sample Monte Carlo methodology and yield-aware design flow for analog circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1113--1118}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228563}, doi = {10.1145/2228360.2228563}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KuoHCKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Lauwereins12, author = {Rudy Lauwereins}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Biomedical electronics serving as physical environmental and emotional watchdogs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1--5}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228362}, doi = {10.1145/2228360.2228362}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Lauwereins12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LearyCC12, author = {Glenn Leary and Weijia Che and Karam S. Chatha}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {System-level synthesis of memory architecture for stream processing sub-systems of a MPSoC}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {672--677}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228481}, doi = {10.1145/2228360.2228481}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LearyCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeC12, author = {Hsu{-}Chieh Lee and Yao{-}Wen Chang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A chip-package-board co-design methodology}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1082--1087}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228557}, doi = {10.1145/2228360.2228557}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeKYBS12, author = {Yoonmyung Lee and Yejoong Kim and Dongmin Yoon and David T. Blaauw and Dennis Sylvester}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Circuit and system design guidelines for ultra-low power sensor nodes}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1037--1042}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228548}, doi = {10.1145/2228360.2228548}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeKYBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeLHCCLS12, author = {Po{-}Wei Lee and Hsu{-}Chieh Lee and Yuan{-}Kai Ho and Yao{-}Wen Chang and Chen{-}Feng Chang and I{-}Jye Lin and Chin{-}Fang Shen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Obstacle-avoiding free-assignment routing for flip-chip designs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1088--1093}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228558}, doi = {10.1145/2228360.2228558}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeLHCCLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiANSVZ12, author = {Zhuo Li and Charles J. Alpert and Gi{-}Joon Nam and Cliff C. N. Sze and Natarajan Viswanathan and Nancy Y. Zhou}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Guiding a physical design closure system to produce easier-to-route designs with more predictable timing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {465--470}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228442}, doi = {10.1145/2228360.2228442}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiANSVZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiSJ12, author = {Wenchao Li and Sanjit A. Seshia and Somesh Jha}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {CrowdMine: towards crowdsourced human-assisted verification}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1254--1255}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228590}, doi = {10.1145/2228360.2228590}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinHFHM12, author = {David Lin and Ted Hong and Farzan Fallah and Nagib Hakim and Subhasish Mitra}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Quick detection of difficult bugs for effective post-silicon validation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {561--566}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228461}, doi = {10.1145/2228360.2228461}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinHFHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinK12, author = {Pey{-}Chang Kent Lin and Sunil P. Khatri}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Application of logic synthesis to the understanding and cure of genetic diseases}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {734--740}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228493}, doi = {10.1145/2228360.2228493}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinMK12, author = {Pey{-}Chang Kent Lin and Ayan Mandal and Sunil P. Khatri}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Boolean satisfiability using noise based logic}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1260--1261}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228593}, doi = {10.1145/2228360.2228593}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinWYSCP12, author = {Xue Lin and Yanzhi Wang and Siyu Yue and Donghwa Shin and Naehyuck Chang and Massoud Pedram}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {516--521}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228452}, doi = {10.1145/2228360.2228452}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinWYSCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LionelPSE12, author = {Lionel Vincent and Philippe Maurine and Suzanne Lesecq and Edith Beign{\'{e}}}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Embedding statistical tests for on-chip dynamic voltage and temperature monitoring}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {994--999}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228539}, doi = {10.1145/2228360.2228539}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LionelPSE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuAHG12, author = {Bo Liu and Maryam Ashouei and Jos Huisken and Jos{\'{e}} Pineda de Gyvez}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Standard cell sizing for subthreshold operation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {962--967}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228533}, doi = {10.1145/2228360.2228533}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuAHG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuARVG12, author = {Bo Liu and Hadi Aliakbarian and Soheil Radiom and Guy A. E. Vandenbosch and Georges G. E. Gielen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Efficient multi-objective synthesis for microwave components based on computational intelligence techniques}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {542--548}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228457}, doi = {10.1145/2228360.2228457}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuARVG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCL12, author = {Chih{-}Hung Liu and I{-}Che Chen and D. T. Lee}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {An efficient algorithm for multi-layer obstacle-avoiding rectilinear Steiner tree construction}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {613--622}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228471}, doi = {10.1145/2228360.2228471}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuH12, author = {Frank Liu and Ben R. Hodges}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Dynamic river network simulation at large scale}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {723--728}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228491}, doi = {10.1145/2228360.2228491}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuH12a, author = {Myung{-}Chul Kim and Igor L. Markov}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {ComPLx: {A} Competitive Primal-dual Lagrange Optimization for Global Placement}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {747--752}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228496}, doi = {10.1145/2228360.2228496}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuH12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LoS12, author = {Daniel Lo and G. Edward Suh}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Worst-case execution time analysis for parallel run-time monitoring}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {421--429}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228435}, doi = {10.1145/2228360.2228435}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LoS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuoC12, author = {Yan Luo and Krishnendu Chakrabarty}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Design of pin-constrained general-purpose digital microfluidic biochips}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {18--25}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228366}, doi = {10.1145/2228360.2228366}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LuoC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MalburgFF12, author = {Jan Malburg and Alexander Finder and G{\"{o}}rschwin Fey}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Automated feature localization for hardware designs using coverage metrics}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {941--946}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228529}, doi = {10.1145/2228360.2228529}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MalburgFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MeirR12, author = {Arie Meir and Jaijeet S. Roychowdhury}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{BLAST:} efficient computation of nonlinear delay sensitivities in electronic and biological networks using barycentric Lagrange enabled transient adjoint analysis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {301--310}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228417}, doi = {10.1145/2228360.2228417}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MeirR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MelpignanoBFJLHCD12, author = {Diego Melpignano and Luca Benini and Eric Flamand and Bruno Jego and Thierry Lepley and Germain Haugou and Fabien Clermidy and Denis Dutoit}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1137--1142}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228568}, doi = {10.1145/2228360.2228568}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MelpignanoBFJLHCD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MengKC12, author = {Jie Meng and Katsutoshi Kawakami and Ayse K. Coskun}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Optimizing energy efficiency of 3-D multicore systems with stacked {DRAM} under power and thermal constraints}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {648--655}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228477}, doi = {10.1145/2228360.2228477}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MengKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MicheliBBTC12, author = {Giovanni De Micheli and Cristina Boero and Camilla Baj{-}Rossi and Irene Taurino and Sandro Carrara}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Integrated biosensors for personalized medicine}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {6--11}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228363}, doi = {10.1145/2228360.2228363}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MicheliBBTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MiddendorfBH12, author = {Lars Middendorf and Christophe Bobda and Christian Haubelt}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Hardware synthesis of recursive functions through partial stream rewriting}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1207--1215}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228583}, doi = {10.1145/2228360.2228583}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MiddendorfBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MirhoseiniPK12, author = {Azalia Mirhoseini and Miodrag Potkonjak and Farinaz Koushanfar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Coding-based energy minimization for phase change memory}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {68--76}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228374}, doi = {10.1145/2228360.2228374}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MirhoseiniPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MorrisBZP12, author = {Daniel Morris and David M. Bromberg and Jian{-}Gang Jimmy Zhu and Larry T. Pileggi}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {mLogic: ultra-low voltage non-volatile logic circuits using {STT-MTJ} devices}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {486--491}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228446}, doi = {10.1145/2228360.2228446}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MorrisBZP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MurilloEJYLA12, author = {Luis Gabriel Murillo and Juan Fernando Eusse and Jovana Jovic and Sergey Yakoushkin and Rainer Leupers and Gerd Ascheid}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Synchronization for hybrid MPSoC full-system simulation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {121--126}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228383}, doi = {10.1145/2228360.2228383}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MurilloEJYLA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OuCC12, author = {Hung{-}Chih Ou and Hsing{-}Chih Chang Chien and Yao{-}Wen Chang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Non-uniform multilevel analog routing with matching constraints}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {549--554}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228458}, doi = {10.1145/2228360.2228458}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OuCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaekMSSK12, author = {Seungwook Paek and Seok{-}Hwan Moon and Wongyu Shin and Jaehyeong Sim and Lee{-}Sup Kim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {PowerField: a transient temperature-to-power technique based on Markov random field theory}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {630--635}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228474}, doi = {10.1145/2228360.2228474}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PaekMSSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PalemA12, author = {Krishna V. Palem and Lingamneni Avinash}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {What to do about the end of Moore's law, probably!}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {924--929}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228525}, doi = {10.1145/2228360.2228525}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PalemA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkGMRR12, author = {Sang Phill Park and Sumeet Kumar Gupta and Niladri Narayan Mojumder and Anand Raghunathan and Kaushik Roy}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Future cache design using {STT} MRAMs for improved energy efficiency: devices, circuits and architecture}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {492--497}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228447}, doi = {10.1145/2228360.2228447}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkGMRR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkKCDCP12, author = {Sunghyun Park and Tushar Krishna and Chia{-}Hsin Owen Chen and Bhavya K. Daya and Anantha P. Chandrakasan and Li{-}Shiuan Peh}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm {SOI}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {398--405}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228431}, doi = {10.1145/2228360.2228431}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkKCDCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PinckneySDFMSB12, author = {Nathaniel Ross Pinckney and Korey Sewell and Ronald G. Dreslinski and David Fick and Trevor N. Mudge and Dennis Sylvester and David T. Blaauw}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Assessing the performance limits of parallelized near-threshold computing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1147--1152}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228571}, doi = {10.1145/2228360.2228571}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PinckneySDFMSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PinoLCHL12, author = {Robinson E. Pino and Hai (Helen) Li and Yiran Chen and Miao Hu and Beiye Liu}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Statistical memristor modeling and case study in neuromorphic computing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {585--590}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228466}, doi = {10.1145/2228360.2228466}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PinoLCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PurandareAH12, author = {Mitra Purandare and Kubilay Atasu and Christoph Hagleitner}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Proving correctness of regular expression accelerators}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {350--355}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228424}, doi = {10.1145/2228360.2228424}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PurandareAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QiuM12, author = {Xiang Qiu and Malgorzata Marek{-}Sadowska}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Can pin access limit the footprint scaling?}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1100--1106}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228560}, doi = {10.1145/2228360.2228560}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/QiuM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RajendiranAPTG12, author = {Aravindkumar Rajendiran and Sundaram Ananthanarayanan and Hiren D. Patel and Mahesh V. Tripunitara and Siddharth Garg}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Reliable computing with ultra-reduced instruction set co-processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {697--702}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228485}, doi = {10.1145/2228360.2228485}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RajendiranAPTG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RajendranPSK12, author = {Jeyavijayan Rajendran and Youngok K. Pino and Ozgur Sinanoglu and Ramesh Karri}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Security analysis of logic obfuscation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {83--89}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228377}, doi = {10.1145/2228360.2228377}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RajendranPSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RanieriVCAV12, author = {Juri Ranieri and Alessandro Vincenzi and Amina Chebira and David Atienza and Martin Vetterli}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {EigenMaps: algorithms for optimal thermal maps extraction and sensor placement on multicore processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {636--641}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228475}, doi = {10.1145/2228360.2228475}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RanieriVCAV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RehmanSH12, author = {Semeen Rehman and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Instruction scheduling for reliability-aware compilation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1292--1300}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228601}, doi = {10.1145/2228360.2228601}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RehmanSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RenCWZY12, author = {Ling Ren and Xiaoming Chen and Yu Wang and Chenxi Zhang and Huazhong Yang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Sparse {LU} factorization for parallel circuit simulation on {GPU}}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1125--1130}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228565}, doi = {10.1145/2228360.2228565}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RenCWZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Rinard12, author = {Martin C. Rinard}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Obtaining and reasoning about good enough software}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {930--935}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228526}, doi = {10.1145/2228360.2228526}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Rinard12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoaCJ12, author = {Elkim Roa and Wu{-}Hsin Chen and Byunghoo Jung}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Material implication in {CMOS:} a new kind of logic}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1258--1259}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228592}, doi = {10.1145/2228360.2228592}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RoaCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RoyC12, author = {Sanghamitra Roy and Koushik Chakraborty}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Predicting timing violations through instruction-level path sensitization analysis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1074--1081}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228555}, doi = {10.1145/2228360.2228555}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RoyC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RyzhenkoB12, author = {Nikolai Ryzhenko and Steven M. Burns}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Standard cell routing via boolean satisfiability}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {603--612}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228470}, doi = {10.1145/2228360.2228470}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RyzhenkoB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SartoriK12, author = {John Sartori and Rakesh Kumar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Compiling for energy efficiency on timing speculative processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1301--1308}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228602}, doi = {10.1145/2228360.2228602}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SartoriK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SasanianWM12, author = {Zahra Sasanian and Robert Wille and D. Michael Miller}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Realizing reversible circuits using a new class of quantum gates}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {36--41}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228368}, doi = {10.1145/2228360.2228368}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SasanianWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SatpathyDDMSB12, author = {Sudhir Satpathy and Reetuparna Das and Ronald G. Dreslinski and Trevor N. Mudge and Dennis Sylvester and David T. Blaauw}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {406--411}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228432}, doi = {10.1145/2228360.2228432}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SatpathyDDMSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Scheffer12, author = {Louis Scheffer}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Design tools for artificial nervous systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {717--722}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228490}, doi = {10.1145/2228360.2228490}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Scheffer12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeoDWPCMBM12, author = {Sangwon Seo and Ronald G. Dreslinski and Mark Woh and Yongjun Park and Chaitali Chakrabarti and Scott A. Mahlke and David T. Blaauw and Trevor N. Mudge}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Process variation in near-threshold wide {SIMD} architectures}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {980--987}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228536}, doi = {10.1145/2228360.2228536}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeoDWPCMBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Seok12, author = {Mingoo Seok}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Decoupling capacitor design strategy for minimizing supply noise of ultra low voltage circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {968--973}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228534}, doi = {10.1145/2228360.2228534}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Seok12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Seshia12, author = {Sanjit A. Seshia}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Sciduction: combining induction, deduction, and structure for verification and synthesis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {356--365}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228425}, doi = {10.1145/2228360.2228425}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Seshia12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeversonYD12, author = {Matt Severson and Kendrick Yuen and Yang Du}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Not so fast my friend: is near-threshold computing the answer for power reduction of wireless devices?}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1164--1166}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228574}, doi = {10.1145/2228360.2228574}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeversonYD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShachamGSWBVHDQR12, author = {Ofer Shacham and Sameh Galal and Sabarish Sankaranarayanan and Megan Wachs and John S. Brunhaver and Artem Vassiliev and Mark Horowitz and Andrew Danowitz and Wajahat Qadeer and Stephen Richardson}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Avoiding game over: bringing design to the next level}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {623--629}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228472}, doi = {10.1145/2228360.2228472}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShachamGSWBVHDQR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShafiqueZWBH12, author = {Muhammad Shafique and Bruno Zatt and Fabio Leandro Walter and Sergio Bampi and J{\"{o}}rg Henkel}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Adaptive power management of on-chip video memory for multiview video coding}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {866--875}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228516}, doi = {10.1145/2228360.2228516}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ShafiqueZWBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SharadAPR12, author = {Mrigank Sharad and Charles Augustine and Georgios Panagopoulos and Kaushik Roy}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Cognitive computing with spin-based neural networks}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1262--1263}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228594}, doi = {10.1145/2228360.2228594}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SharadAPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SharifiSKI12, author = {Akbar Sharifi and Shekhar Srikantaiah and Mahmut T. Kandemir and Mary Jane Irwin}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Courteous cache sharing: being nice to others in capacity management}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {678--687}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228482}, doi = {10.1145/2228360.2228482}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SharifiSKI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShojaeiDR12, author = {Hamid Shojaei and Azadeh Davoodi and Parmeswaran Ramanathan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Confidentiality preserving integer programming for global routing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {709--716}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228487}, doi = {10.1145/2228360.2228487}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShojaeiDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinhaVVXZ12, author = {Debjit Sinha and Chandu Visweswariah and Natesan Venkateswaran and Jinjun Xiong and Vladimir Zolotov}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Reversible statistical \emph{max/min} operation: concept and applications to timing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1067--1073}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228554}, doi = {10.1145/2228360.2228554}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinhaVVXZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinhaYCCC12, author = {Saurabh Sinha and Greg Yeric and Vikas Chandra and Brian Cline and Yu Cao}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Exploring sub-20nm FinFET design with predictive technology models}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {283--288}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228414}, doi = {10.1145/2228360.2228414}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SinhaYCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SironiBCCHSS12, author = {Filippo Sironi and Davide B. Bartolini and Simone Campanoni and Fabio Cancare and Henry Hoffmann and Donatella Sciuto and Marco D. Santambrogio}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Metronome: operating system level performance management via self-adaptive computing}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {856--865}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228514}, doi = {10.1145/2228360.2228514}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SironiBCCHSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SloanSK12, author = {Joseph Sloan and John Sartori and Rakesh Kumar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {On software design for stochastic processors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {918--923}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228524}, doi = {10.1145/2228360.2228524}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SloanSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuYZ12, author = {Yangfeng Su and Fan Yang and Xuan Zeng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{AMOR:} an efficient aggregating based model order reduction method for many-terminal interconnect circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {295--300}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228416}, doi = {10.1145/2228360.2228416}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SunGR12, author = {Jin Sun and Priyank Gupta and Janet Meiling Wang Roveda}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A new uncertainty budgeting based method for robust analog/mixed-signal design}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {529--535}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228455}, doi = {10.1145/2228360.2228455}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SunGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Suto12, author = {Gyuszi Suto}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Rule agnostic routing by using design fabrics}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {471--475}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228443}, doi = {10.1145/2228360.2228443}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Suto12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Taylor12, author = {Michael B. Taylor}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1131--1136}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228567}, doi = {10.1145/2228360.2228567}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Taylor12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TovinakereSD12, author = {Vivek D. Tovinakere and Olivier Sentieys and Steven Derrien}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A semiempirical model for wakeup time estimation in power-gated logic clusters}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {48--55}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228371}, doi = {10.1145/2228360.2228371}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TovinakereSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UkhovBEP12, author = {Ivan Ukhov and Min Bao and Petru Eles and Zebo Peng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Steady-state dynamic temperature analysis and reliability optimization for embedded multiprocessor systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {197--204}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228399}, doi = {10.1145/2228360.2228399}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/UkhovBEP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/UrdahlSWK12, author = {Joakim Urdahl and Dominik Stoffel and Markus Wedler and Wolfgang Kunz}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {System verification of concurrent {RTL} modules by compositional path predicate abstraction}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {334--343}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228422}, doi = {10.1145/2228360.2228422}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/UrdahlSWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VelamalaSSC12, author = {Jyothi Bhaskarr Velamala and Ketul Sutaria and Takashi Sato and Yu Cao}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Physics matters: statistical aging prediction under trapping/detrapping}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {139--144}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228388}, doi = {10.1145/2228360.2228388}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VelamalaSSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VenkataramaniSKRR12, author = {Swagath Venkataramani and Amit Sabne and Vivek Joy Kozhikkottu and Kaushik Roy and Anand Raghunathan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{SALSA:} systematic logic synthesis of approximate circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {796--801}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228504}, doi = {10.1145/2228360.2228504}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VenkataramaniSKRR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VincoCBF12, author = {Sara Vinco and Debapriya Chatterjee and Valeria Bertacco and Franco Fummi}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{SAGA:} SystemC acceleration on {GPU} architectures}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {115--120}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228382}, doi = {10.1145/2228360.2228382}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VincoCBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ViswanathanASLW12, author = {Natarajan Viswanathan and Charles J. Alpert and Cliff C. N. Sze and Zhuo Li and Yaoguang Wei}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The {DAC} 2012 routability-driven placement contest and benchmark suite}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {774--782}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228500}, doi = {10.1145/2228360.2228500}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ViswanathanASLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangBDS12, author = {Yi Wang and Luis Angel D. Bathen and Nikil D. Dutt and Zili Shao}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Meta-Cure: a reliability enhancement strategy for metadata in {NAND} flash memory storage systems}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {214--219}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228401}, doi = {10.1145/2228360.2228401}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangBDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangDX12, author = {Jue Wang and Xiangyu Dong and Yuan Xie}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Point and discard: a hard-error-tolerant architecture for non-volatile last level caches}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {253--258}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228407}, doi = {10.1145/2228360.2228407}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangDX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangKPRLFMP12, author = {Fa Wang and Gokce Keskin and Andrew Phelps and Jonathan Rotner and Xin Li and Gary K. Fedder and Tamal Mukherjee and Lawrence T. Pileggi}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Statistical design and optimization for adaptive post-silicon tuning of {MEMS} filters}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {176--181}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228395}, doi = {10.1145/2228360.2228395}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangKPRLFMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangPYLKB12, author = {Hongfei Wang and Osei Poku and Xiaochun Yu and Sizhe Liu and Ibrahima Komara and Ronald D. Blanton}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Test-data volume optimization for diagnosis}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {567--572}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228462}, doi = {10.1145/2228360.2228462}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangPYLKB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangW12, author = {Chundong Wang and Weng{-}Fai Wong}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Observational wear leveling: an efficient algorithm for flash memory management}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {235--242}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228405}, doi = {10.1145/2228360.2228405}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WardDP12, author = {Samuel I. Ward and Duo Ding and David Z. Pan}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{PADE:} a high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {756--761}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228497}, doi = {10.1145/2228360.2228497}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WardDP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiLKP12, author = {Sheng Wei and Kai Li and Farinaz Koushanfar and Miodrag Potkonjak}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Hardware Trojan horse benchmark via optimal creation and placement of malicious circuitry}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {90--95}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228378}, doi = {10.1145/2228360.2228378}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WeiLKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiSVLARHTKS12, author = {Yaoguang Wei and Cliff C. N. Sze and Natarajan Viswanathan and Zhuo Li and Charles J. Alpert and Lakshmi N. Reddy and Andrew D. Huber and Gustavo E. T{\'{e}}llez and Douglas Keller and Sachin S. Sapatnekar}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{GLARE:} global and local wiring aware routability evaluation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {768--773}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228499}, doi = {10.1145/2228360.2228499}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WeiSVLARHTKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WelpKK12, author = {Tobias Welp and Smita Krishnaswamy and Andreas Kuehlmann}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Generalized SAT-sweeping for post-mapping optimization}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {814--819}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228507}, doi = {10.1145/2228360.2228507}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WelpKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WenZCWX12, author = {Wujie Wen and Yaojun Zhang and Yiran Chen and Yu Wang and Yuan Xie}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{PS3-RAM:} a fast portable and scalable statistical {STT-RAM} reliability analysis method}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1191--1196}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228580}, doi = {10.1145/2228360.2228580}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WenZCWX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeC12, author = {Fangming Ye and Krishnendu Chakrabarty}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{TSV} open defects in 3D integrated circuits: characterization, test, and optimal spare allocation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1024--1030}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228545}, doi = {10.1145/2228360.2228545}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YeC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YorkC12, author = {Johnathan York and Derek Chiou}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {On the asymptotic costs of multiplexer-based reconfigurability}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {790--795}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228503}, doi = {10.1145/2228360.2228503}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YorkC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YouseffBKGWA12, author = {Lamia Youseff and Nathan Beckmann and Harshad Kasture and Charles Gruenwald III and David Wentzlaff and Anant Agarwal}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The case for elastic operating system services in fos}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {265--270}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228410}, doi = {10.1145/2228360.2228410}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YouseffBKGWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuCSJC12, author = {Yen{-}Ting Yu and Ya{-}Chung Chan and Subarna Sinha and Iris Hui{-}Ru Jiang and Charles C. Chiang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Accurate process-hotspot detection using critical design rule extraction}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1167--1172}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228576}, doi = {10.1145/2228360.2228576}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuCSJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuanLX12, author = {Feng Yuan and Xiao Liu and Qiang Xu}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {X-tracer: a reconfigurable X-tolerant trace compressor for silicon debug}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {555--560}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228460}, doi = {10.1145/2228360.2228460}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuanLX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangC12, author = {Yanheng Zhang and Chris Chu}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {GDRouter: interleaved global routing and detailed routing for ultimate routability}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {597--602}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228469}, doi = {10.1145/2228360.2228469}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangHY12, author = {Xiaorong Zhang and He Huang and Qing Yang}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Implementing an {FPGA} system for real-time intent recognition for prosthetic legs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {169--175}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228394}, doi = {10.1145/2228360.2228394}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangLWFW12, author = {Yang Zhang and Haotian Liu and Qing Wang and Neric Fong and Ngai Wong}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Fast nonlinear model order reduction via associated transforms of high-order volterra transfer functions}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {289--294}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228415}, doi = {10.1145/2228360.2228415}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangLWFW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangTT12, author = {Xuehui Zhang and Nicholas Tuzzio and Mohammad Tehranipoor}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Identification of recovered ICs using fingerprints from a light-weight on-chip sensor}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {703--708}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228486}, doi = {10.1145/2228360.2228486}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangTT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangWCHL12, author = {Guangfei Zhang and Huandong Wang and Xinke Chen and Shuai Huang and Peng Li}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Heterogeneous multi-channel: fine-grained {DRAM} control for both system performance and power efficiency}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {876--881}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228517}, doi = {10.1145/2228360.2228517}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangWCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoF12, author = {Xueqian Zhao and Zhuo Feng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Towards efficient SPICE-accurate nonlinear circuit simulation with on-the-fly support-circuit preconditioners}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1119--1124}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228564}, doi = {10.1145/2228360.2228564}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoJDZKI12, author = {Hui Zhao and Ohyoung Jang and Wei Ding and Yuanrui Zhang and Mahmut T. Kandemir and Mary Jane Irwin}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A hybrid NoC design for cache coherence optimization for chip multiprocessors}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {834--842}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228511}, doi = {10.1145/2228360.2228511}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoJDZKI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoSL12, author = {Xin Zhao and Michael Scheuermann and Sung Kyu Lim}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Analysis of {DC} current crowding in through-silicon-vias and its impact on power integrity in 3D ICs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {157--162}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228391}, doi = {10.1145/2228360.2228391}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhaoSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouLCKQY12, author = {Huapeng Zhou and Xin Li and Chen{-}Yong Cher and Eren Kursun and Haifeng Qian and Shi{-}Chune Yao}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {642--647}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228476}, doi = {10.1145/2228360.2228476}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhouLCKQY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZolotovSHFVXLV12, author = {Vladimir Zolotov and Debjit Sinha and Jeffrey G. Hemmett and Eric A. Foreman and Chandu Visweswariah and Jinjun Xiong and Jeremy Leitzen and Natesan Venkateswaran}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Timing analysis with nonseparable statistical and deterministic variations}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1061--1066}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228553}, doi = {10.1145/2228360.2228553}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZolotovSHFVXLV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZuluagaMP12, author = {Marcela Zuluaga and Peter A. Milder and Markus P{\"{u}}schel}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Computer generation of streaming sorting networks}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1245--1253}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228588}, doi = {10.1145/2228360.2228588}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZuluagaMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2012, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, publisher = {{ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2228360}, isbn = {978-1-4503-1199-1}, timestamp = {Wed, 29 Mar 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.