Search dblp for Publications

export results for "toc:db/conf/aspdac/aspdac2021.bht:"

 download as .bib file

@inproceedings{DBLP:conf/aspdac/0001BNPL21,
  author       = {Lukas J{\"{u}}nger and
                  Carmine Bianco and
                  Kristof Niederholtmeyer and
                  Dietmar Petras and
                  Rainer Leupers},
  title        = {Optimizing Temporal Decoupling using Event Relevance},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {331--337},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431419},
  doi          = {10.1145/3394885.3431419},
  timestamp    = {Mon, 03 May 2021 16:42:27 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0001BNPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0001SMJC21,
  author       = {Wei Deng and
                  Zheng Song and
                  Ruichang Ma and
                  Haikun Jia and
                  Baoyong Chi},
  title        = {A Highly Integrated Energy-efficient {CMOS} Millimeter-wave Transceiver
                  with Direct-modulation Digital Transmitter, Quadrature Phased-coupled
                  Frequency Synthesizer and Substrate-Integrated Waveguide E-shaped
                  Patch Antenna},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {95--96},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431652},
  doi          = {10.1145/3394885.3431652},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0001SMJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0002GD21,
  author       = {Muhammad Hassan and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  title        = {System-Level Verification of Linear and Non-Linear Behaviors of {RF}
                  Amplifiers using Metamorphic Relations},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {761--766},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431592},
  doi          = {10.1145/3394885.3431592},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0002GD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0002HJLLLWWX21,
  author       = {Qi Zhu and
                  Chao Huang and
                  Ruochen Jiao and
                  Shuyue Lan and
                  Hengyi Liang and
                  Xiangguo Liu and
                  Yixuan Wang and
                  Zhilu Wang and
                  Shichao Xu},
  title        = {Safety-Assured Design and Adaptation of Learning-Enabled Autonomous
                  Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {753--760},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431623},
  doi          = {10.1145/3394885.3431623},
  timestamp    = {Tue, 15 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0002HJLLLWWX21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0003WH21,
  author       = {Xiaoming Chen and
                  Yuping Wu and
                  Yinhe Han},
  title        = {FePIM: Contention-Free In-Memory Computing Based on Ferroelectric
                  Field-Effect Transistors},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {114--119},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431530},
  doi          = {10.1145/3394885.3431530},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0003WH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0004LC21,
  author       = {Meng Li and
                  Yilei Li and
                  Vikas Chandra},
  title        = {Improving Efficiency in Neural Network Accelerator using Operands
                  Hamming Distance Optimization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {599--604},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3446242},
  doi          = {10.1145/3394885.3446242},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/0004LC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0015DT21,
  author       = {Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu},
  title        = {ObfusX: Routing Obfuscation with Explanatory Analysis of a Machine
                  Learning Attack},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {548--554},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431600},
  doi          = {10.1145/3394885.3431600},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/0015DT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AbdoFMTSO21,
  author       = {Ibrahim Abdo and
                  Takuya Fujimura and
                  Tsuyoshi Miura and
                  Korkut Kaan Tokgoz and
                  Atsushi Shirane and
                  Kenichi Okada},
  title        = {A 0.41W 34Gb/s 300GHz {CMOS} Wireless Transceiver},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {3--4},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431645},
  doi          = {10.1145/3394885.3431645},
  timestamp    = {Mon, 03 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AbdoFMTSO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AlvinPSO21,
  author       = {Joshua Alvin and
                  Jian Pang and
                  Atsushi Shirane and
                  Kenichi Okada},
  title        = {A High Accuracy Phase and Amplitude Detection Circuit for Calibration
                  of 28GHz Phased Array Beamformer System},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {93--94},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431648},
  doi          = {10.1145/3394885.3431648},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/AlvinPSO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AmrouchHILNTYZ21,
  author       = {Hussam Amrouch and
                  Xiaobo Sharon Hu and
                  Mohsen Imani and
                  Ann Franchesca Laguna and
                  Michael T. Niemier and
                  Simon Thomann and
                  Xunzhao Yin and
                  Cheng Zhuo},
  title        = {Cross-layer Design for Computing-in-Memory: From Devices, Circuits,
                  to Architectures and Applications},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {132--139},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431617},
  doi          = {10.1145/3394885.3431617},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AmrouchHILNTYZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AnikF0K21,
  author       = {Md Toufiq Hasan Anik and
                  Bijan Fadaeinia and
                  Amir Moradi and
                  Naghmeh Karimi},
  title        = {On the Impact of Aging on Power Analysis Attacks Targeting Power-Equalized
                  Cryptographic Circuits},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {414--420},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431597},
  doi          = {10.1145/3394885.3431597},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AnikF0K21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BadarouxP21,
  author       = {Marie Badaroux and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot},
  title        = {Arbitrary and Variable Precision Floating-Point Arithmetic Support
                  in Dynamic Binary Translation},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {325--330},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431416},
  doi          = {10.1145/3394885.3431416},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BadarouxP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BoroumandBC21,
  author       = {Sina Boroumand and
                  Christos{-}Savvas Bouganis and
                  George A. Constantinides},
  title        = {Learning Boolean Circuits from Examples for Approximate Logic Synthesis},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {524--529},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431559},
  doi          = {10.1145/3394885.3431559},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BoroumandBC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BurgholzerKW21,
  author       = {Lukas Burgholzer and
                  Richard Kueng and
                  Robert Wille},
  title        = {Random Stimuli Generation for the Verification of Quantum Circuits},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {767--772},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431590},
  doi          = {10.1145/3394885.3431590},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BurgholzerKW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CaoBWY21,
  author       = {Peng Cao and
                  Wei Bao and
                  Kai Wang and
                  Tai Yang},
  title        = {A Timing Prediction Framework for Wide Voltage Design with Data Augmentation
                  Strategy},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {291--296},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431582},
  doi          = {10.1145/3394885.3431582},
  timestamp    = {Wed, 01 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CaoBWY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChakaravarthyKJ21,
  author       = {Ravikumar V. Chakaravarthy and
                  Hyun Kwon and
                  Hua Jiang},
  title        = {Vision Control Unit in Fully Self Driving Vehicles using Xilinx MPSoC
                  and Opensource Stack},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {311--317},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431616},
  doi          = {10.1145/3394885.3431616},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChakaravarthyKJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangZLSWPXCCC21,
  author       = {Norman Chang and
                  Deqi Zhu and
                  Lang Lin and
                  Dinesh Selvakumaran and
                  Jimin Wen and
                  Stephen H. Pan and
                  Wenbo Xia and
                  Hua Chen and
                  Calvin Chow and
                  Gary Chen},
  title        = {ML-augmented Methodology for Fast Thermal Side-channel Emission Analysis},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {463--468},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431641},
  doi          = {10.1145/3394885.3431641},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangZLSWPXCCC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChatterjeeS21,
  author       = {Baibhab Chatterjee and
                  Shreyas Sen},
  title        = {Energy-Efficient Deep Neural Networks with Mixed-Signal Neurons and
                  Dense-Local and Sparse-Global Connectivity},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {297--304},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431614},
  doi          = {10.1145/3394885.3431614},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChatterjeeS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChatzigeorgiouG21,
  author       = {Chrysostomos Chatzigeorgiou and
                  Dimitrios Garyfallou and
                  George Floros and
                  Nestor E. Evmorfopoulos and
                  George I. Stamoulis},
  title        = {Exploiting Extended Krylov Subspace for the Reduction of Regular and
                  Singular Circuit Models},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {773--778},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431589},
  doi          = {10.1145/3394885.3431589},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChatzigeorgiouG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenC0LS21,
  author       = {Ping{-}Xiang Chen and
                  Shuo{-}Han Chen and
                  Yuan{-}Hao Chang and
                  Yu{-}Pei Liang and
                  Wei{-}Kuan Shih},
  title        = {Facilitating the Efficiency of Secure File Data and Metadata Deletion
                  on SMR-based Ext4 File System},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {728--733},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431517},
  doi          = {10.1145/3394885.3431517},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenC0LS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenS21,
  author       = {Jianqi Chen and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Area Efficient Functional Locking through Coarse Grained Runtime Reconfigurable
                  Architectures},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {542--547},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431601},
  doi          = {10.1145/3394885.3431601},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenSZLY021,
  author       = {Tinghuan Chen and
                  Qi Sun and
                  Canhui Zhan and
                  Changze Liu and
                  Huatao Yu and
                  Bei Yu},
  title        = {Analog {IC} Aging-induced Degradation Estimation via Heterogeneous
                  Graph Convolutional Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {898--903},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431546},
  doi          = {10.1145/3394885.3431546},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenSZLY021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenW0K21,
  author       = {Yi{-}Shen Chen and
                  Chun{-}Feng Wu and
                  Yuan{-}Hao Chang and
                  Tei{-}Wei Kuo},
  title        = {A Write-friendly Arithmetic Coding Scheme for Achieving Energy-Efficient
                  Non-Volatile Memory Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {633--638},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431511},
  doi          = {10.1145/3394885.3431511},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenW0K21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenWH21,
  author       = {Yen{-}Hao Chen and
                  Allen C.{-}H. Wu and
                  TingTing Hwang},
  title        = {A Dynamic Link-latency Aware Cache Replacement Policy {(DLRP)}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {210--215},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431420},
  doi          = {10.1145/3394885.3431420},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenWH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChengMNMCH21,
  author       = {TaiYu Cheng and
                  Yukata Masuda and
                  Jun Nagayama and
                  Yoichi Momiyama and
                  Jun Chen and
                  Masanori Hashimoto},
  title        = {Mode-wise Voltage-scalable Design with Activation-aware Slack Assignment
                  for Energy Minimization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {284--290},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431575},
  doi          = {10.1145/3394885.3431575},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChengMNMCH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChhabriaAPPJS21,
  author       = {Vidya A. Chhabria and
                  Vipul Ahuja and
                  Ashwath Prabhu and
                  Nikhil Patil and
                  Palkesh Jain and
                  Sachin S. Sapatnekar},
  title        = {Thermal and {IR} Drop Analysis Using Convolutional Encoder-Decoder
                  Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {690--696},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431583},
  doi          = {10.1145/3394885.3431583},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChhabriaAPPJS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongC21,
  author       = {Thanh Cong and
                  Fran{\c{c}}ois Charot},
  title        = {Design Space Exploration of Heterogeneous-Accelerator SoCs with Hyperparameter
                  Optimization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {338--343},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431415},
  doi          = {10.1145/3394885.3431415},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CraftonSR21,
  author       = {Brian Crafton and
                  Samuel Spetalnick and
                  Arijit Raychowdhury},
  title        = {Merged Logic and Memory Fabrics for {AI} Workloads},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {305--310},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431615},
  doi          = {10.1145/3394885.3431615},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CraftonSR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DharPLKMSMHHS21,
  author       = {Tonmoy Dhar and
                  Jitesh Poojary and
                  Yaguang Li and
                  Kishor Kunal and
                  Meghna Madhusudan and
                  Arvind K. Sharma and
                  Susmita Dey Manasi and
                  Jiang Hu and
                  Ramesh Harjani and
                  Sachin S. Sapatnekar},
  title        = {Fast and Efficient Constraint Evaluation of Analog Layout Using Machine
                  Learning Models},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {158--163},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431547},
  doi          = {10.1145/3394885.3431547},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DharPLKMSMHHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ErgunAMLR21,
  author       = {Kazim Ergun and
                  Raid Ayoub and
                  Pietro Mercati and
                  Dancheng Liu and
                  Tajana Rosing},
  title        = {Energy and QoS-Aware Dynamic Reliability Management of IoT Edge Computing
                  Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {561--567},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431612},
  doi          = {10.1145/3394885.3431612},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ErgunAMLR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Fernandez-MesaA21,
  author       = {Breytner Joseph Fern{\'{a}}ndez{-}Mesa and
                  Liliana Andrade and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot},
  title        = {Simulation of Ideally Switched Circuits in SystemC},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {23--28},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431417},
  doi          = {10.1145/3394885.3431417},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Fernandez-MesaA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FinkEHHW21,
  author       = {Gerold Fink and
                  Philipp Ebner and
                  Medina Hamidovic and
                  Werner Haselmayr and
                  Robert Wille},
  title        = {Accurate and Efficient Simulation of Microfluidic Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {85--90},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431608},
  doi          = {10.1145/3394885.3431608},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/FinkEHHW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FuCL21,
  author       = {Chia{-}Ching Fu and
                  Ben{-}Hau Chia and
                  Chung{-}Wei Lin},
  title        = {Runtime Software Selection for Adaptive Automotive Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {748--752},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431622},
  doi          = {10.1145/3394885.3431622},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FuCL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GaoC0SZ021,
  author       = {Zhengqi Gao and
                  Zihao Chen and
                  Jun Tao and
                  Yangfeng Su and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Bayesian Inference on Introduced General Region: An Efficient Parametric
                  Yield Estimation Method for Integrated Circuits},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {892--897},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431572},
  doi          = {10.1145/3394885.3431572},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GaoC0SZ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GaoDLZPL21,
  author       = {Xiaohan Gao and
                  Chenhui Deng and
                  Mingjie Liu and
                  Zhiru Zhang and
                  David Z. Pan and
                  Yibo Lin},
  title        = {Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {152--157},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431545},
  doi          = {10.1145/3394885.3431545},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GaoDLZPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Givargis21,
  author       = {Tony Givargis},
  title        = {Gravity: An Artificial Neural Network Compiler for Embedded Applications},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {715--721},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431514},
  doi          = {10.1145/3394885.3431514},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Givargis21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GoliD21,
  author       = {Mehran Goli and
                  Rolf Drechsler},
  title        = {ATLaS: Automatic Detection of Timing-based Information Leakage Flows
                  for SystemC {HLS} Designs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431591},
  doi          = {10.1145/3394885.3431591},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GoliD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuiradoKAAK21,
  author       = {Robert Guirado and
                  Hyoukjun Kwon and
                  Sergi Abadal and
                  Eduard Alarc{\'{o}}n and
                  Tushar Krishna},
  title        = {Dataflow-Architecture Co-Design for 2.5D {DNN} Accelerators using
                  Wireless Network-on-Package},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {806--812},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431537},
  doi          = {10.1145/3394885.3431537},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuiradoKAAK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuoIKSMAKR21,
  author       = {Yunhui Guo and
                  Mohsen Imani and
                  Jaeyoung Kang and
                  Sahand Salamat and
                  Justin Morris and
                  Baris Aksanli and
                  Yeseong Kim and
                  Tajana Rosing},
  title        = {HyperRec: Efficient Recommender Systems with Hyperdimensional Computing},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {384--389},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431553},
  doi          = {10.1145/3394885.3431553},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuoIKSMAKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HasanagicVMA021,
  author       = {Lamija Hasanagic and
                  Tin Vidovic and
                  Saad Mubeen and
                  Mohammad Ashjaei and
                  Matthias Becker},
  title        = {Optimizing Inter-Core Data-Propagation Delays in Industrial Embedded
                  Systems under Partitioned Scheduling},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {428--434},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431515},
  doi          = {10.1145/3394885.3431515},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HasanagicVMA021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HeLLML021,
  author       = {Zhuolun He and
                  Peiyu Liao and
                  Siting Liu and
                  Yuzhe Ma and
                  Yibo Lin and
                  Bei Yu},
  title        = {Physical Synthesis for Advanced Neural Network Processors},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {833--840},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431625},
  doi          = {10.1145/3394885.3431625},
  timestamp    = {Mon, 08 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HeLLML021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HeYLY21,
  author       = {Yifan He and
                  Jinshan Yue and
                  Yongpan Liu and
                  Huazhong Yang},
  title        = {Block-Circulant Neural Network Accelerator Featuring Fine-Grained
                  Frequency-Domain Quantization and Reconfigurable {FFT} Modules},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {813--818},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431532},
  doi          = {10.1145/3394885.3431532},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HeYLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HegdeSCDS21,
  author       = {Shashank Hegde and
                  Subhash Sethumurugan and
                  Hari Cherupalli and
                  Henry Duwe and
                  John Sartori},
  title        = {Constrained Conservative State Symbolic Co-analysis for Ultra-low-power
                  Embedded Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {318--324},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431157},
  doi          = {10.1145/3394885.3431157},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HegdeSCDS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HerdtTGD21,
  author       = {Vladimir Herdt and
                  S{\"{o}}ren Tempel and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  title        = {Mutation-based Compliance Testing for {RISC-V}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431584},
  doi          = {10.1145/3394885.3431584},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HerdtTGD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HillmichZW21,
  author       = {Stefan Hillmich and
                  Alwin Zulehner and
                  Robert Wille},
  title        = {Exploiting Quantum Teleportation in Quantum Circuit Mapping},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {792--797},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431604},
  doi          = {10.1145/3394885.3431604},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HillmichZW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HsiaoMHA21,
  author       = {Hsuan Hsiao and
                  Joshua San Miguel and
                  Yuko Hara{-}Azumi and
                  Jason Helge Anderson},
  title        = {Zero Correlation Error: {A} Metric for Finite-Length Bitstream Independence
                  in Stochastic Computing},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {260--265},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431552},
  doi          = {10.1145/3394885.3431552},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HsiaoMHA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangASACHKABSX21,
  author       = {Sitao Huang and
                  Aayush Ankit and
                  Pl{\'{\i}}nio Silveira and
                  Rodrigo Antunes and
                  Sai Rahul Chalamalasetti and
                  Izzat El Hajj and
                  Dong Eun Kim and
                  Glaucimar Aguiar and
                  Pedro Bruel and
                  Sergey Serebryakov and
                  Cong Xu and
                  Can Li and
                  Paolo Faraboschi and
                  John Paul Strachan and
                  Deming Chen and
                  Kaushik Roy and
                  Wen{-}Mei W. Hwu and
                  Dejan S. Milojicic},
  title        = {Mixed Precision Quantization for ReRAM-based {DNN} Inference Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {372--377},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431554},
  doi          = {10.1145/3394885.3431554},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangASACHKABSX21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangHYYL21,
  author       = {Yuxuan Huang and
                  Yifan He and
                  Jinshan Yue and
                  Huazhong Yang and
                  Yongpan Liu},
  title        = {A Non-Volatile Computing-In-Memory Framework With Margin Enhancement
                  Based {CSA} and Offset Reduction Based {ADC}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {126--131},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431521},
  doi          = {10.1145/3394885.3431521},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangHYYL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangYYZ021,
  author       = {Jiangli Huang and
                  Fan Yang and
                  Changhao Yan and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {A Robust Batch Bayesian Optimization for Analog Circuit Synthesis
                  via Local Penalization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {146--151},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431543},
  doi          = {10.1145/3394885.3431543},
  timestamp    = {Thu, 16 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangYYZ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IsshikiSIM21,
  author       = {Yasuaki Isshiki and
                  Dai Suzuki and
                  Ryo Ishida and
                  Kousuke Miyaji},
  title        = {A 65nm {CMOS} Process Li-ion Battery Charging Cascode {SIDO} Boost
                  Converter with 89{\%} Maximum Efficiency for {RF} Wireless Power Transfer
                  Receiver},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {91--92},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431647},
  doi          = {10.1145/3394885.3431647},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/IsshikiSIM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiangXS21,
  author       = {Weiwen Jiang and
                  Jinjun Xiong and
                  Yiyu Shi},
  title        = {When Machine Learning Meets Quantum Computers: {A} Case Study},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {593--598},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431629},
  doi          = {10.1145/3394885.3431629},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiangXS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiaoZXWZKDZC21,
  author       = {Bo Jiao and
                  Jinshan Zhang and
                  Yuanyuan Xie and
                  Shunli Wang and
                  Haozhe Zhu and
                  Xiaoyang Kang and
                  Zhiyan Dong and
                  Lihua Zhang and
                  Chixiao Chen},
  title        = {A 0.57-GOPS/DSP Object Detection {PIM} Accelerator on {FPGA}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {13--14},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431659},
  doi          = {10.1145/3394885.3431659},
  timestamp    = {Mon, 18 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiaoZXWZKDZC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JosephBJCLGKP21,
  author       = {Jan Moritz Joseph and
                  Lennart Bamberg and
                  Geonhwa Jeong and
                  Ruei{-}Ting Chien and
                  Rainer Leupers and
                  Alberto Garc{\'{\i}}a{-}Ortiz and
                  Tushar Krishna and
                  Thilo Pionteck},
  title        = {Bridging the Frequency Gap in Heterogeneous 3D SoCs through Technology-Specific
                  NoC Router Architectures},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {197--203},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431421},
  doi          = {10.1145/3394885.3431421},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JosephBJCLGKP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KayashimaA21,
  author       = {Hideto Kayashima and
                  Hideharu Amano},
  title        = {{TCI} Tester: Tester for Through Chip Interface},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {103--104},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431660},
  doi          = {10.1145/3394885.3431660},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KayashimaA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KempYK21,
  author       = {Taylor Kemp and
                  Yao Yao and
                  Younghyun Kim},
  title        = {{MIPAC:} Dynamic Input-Aware Accuracy Control for Dynamic Auto-Tuning
                  of Iterative Approximate Computing},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {248--253},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431551},
  doi          = {10.1145/3394885.3431551},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KempYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KhoshaviSBR21,
  author       = {Navid Khoshavi and
                  Saman Sargolzaei and
                  Yu Bi and
                  Arman Roohi},
  title        = {Entropy-Based Modeling for Estimating Adversarial Bit-flip Attack
                  Impact on Binarized Neural Network},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {493--498},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431594},
  doi          = {10.1145/3394885.3431594},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KhoshaviSBR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimJK21,
  author       = {Suwan Kim and
                  Kyeongrok Jo and
                  Taewhan Kim},
  title        = {Boosting Pin Accessibility Through Cell Layout Topology Diversification},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {183--188},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431567},
  doi          = {10.1145/3394885.3431567},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimJK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KunduNBP21,
  author       = {Souvik Kundu and
                  Mahdi Nazemi and
                  Peter A. Beerel and
                  Massoud Pedram},
  title        = {{DNR:} {A} Tunable Robust Pruning Framework Through Dynamic Network
                  Rewiring of DNNs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {344--350},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431542},
  doi          = {10.1145/3394885.3431542},
  timestamp    = {Wed, 23 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KunduNBP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LaiHLH21,
  author       = {Kuan{-}Ming Lai and
                  Tsung{-}Wei Huang and
                  Pei{-}Yu Lee and
                  Tsung{-}Yi Ho},
  title        = {{ATM:} {A} High Accuracy Extracted Timing Model for Hierarchical Timing
                  Analysis},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {278--283},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431578},
  doi          = {10.1145/3394885.3431578},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LaiHLH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeiC21,
  author       = {Jun{-}Yang Lei and
                  Abhijit Chatterjee},
  title        = {Automatic Surrogate Model Generation and Debugging of Analog/Mixed-Signal
                  Designs Via Collaborative Stimulus Generation and Machine Learning},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {140--145},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431544},
  doi          = {10.1145/3394885.3431544},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeiC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeonhardELS21,
  author       = {Julian Leonhard and
                  Mohamed Elshamy and
                  Marie{-}Minerve Lou{\"{e}}rat and
                  Haralampos{-}G. D. Stratigopoulos},
  title        = {Breaking Analog Biasing Locking Techniques via Re-Synthesis},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {555--560},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431603},
  doi          = {10.1145/3394885.3431603},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeonhardELS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiDLZCY21,
  author       = {Benzheng Li and
                  Qi Du and
                  Dingcheng Liu and
                  Jingchong Zhang and
                  Gengjie Chen and
                  Hailong You},
  title        = {Placement for Wafer-Scale Deep Learning Accelerator},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {665--670},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431563},
  doi          = {10.1145/3394885.3431563},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiDLZCY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiDYLQWY21,
  author       = {Qin Li and
                  Peiyan Dong and
                  Zijie Yu and
                  Changlu Liu and
                  Fei Qiao and
                  Yanzhi Wang and
                  Huazhong Yang},
  title        = {Puncturing the memory wall: Joint optimization of network compression
                  with approximate memory for {ASR} application},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {505--511},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431512},
  doi          = {10.1145/3394885.3431512},
  timestamp    = {Wed, 10 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiDYLQWY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiFZYWWZ21,
  author       = {Chuxi Li and
                  Xiaoya Fan and
                  Shengbing Zhang and
                  Zhao Yang and
                  Miao Wang and
                  Danghui Wang and
                  Meng Zhang},
  title        = {Hardware-Aware {NAS} Framework with Layer Adaptive Scheduling on Embedded
                  System},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {798--805},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431536},
  doi          = {10.1145/3394885.3431536},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiFZYWWZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiGYLW21,
  author       = {Cheng Li and
                  Jiangyuan Gu and
                  Shouyi Yin and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {Combining Memory Partitioning and Subtask Generation for Parallel
                  Data Access on CGRAs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {204--209},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431414},
  doi          = {10.1145/3394885.3431414},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiGYLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiQCM021,
  author       = {Wei Li and
                  Yuxiao Qu and
                  Gengjie Chen and
                  Yuzhe Ma and
                  Bei Yu},
  title        = {TreeNet: Deep Point Cloud Embedding for Routing Tree Construction},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {164--169},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431566},
  doi          = {10.1145/3394885.3431566},
  timestamp    = {Mon, 15 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiQCM021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiYNCSLRLW21,
  author       = {Hongjia Li and
                  Geng Yuan and
                  Wei Niu and
                  Yuxuan Cai and
                  Mengshu Sun and
                  Zhengang Li and
                  Bin Ren and
                  Xue Lin and
                  Yanzhi Wang},
  title        = {Real-Time Mobile Acceleration of DNNs: From Computer Vision to Medical
                  Applications},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {581--586},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431627},
  doi          = {10.1145/3394885.3431627},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiYNCSLRLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiangTNZY0GYLY21,
  author       = {Shuang Liang and
                  Changcheng Tang and
                  Xuefei Ning and
                  Shulin Zeng and
                  Jincheng Yu and
                  Yu Wang and
                  Kaiyuan Guo and
                  Diange Yang and
                  Tianyi Lu and
                  Huazhong Yang},
  title        = {Efficient Computing Platform Design for Autonomous Driving Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {734--741},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431620},
  doi          = {10.1145/3394885.3431620},
  timestamp    = {Wed, 21 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiangTNZY0GYLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LignatiJKRB21,
  author       = {Bernardo Neuhaus Lignati and
                  Michael Guilherme Jordan and
                  Guilherme Korol and
                  Mateus Beck Rutzig and
                  Antonio Carlos Schneider Beck},
  title        = {Exploiting HLS-Generated Multi-Version Kernels to Improve {CPU-FPGA}
                  Cloud Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {536--541},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431557},
  doi          = {10.1145/3394885.3431557},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LignatiJKRB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Lin21,
  author       = {Yibo Lin},
  title        = {Deep Learning for Mask Synthesis and Verification: {A} Survey},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {825--832},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431624},
  doi          = {10.1145/3394885.3431624},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Lin21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinMWHC21,
  author       = {Ting{-}Chou Lin and
                  Devon J. Merrill and
                  Yen{-}Yi Wu and
                  Chester Holtz and
                  Chung{-}Kuan Cheng},
  title        = {A Unified Printed Circuit Board Routing Algorithm With Complicated
                  Constraints and Differential Pairs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {170--175},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431568},
  doi          = {10.1145/3394885.3431568},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinMWHC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinYWCCW21,
  author       = {Chia{-}Chun Lin and
                  Hsin{-}Ping Yen and
                  Sheng{-}Hsiu Wei and
                  Pei{-}Pei Chen and
                  Yung{-}Chih Chen and
                  Chun{-}Yao Wang},
  title        = {A General Equivalence Checking Framework for Multivalued Logic},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431588},
  doi          = {10.1145/3394885.3431588},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinYWCCW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuFZS21,
  author       = {Ting Liu and
                  Yuzhuo Fu and
                  Yan Zhang and
                  Bin Shi},
  title        = {A Hierarchical Assessment Strategy on Soft Error Propagation in Deep
                  Learning Controller},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {878--884},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431573},
  doi          = {10.1145/3394885.3431573},
  timestamp    = {Thu, 20 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuFZS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LoLPSH21,
  author       = {Yun{-}Chen Lo and
                  Bing Li and
                  Sooyong Park and
                  Kwanwoo Shin and
                  Tsung{-}Yi Ho},
  title        = {Interference-Free Design Methodology for Paper-Based Digital Microfluidic
                  Biochips},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431609},
  doi          = {10.1145/3394885.3431609},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LoLPSH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LuAQ21,
  author       = {Zhaojun Lu and
                  Md Tanvir Arafin and
                  Gang Qu},
  title        = {{RIME:} {A} Scalable and Energy-Efficient Processing-In-Memory Architecture
                  for Floating-Point Operations},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {120--125},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431524},
  doi          = {10.1145/3394885.3431524},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LuAQ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LvLWLZ21,
  author       = {Hao Lv and
                  Bing Li and
                  Ying Wang and
                  Cheng Liu and
                  Lei Zhang},
  title        = {{VADER:} Leveraging the Natural Variation of Hardware to Enhance Adversarial
                  Attack},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {487--492},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431598},
  doi          = {10.1145/3394885.3431598},
  timestamp    = {Thu, 13 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LvLWLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MaZ21,
  author       = {Yu Ma and
                  Pingqiang Zhou},
  title        = {Efficient Techniques for Training the Memristor-based Spiking Neural
                  Networks Targeting Better Speed, Energy and Lifetime},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {390--395},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431555},
  doi          = {10.1145/3394885.3431555},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MaZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MallappaC21,
  author       = {Uday Mallappa and
                  Chung{-}Kuan Cheng},
  title        = {{GRA-LPO:} Graph Convolution Based Leakage Power Optimization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {697--702},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431574},
  doi          = {10.1145/3394885.3431574},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MallappaC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ManasiS21,
  author       = {Susmita Dey Manasi and
                  Sachin S. Sapatnekar},
  title        = {DeepOpt: Optimized Scheduling of {CNN} Workloads for ASIC-based Systolic
                  Deep Learning Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {235--241},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431539},
  doi          = {10.1145/3394885.3431539},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ManasiS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MatsuoHY21,
  author       = {Atsushi Matsuo and
                  Wakaki Hattori and
                  Shigeru Yamashita},
  title        = {Dynamical Decomposition and Mapping of {MPMCT} Gates to Nearest Neighbor
                  Architectures},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {786--791},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431605},
  doi          = {10.1145/3394885.3431605},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MatsuoHY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MengHY21,
  author       = {Fanruo Meng and
                  Fateme S. Hosseini and
                  Chengmo Yang},
  title        = {A Self-Test Framework for Detecting Fault-induced Accuracy Drop in
                  Neural Network Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {722--727},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431519},
  doi          = {10.1145/3394885.3431519},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MengHY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Montgomerie-Corcoran21,
  author       = {Alexander Montgomerie{-}Corcoran and
                  Christos{-}Savvas Bouganis},
  title        = {{DEF:} Differential Encoding of Featuremaps for Low Power Convolutional
                  Neural Network Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {703--708},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431576},
  doi          = {10.1145/3394885.3431576},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Montgomerie-Corcoran21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NabavinejadRE21,
  author       = {Seyed Morteza Nabavinejad and
                  Sherief Reda and
                  Masoumeh Ebrahimi},
  title        = {BatchSizer: Power-Performance Trade-off for {DNN} Inference},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {819--824},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431535},
  doi          = {10.1145/3394885.3431535},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NabavinejadRE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NetoMAYG21,
  author       = {Walter Lau Neto and
                  Matheus Trevisan Moreira and
                  Luca G. Amar{\`{u}} and
                  Cunxi Yu and
                  Pierre{-}Emmanuel Gaillardon},
  title        = {Read your Circuit: Leveraging Word Embedding to Guide Logic Optimization},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {530--535},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431560},
  doi          = {10.1145/3394885.3431560},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NetoMAYG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OdemaRF21,
  author       = {Mohanad Odema and
                  Nafiul Rashid and
                  Mohammad Abdullah Al Faruque},
  title        = {Energy-Aware Design Methodology for Myocardial Infarction Detection
                  on Low-Power Wearable Devices},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {621--626},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431513},
  doi          = {10.1145/3394885.3431513},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OdemaRF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OmoriSHK21,
  author       = {Tatsuo Omori and
                  Kota Shiba and
                  Mototsugu Hamada and
                  Tadahiro Kuroda},
  title        = {Sub-10-{\(\mu\)}m Coil Design for Multi-Hop Inductive Coupling Interface},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {99--100},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431649},
  doi          = {10.1145/3394885.3431649},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OmoriSHK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OnishiMTII21,
  author       = {Ryo Onishi and
                  Koki Miyamoto and
                  Korkut Kaan Tokgoz and
                  Noboru Ishihara and
                  Hiroyuki Ito},
  title        = {Capacitive Sensor Circuit with Relative Slope-Boost Method Based on
                  a Relaxation Oscillator},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {5--6},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431655},
  doi          = {10.1145/3394885.3431655},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OnishiMTII21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Pan021,
  author       = {Zhixin Pan and
                  Prabhat Mishra},
  title        = {Automated Test Generation for Hardware Trojan Detection using Reinforcement
                  Learning},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {408--413},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431595},
  doi          = {10.1145/3394885.3431595},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Pan021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PanC21,
  author       = {Junhao Pan and
                  Deming Chen},
  title        = {Accelerate Non-unit Stride Convolutions with Winograd Algorithms},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {358--364},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431534},
  doi          = {10.1145/3394885.3431534},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PanC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParkNG21,
  author       = {Soowang Park and
                  Jae{-}Won Nam and
                  Sandeep K. Gupta},
  title        = {{HW-BCP:} {A} Custom Hardware Accelerator for {SAT} Suitable for Single
                  Chip Implementation for Large Benchmarks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {29--34},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431413},
  doi          = {10.1145/3394885.3431413},
  timestamp    = {Thu, 21 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParkNG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParraG021,
  author       = {Cecilia De la Parra and
                  Andre Guntoro and
                  Akash Kumar},
  title        = {Efficient Accuracy Recovery in Approximate Neural Networks by Systematic
                  Error Modelling},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {365--371},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431533},
  doi          = {10.1145/3394885.3431533},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParraG021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParraviciniSS21,
  author       = {Alberto Parravicini and
                  Francesco Sgherzi and
                  Marco D. Santambrogio},
  title        = {A reduced-precision streaming SpMV architecture for Personalized PageRank
                  on {FPGA}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {378--383},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431548},
  doi          = {10.1145/3394885.3431548},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParraviciniSS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RaghebA21,
  author       = {Omar Ragheb and
                  Jason Helge Anderson},
  title        = {High-Level Synthesis of Transactional Memory},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {481--486},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431556},
  doi          = {10.1145/3394885.3431556},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RaghebA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ReisLNH21,
  author       = {Dayane Reis and
                  Ann Franchesca Laguna and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  title        = {Attention-in-Memory for Few-Shot Learning with Configurable Ferroelectric
                  {FET} Arrays},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431526},
  doi          = {10.1145/3394885.3431526},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ReisLNH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RenF21,
  author       = {Haoxing Ren and
                  Matthew Fojtik},
  title        = {Standard Cell Routing with Reinforcement Learning and Genetic Algorithm
                  in Advanced Technology Nodes},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {684--689},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431569},
  doi          = {10.1145/3394885.3431569},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RenF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SalamatSKR21,
  author       = {Sahand Salamat and
                  Sumiran Shubhi and
                  Behnam Khaleghi and
                  Tajana Rosing},
  title        = {Residue-Net: Multiplication-free Neural Network by In-situ No-loss
                  Migration to Residue Number Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {222--228},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431541},
  doi          = {10.1145/3394885.3431541},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SalamatSKR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SantosFC21,
  author       = {Paulo C. Santos and
                  Bruno E. Forlin and
                  Luigi Carro},
  title        = {Providing Plug N' Play for Processing-in-Memory Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {651--656},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431527},
  doi          = {10.1145/3394885.3431527},
  timestamp    = {Wed, 31 Mar 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SantosFC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SarangiB21,
  author       = {Satyabrata Sarangi and
                  Bevan M. Baas},
  title        = {Canonical Huffman Decoder on Fine-grain Many-core Processor Arrays},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {512--517},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431424},
  doi          = {10.1145/3394885.3431424},
  timestamp    = {Mon, 14 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SarangiB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShamsaKRL21,
  author       = {Elham Shamsa and
                  Anil Kanduri and
                  Amir M. Rahmani and
                  Pasi Liljeberg},
  title        = {Energy-Performance Co-Management of Mixed-Sensitivity Workloads on
                  Heterogeneous Multi-core Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {421--427},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431516},
  doi          = {10.1145/3394885.3431516},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShamsaKRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShangWWS21,
  author       = {Siqi Shang and
                  Qihong Wu and
                  Tianyu Wang and
                  Zili Shao},
  title        = {LiteIndex: Memory-Efficient Schema-Agnostic Indexing for {JSON} documents
                  in SQLite},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {435--440},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431518},
  doi          = {10.1145/3394885.3431518},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShangWWS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShenC021,
  author       = {Chaoqun Shen and
                  Congcong Chen and
                  Jiliang Zhang},
  title        = {Micro-architectural Cache Side-Channel Attacks and Countermeasures},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {441--448},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431638},
  doi          = {10.1145/3394885.3431638},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShenC021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShenG0ZZ21,
  author       = {Tianhao Shen and
                  Di Gao and
                  Li Zhang and
                  Jishen Zhao and
                  Cheng Zhuo},
  title        = {A Physical-Aware Framework for Memory Network Design Space Exploration},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {865--871},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431636},
  doi          = {10.1145/3394885.3431636},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShenG0ZZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShibaOHK21,
  author       = {Kota Shiba and
                  Tatsuo Omori and
                  Mototsugu Hamada and
                  Tadahiro Kuroda},
  title        = {A 3D-Stacked {SRAM} Using Inductive Coupling Technology for {AI} Inference
                  Accelerator in 40-nm {CMOS}},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {97--98},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431642},
  doi          = {10.1145/3394885.3431642},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShibaOHK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShihH21,
  author       = {Nai{-}Ren Shih and
                  Tsung{-}Yi Ho},
  title        = {A Multi-Commodity Network Flow Based Routing Algorithm for Paper-Based
                  Digital Microfluidic Biochips},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431611},
  doi          = {10.1145/3394885.3431611},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShihH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShuklaNPSC21,
  author       = {Prachi Shukla and
                  Sean S. Nemtzow and
                  Vasilis F. Pavlidis and
                  Emre Salman and
                  Ayse K. Coskun},
  title        = {Temperature-Aware Optimization of Monolithic 3D Deep Neural Network
                  Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {709--714},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431577},
  doi          = {10.1145/3394885.3431577},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShuklaNPSC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SinglaGS21,
  author       = {Priyanka Singla and
                  Chandran Goodchild and
                  Smruti R. Sarangi},
  title        = {EHDSktch: {A} Generic Low Power Architecture for Sketching in Energy
                  Harvesting Devices},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {615--620},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431447},
  doi          = {10.1145/3394885.3431447},
  timestamp    = {Tue, 07 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SinglaGS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Song0MK21,
  author       = {Shihao Song and
                  Anup Das and
                  Onur Mutlu and
                  Nagarajan Kandasamy},
  title        = {Aging-Aware Request Scheduling for Non-Volatile Main Memory},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {657--664},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431529},
  doi          = {10.1145/3394885.3431529},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Song0MK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SudarshanSPWE0W21,
  author       = {Chirag Sudarshan and
                  Taha Soliman and
                  Cecilia De la Parra and
                  Christian Weis and
                  Leonardo Ecco and
                  Matthias Jung and
                  Norbert Wehn and
                  Andre Guntoro},
  title        = {A Novel DRAM-Based Process-in-Memory Architecture and its Implementation
                  for CNNs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {35--42},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431522},
  doi          = {10.1145/3394885.3431522},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SudarshanSPWE0W21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SunZCZQWY21,
  author       = {Hanbo Sun and
                  Zhenhua Zhu and
                  Yi Cai and
                  Shulin Zeng and
                  Kaizhong Qiu and
                  Yu Wang and
                  Huazhong Yang},
  title        = {Reliability-Aware Training and Performance Modeling for Processing-In-Memory
                  Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {847--852},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431633},
  doi          = {10.1145/3394885.3431633},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SunZCZQWY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TamLCW21,
  author       = {Kit Seng Tam and
                  Chia{-}Chun Lin and
                  Yung{-}Chih Chen and
                  Chun{-}Yao Wang},
  title        = {An Efficient Approximate Node Merging with an Error Rate Guarantee},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {266--271},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431550},
  doi          = {10.1145/3394885.3431550},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TamLCW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanSI21,
  author       = {Yi Tan and
                  Yohsuke Shiiki and
                  Hiroki Ishikuro},
  title        = {Gate Voltage Optimization in Capacitive {DC-DC} Converters for Thermoelectric
                  Energy Harvesting},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {11--12},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431654},
  doi          = {10.1145/3394885.3431654},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanSI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanimuraTIK21,
  author       = {Shinya Tanimura and
                  Akira Tsuchiya and
                  Toshiyuki Inoue and
                  Keiji Kishine},
  title        = {Supply Noise Reduction Filter for Parallel Integrated Transimpedance
                  Amplifiers},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {15--16},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431646},
  doi          = {10.1145/3394885.3431646},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanimuraTIK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TestaLRM21,
  author       = {Eleonora Testa and
                  Siang{-}Yun Lee and
                  Heinz Riener and
                  Giovanni De Micheli},
  title        = {Algebraic and Boolean Optimization Methods for {AQFP} Superconducting
                  Circuits},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {779--785},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431606},
  doi          = {10.1145/3394885.3431606},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TestaLRM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TokgozMI21,
  author       = {Korkut Kaan Tokgoz and
                  Ludovico Minati and
                  Hiroyuki Ito},
  title        = {Current-Starved Chaotic Oscillator Over Multiple Frequency Decades
                  on Low-Cost {CMOS:} Towards Distributed and Scalable Environmental
                  Sensing with a Myriad of Nodes},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {101--102},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431651},
  doi          = {10.1145/3394885.3431651},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/TokgozMI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TothI21,
  author       = {Peter Toth and
                  Hiroki Ishikuro},
  title        = {An up to 35 dBc/Hz Phase Noise Improving Design Methodology for Differential-Ring-Oscillators
                  Applied in Ultra-Low Power Systems},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {9--10},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431644},
  doi          = {10.1145/3394885.3431644},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TothI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TothI21a,
  author       = {Peter Toth and
                  Hiroki Ishikuro},
  title        = {An 18 Bit Time-to-Digital Converter Design with Large Dynamic Range
                  and Automated Multi-Cycle Concept},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {105--106},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431643},
  doi          = {10.1145/3394885.3431643},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TothI21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/VakilNMSK21,
  author       = {Ashkan Vakil and
                  Farzad Niknia and
                  Ali Mirzaeian and
                  Avesta Sasan and
                  Naghmeh Karimi},
  title        = {Learning Assisted Side Channel Delay Test for Detection of Recycled
                  ICs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {455--462},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431640},
  doi          = {10.1145/3394885.3431640},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/VakilNMSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/VigLJ21,
  author       = {Saru Vig and
                  Siew{-}Kei Lam and
                  Rohan Juneja},
  title        = {Cache-Aware Dynamic Skewed Tree for Fast Memory Authentication},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {402--407},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431593},
  doi          = {10.1145/3394885.3431593},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/VigLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/VuNPSG21,
  author       = {Hai{-}Dang Vu and
                  S{\'{e}}bastien Le Nours and
                  S{\'{e}}bastien Pillement and
                  Ralf Stemmer and
                  Kim Gr{\"{u}}ttner},
  title        = {A Fast Yet Accurate Message-level Communication Bus Model for Timing
                  Prediction of SDFGs on MPSoC},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {17--22},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431418},
  doi          = {10.1145/3394885.3431418},
  timestamp    = {Mon, 12 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/VuNPSG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WalterHWTD21,
  author       = {Marcel Walter and
                  Winston Haaswijk and
                  Robert Wille and
                  Frank Sill Torres and
                  Rolf Drechsler},
  title        = {One-pass Synthesis for Field-coupled Nanocomputing Technologies},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {574--580},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431607},
  doi          = {10.1145/3394885.3431607},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WalterHWTD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangCZZW21,
  author       = {Tian Wang and
                  Kun Cao and
                  Junlong Zhou and
                  Gongxuan Zhang and
                  Xiji Wang},
  title        = {Power-Efficient Layer Mapping for CNNs on Integrated {CPU} and {GPU}
                  Platforms: {A} Case Study},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {627--632},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431423},
  doi          = {10.1145/3394885.3431423},
  timestamp    = {Thu, 17 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangCZZW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangJL21,
  author       = {Yuhang Wang and
                  Song Jin and
                  Tao Li},
  title        = {A Low Cost Weight Obfuscation Scheme for Security Enhancement of ReRAM
                  Based Neural Network Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {499--504},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431599},
  doi          = {10.1145/3394885.3431599},
  timestamp    = {Wed, 15 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangJL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangWJSH21,
  author       = {Zhepeng Wang and
                  Yawen Wu and
                  Zhenge Jia and
                  Yiyu Shi and
                  Jingtong Hu},
  title        = {Lightweight Run-Time Working Memory Compression for Deployment of
                  Deep Neural Networks on Resource-Constrained MCUs},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {607--614},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3439194},
  doi          = {10.1145/3394885.3439194},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangWJSH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuDL21,
  author       = {Meng{-}Che Wu and
                  Ai Quoc Dao and
                  Mark Po{-}Hung Lin},
  title        = {A Novel Technology Mapper for Complex Universal Gates},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {475--480},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431561},
  doi          = {10.1145/3394885.3431561},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuDL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuWL21,
  author       = {Jun{-}Shen Wu and
                  Chi{-}En Wang and
                  Ren{-}Shuo Liu},
  title        = {Value-Aware Error Detection and Correction for {SRAM} Buffers in Low-Bitwidth,
                  Floating-Point {CNN} Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431540},
  doi          = {10.1145/3394885.3431540},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuYM21,
  author       = {Di Wu and
                  Ruokai Yin and
                  Joshua San Miguel},
  title        = {Normalized Stability: {A} Cross-Level Design Metric for Early Termination
                  in Stochastic Computing},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {254--259},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431549},
  doi          = {10.1145/3394885.3431549},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuYM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XieCM21,
  author       = {Yu{-}Jin Xie and
                  Kuan{-}Yu Chen and
                  Wai{-}Kei Mak},
  title        = {Manufacturing-Aware Power Staple Insertion Optimization by Enhanced
                  Multi-Row Detailed Placement Refinement},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {872--877},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431570},
  doi          = {10.1145/3394885.3431570},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/XieCM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XieLXHDC21,
  author       = {Zhiyao Xie and
                  Rongjian Liang and
                  Xiaoqing Xu and
                  Jiang Hu and
                  Yixiao Duan and
                  Yiran Chen},
  title        = {Net2: {A} Graph Attention Network Method Customized for Pre-Placement
                  Net Length Estimation},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {671--677},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431562},
  doi          = {10.1145/3394885.3431562},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/XieLXHDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XuAQ21,
  author       = {Qian Xu and
                  Md Tanvir Arafin and
                  Gang Qu},
  title        = {Security of Neural Networks from Hardware Perspective: {A} Survey
                  and Beyond},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {449--454},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431639},
  doi          = {10.1145/3394885.3431639},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/XuAQ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YanJHS21,
  author       = {Zheyu Yan and
                  Da{-}Cheng Juan and
                  Xiaobo Sharon Hu and
                  Yiyu Shi},
  title        = {Uncertainty Modeling of Emerging Device based Computing-in-Memory
                  Neural Accelerators with Application to Neural Architecture Search},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {859--864},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431635},
  doi          = {10.1145/3394885.3431635},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YanJHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YanSX0S21,
  author       = {Hao Yan and
                  Xiao Shi and
                  Chengzhen Xuan and
                  Peng Cao and
                  Longxing Shi},
  title        = {An Adaptive Delay Model for Timing Yield Estimation under Wide-Voltage
                  Range},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {272--277},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431581},
  doi          = {10.1145/3394885.3431581},
  timestamp    = {Thu, 11 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YanSX0S21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangF21,
  author       = {Li Yang and
                  Deliang Fan},
  title        = {Dynamic Neural Network to Enable Run-Time Trade-off between Accuracy
                  and Latency},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {587--592},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431628},
  doi          = {10.1145/3394885.3431628},
  timestamp    = {Thu, 14 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangYMY21,
  author       = {Dingcheng Yang and
                  Wenjian Yu and
                  Haoyuan Mu and
                  Gary Yao},
  title        = {Dynamic Programming Assisted Quantization Approaches for Compressing
                  Normal and Robust {DNN} Models},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {351--357},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431538},
  doi          = {10.1145/3394885.3431538},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangYMY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangZ0LTKG0Y21,
  author       = {Haoyu Yang and
                  Shifan Zhang and
                  Kang Liu and
                  Siting Liu and
                  Benjamin Tan and
                  Ramesh Karri and
                  Siddharth Garg and
                  Bei Yu and
                  Evangeline F. Y. Young},
  title        = {Attacking a CNN-based Layout Hotspot Detector Using Group Gradient
                  Method},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {885--891},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431571},
  doi          = {10.1145/3394885.3431571},
  timestamp    = {Mon, 08 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangZ0LTKG0Y21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Yu0L21,
  author       = {Bo Yu and
                  Jie Tang and
                  Shaoshan Liu},
  title        = {On Designing Computing Systems for Autonomous Vehicles: a PerceptIn
                  Case Study},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {742--747},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431621},
  doi          = {10.1145/3394885.3431621},
  timestamp    = {Tue, 26 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Yu0L21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuFCHHTS21,
  author       = {Tao{-}Chun Yu and
                  Shao{-}Yun Fang and
                  Hsien{-}Shih Chiu and
                  Kai{-}Shun Hu and
                  Chin{-}Hsiung Hsu and
                  Philip Hui{-}Yuh Tai and
                  Cindy Chin{-}Fang Shen},
  title        = {Machine Learning-based Structural Pre-route Insertability Prediction
                  and Improvement with Guided Backpropagation},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {678--683},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431564},
  doi          = {10.1145/3394885.3431564},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuFCHHTS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuGF21,
  author       = {Mingfei Yu and
                  Ruitao Gao and
                  Masahiro Fujita},
  title        = {A Decomposition-Based Synthesis Algorithm for Sparse Matrix-Vector
                  Multiplication in Parallel Communication Structure},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {518--523},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431422},
  doi          = {10.1145/3394885.3431422},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuGF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuSY21,
  author       = {Wenjian Yu and
                  Mingye Song and
                  Ming Yang},
  title        = {Advancements and Challenges on Parasitic Extraction for Advanced Process
                  Technologies},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {841--846},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431626},
  doi          = {10.1145/3394885.3431626},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuSY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZervakisSAGPH21,
  author       = {Georgios Zervakis and
                  Hassaan Saadat and
                  Hussam Amrouch and
                  Andreas Gerstlauer and
                  Sri Parameswaran and
                  J{\"{o}}rg Henkel},
  title        = {Approximate Computing for {ML:} State-of-the-art, Challenges and Visions},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {189--196},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431632},
  doi          = {10.1145/3394885.3431632},
  timestamp    = {Wed, 06 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZervakisSAGPH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangGYLW21,
  author       = {Song Zhang and
                  Jiangyuan Gu and
                  Shouyi Yin and
                  Leibo Liu and
                  Shaojun Wei},
  title        = {A Multiple-Precision Multiply and Accumulation Design with Multiply-Add
                  Merged Strategy for {AI} Accelerating},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {229--234},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431531},
  doi          = {10.1145/3394885.3431531},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangGYLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangLGWSO21,
  author       = {Yuncheng Zhang and
                  Bangan Liu and
                  Xiaofan Gu and
                  Chun Wang and
                  Atsushi Shirane and
                  Kenichi Okada},
  title        = {A DSM-based Polar Transmitter with 23.8{\%} System Efficiency},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {1--2},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431653},
  doi          = {10.1145/3394885.3431653},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangLGWSO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangLS21,
  author       = {Shuhang Zhang and
                  Hai Helen Li and
                  Ulf Schlichtmann},
  title        = {Connection-based Processing-In-Memory Engine Design Based on Resistive
                  Crossbars},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {107--113},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431523},
  doi          = {10.1145/3394885.3431523},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangLZWSYZGHS21,
  author       = {Grace Li Zhang and
                  Bing Li and
                  Ying Zhu and
                  Tianchen Wang and
                  Yiyu Shi and
                  Xunzhao Yin and
                  Cheng Zhuo and
                  Huaxi Gu and
                  Tsung{-}Yi Ho and
                  Ulf Schlichtmann},
  title        = {Robustness of Neuromorphic Computing with RRAM-based Crossbars and
                  Optical Neural Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {853--858},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431634},
  doi          = {10.1145/3394885.3431634},
  timestamp    = {Tue, 19 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangLZWSYZGHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangPYSO21,
  author       = {Yi Zhang and
                  Jian Pang and
                  Kiyoshi Yanagizawa and
                  Atsushi Shirane and
                  Kenichi Okada},
  title        = {28GHz Phase Shifter with Temperature Compensation for 5G {NR} Phased-array
                  Transceiver},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {7--8},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431650},
  doi          = {10.1145/3394885.3431650},
  timestamp    = {Mon, 22 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangPYSO21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangZ21,
  author       = {Chenguang Zhang and
                  Pingqiang Zhou},
  title        = {A Quantized Training Framework for Robust and Accurate ReRAM-based
                  Neural Network Accelerators},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431528},
  doi          = {10.1145/3394885.3431528},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhengCCJ21,
  author       = {Li{-}Cheng Zheng and
                  Hao{-}Ju Chang and
                  Yung{-}Chih Chen and
                  Jing{-}Yang Jou},
  title        = {1st-Order to 2nd-Order Threshold Logic Gate Transformation with an
                  Enhanced ILP-based Identification Method},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {469--474},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431558},
  doi          = {10.1145/3394885.3431558},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhengCCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhengLTS21,
  author       = {Zhidan Zheng and
                  Mengchu Li and
                  Tsun{-}Ming Tseng and
                  Ulf Schlichtmann},
  title        = {Light: {A} Scalable and Efficient Wavelength-Routed Optical Networks-On-Chip
                  Topology},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {568--573},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431613},
  doi          = {10.1145/3394885.3431613},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhengLTS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhengZPY21,
  author       = {Dan Zheng and
                  Xiaopeng Zhang and
                  Chak{-}Wa Pui and
                  Evangeline F. Y. Young},
  title        = {Multi-FPGA Co-optimization: Hybrid Routing and Competitive-based Time
                  Division Multiplexing Assignment},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {176--182},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431565},
  doi          = {10.1145/3394885.3431565},
  timestamp    = {Wed, 03 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhengZPY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouDLXZSS21,
  author       = {Bo Zhou and
                  Chuanming Ding and
                  Yina Lv and
                  Chun Jason Xue and
                  Qingfeng Zhuge and
                  Edwin H.{-}M. Sha and
                  Liang Shi},
  title        = {{SAC:} {A} Stream Aware Write Cache Scheme for Multi-Streamed Solid
                  State Drives},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {645--650},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431520},
  doi          = {10.1145/3394885.3431520},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouDLXZSS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouIKGR21,
  author       = {Minxuan Zhou and
                  Mohsen Imani and
                  Yeseong Kim and
                  Saransh Gupta and
                  Tajana Rosing},
  title        = {DP-Sim: {A} Full-stack Simulation Infrastructure for Digital Processing
                  In-Memory Architectures},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {639--644},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431525},
  doi          = {10.1145/3394885.3431525},
  timestamp    = {Tue, 09 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouIKGR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouWT21,
  author       = {Shuxin Zhou and
                  Huandong Wang and
                  Dong Tong},
  title        = {Prediction of Register Instance Usage and Time-sharing Register for
                  Extended Register Reuse Scheme},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {216--221},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431412},
  doi          = {10.1145/3394885.3431412},
  timestamp    = {Tue, 16 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouWT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhuGJ021,
  author       = {Huifeng Zhu and
                  Xiaolong Guo and
                  Yier Jin and
                  Xuan Zhang},
  title        = {PCBench: Benchmarking of Board-Level Hardware Attacks and Trojans},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {396--401},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431596},
  doi          = {10.1145/3394885.3431596},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhuGJ021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/aspdac/2021,
  title        = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885},
  doi          = {10.1145/3394885},
  isbn         = {978-1-4503-7999-1},
  timestamp    = {Mon, 03 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics