Search dblp for Publications

export results for "toc:db/conf/aspdac/aspdac2018.bht:"

 download as .bib file

@inproceedings{DBLP:conf/aspdac/0002MB18,
  author       = {Zhiheng Wang and
                  Soheil Mohajer and
                  Kia Bazargan},
  editor       = {Youngsoo Shin},
  title        = {Low latency parallel implementation of traditionally-called stochastic
                  circuits using deterministic shuffling networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {337--342},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297346},
  doi          = {10.1109/ASPDAC.2018.8297346},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0002MB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0004MDBN18,
  author       = {Matthias Becker and
                  Saad Mubeen and
                  Dakshina Dasari and
                  Moris Behnam and
                  Thomas Nolte},
  editor       = {Youngsoo Shin},
  title        = {Scheduling multi-rate real-time applications on clustered many-core
                  architectures with memory constraints},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {560--567},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297382},
  doi          = {10.1109/ASPDAC.2018.8297382},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/0004MDBN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AngiziHPF18,
  author       = {Shaahin Angizi and
                  Zhezhi He and
                  Farhana Parveen and
                  Deliang Fan},
  editor       = {Youngsoo Shin},
  title        = {{IMCE:} Energy-efficient bit-wise in-memory convolution engine for
                  deep neural network},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {111--116},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297291},
  doi          = {10.1109/ASPDAC.2018.8297291},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AngiziHPF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BoroumandPBM18,
  author       = {Sina Boroumand and
                  Hadi Parandeh{-}Afshar and
                  Philip Brisk and
                  Siamak Mohammadi},
  editor       = {Youngsoo Shin},
  title        = {Exploration of approximate multipliers design space using carry propagation
                  free compressors},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {611--616},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297390},
  doi          = {10.1109/ASPDAC.2018.8297390},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BoroumandPBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Burns18,
  author       = {Jeff Burns},
  editor       = {Youngsoo Shin},
  title        = {Keynote {I:} "Designing heterogeneous systems in the {AI} era: Challenges
                  and opportunities"},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297270},
  doi          = {10.1109/ASPDAC.2018.8297270},
  timestamp    = {Sun, 25 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Burns18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CaiTXCZWY18,
  author       = {Yi Cai and
                  Tianqi Tang and
                  Lixue Xia and
                  Ming Cheng and
                  Zhenhua Zhu and
                  Yu Wang and
                  Huazhong Yang},
  editor       = {Youngsoo Shin},
  title        = {Training low bitwidth convolutional neural network on {RRAM}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {117--122},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297292},
  doi          = {10.1109/ASPDAC.2018.8297292},
  timestamp    = {Tue, 24 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CaiTXCZWY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CampbellLC18,
  author       = {Keith A. Campbell and
                  Chen{-}Hsuan Lin and
                  Deming Chen},
  editor       = {Youngsoo Shin},
  title        = {Low-cost hardware architectures for mersenne modulo functional units},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {599--604},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297388},
  doi          = {10.1109/ASPDAC.2018.8297388},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CampbellLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Chandrasekharan18,
  author       = {Arun Chandrasekharan and
                  Stephan Eggersgl{\"{u}}{\ss} and
                  Daniel Gro{\ss}e and
                  Rolf Drechsler},
  editor       = {Youngsoo Shin},
  title        = {Approximation-aware testing for approximate circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {239--244},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297312},
  doi          = {10.1109/ASPDAC.2018.8297312},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Chandrasekharan18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangBZSRJLLKL18,
  author       = {Norman Chang and
                  Ajay Baranwal and
                  Hao Zhuang and
                  Ming{-}Chih Shih and
                  Rahul Rajan and
                  Yaowei Jia and
                  Hui{-}Lun Liao and
                  Ying{-}Shiun Li and
                  Ting Ku and
                  Rex Lin},
  editor       = {Youngsoo Shin},
  title        = {Machine learning based generic violation waiver system with application
                  on electromigration sign-off},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {416--421},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297359},
  doi          = {10.1109/ASPDAC.2018.8297359},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangBZSRJLLKL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangCCY18,
  author       = {Chieh{-}Fu Chang and
                  Che{-}Wei Chang and
                  Yuan{-}Hao Chang and
                  Ming{-}Chang Yang},
  editor       = {Youngsoo Shin},
  title        = {Rethinking self-balancing binary search tree over phase change memory
                  with write asymmetry},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {548--553},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297380},
  doi          = {10.1109/ASPDAC.2018.8297380},
  timestamp    = {Tue, 05 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangCCY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangK18,
  author       = {Jung{-}Woo Chang and
                  Suk{-}Ju Kang},
  editor       = {Youngsoo Shin},
  title        = {Optimizing FPGA-based convolutional neural networks accelerator for
                  image super-resolution},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {343--348},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297347},
  doi          = {10.1109/ASPDAC.2018.8297347},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenJZT18,
  author       = {Xizi Chen and
                  Jingbo Jiang and
                  Jingyang Zhu and
                  Chi{-}Ying Tsui},
  editor       = {Youngsoo Shin},
  title        = {A high-throughput and energy-efficient RRAM-based convolutional neural
                  network using data encoding and dynamic quantization},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {123--128},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297293},
  doi          = {10.1109/ASPDAC.2018.8297293},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenJZT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenLKZLC18,
  author       = {Fan Chen and
                  Zheng Li and
                  Wang Kang and
                  Weisheng Zhao and
                  Hai Li and
                  Yiran Chen},
  editor       = {Youngsoo Shin},
  title        = {Process variation aware data management for magnetic skyrmions racetrack
                  memory},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {221--226},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297309},
  doi          = {10.1109/ASPDAC.2018.8297309},
  timestamp    = {Mon, 02 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenLKZLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenSC18,
  author       = {Fan Chen and
                  Linghao Song and
                  Yiran Chen},
  editor       = {Youngsoo Shin},
  title        = {ReGAN: {A} pipelined ReRAM-based accelerator for generative adversarial
                  networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {178--183},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297302},
  doi          = {10.1109/ASPDAC.2018.8297302},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChengLN18,
  author       = {Mingxi Cheng and
                  Ji Li and
                  Shahin Nazarian},
  editor       = {Youngsoo Shin},
  title        = {DRL-cloud: Deep reinforcement learning-based resource provisioning
                  and task scheduling for cloud service providers},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {129--134},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297294},
  doi          = {10.1109/ASPDAC.2018.8297294},
  timestamp    = {Fri, 31 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChengLN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChiTLC18,
  author       = {Hao{-}Yu Chi and
                  Hwa{-}Yi Tseng and
                  Chien{-}Nan Jimmy Liu and
                  Hung{-}Ming Chen},
  editor       = {Youngsoo Shin},
  title        = {Performance-preserved analog routing methodology via wire load reduction},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {482--487},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297370},
  doi          = {10.1109/ASPDAC.2018.8297370},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChiTLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChoiK18,
  author       = {Injun Choi and
                  Ji{-}Hoon Kim},
  editor       = {Youngsoo Shin},
  title        = {A 2.22 Gbps high-throughput {NB-LDPC} decoder in 65nm {CMOS} with
                  aggressive overlap scheduling},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {315--316},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297337},
  doi          = {10.1109/ASPDAC.2018.8297337},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChoiK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChuSXM18,
  author       = {Zhufei Chu and
                  Mathias Soeken and
                  Yinshui Xia and
                  Giovanni De Micheli},
  editor       = {Youngsoo Shin},
  title        = {Functional decomposition using majority},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {676--681},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297400},
  doi          = {10.1109/ASPDAC.2018.8297400},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChuSXM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingLBM18,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  R. D. (Shawn) Blanton and
                  Diana Marculescu},
  editor       = {Youngsoo Shin},
  title        = {Quantized deep neural networks for energy efficient hardware-based
                  inference},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297274},
  doi          = {10.1109/ASPDAC.2018.8297274},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingLBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Dyck18,
  author       = {Jeff Dyck},
  editor       = {Youngsoo Shin},
  title        = {Machine learning for engineering},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {422--427},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297360},
  doi          = {10.1109/ASPDAC.2018.8297360},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Dyck18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/EkenBLC18,
  author       = {Enes Eken and
                  Ismail Bayram and
                  Hai Helen Li and
                  Yiran Chen},
  editor       = {Youngsoo Shin},
  title        = {Modeling of biaxial magnetic tunneling junction for multi-level cell
                  {STT-RAM} realization},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {375--380},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297352},
  doi          = {10.1109/ASPDAC.2018.8297352},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/EkenBLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Gnanasambandapillai18,
  author       = {Vikkitharan Gnanasambandapillai and
                  Arash Bayat and
                  Sri Parameswaran},
  editor       = {Youngsoo Shin},
  title        = {{MESGA:} An MPSoC based embedded system solution for short read genome
                  alignment},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {52--57},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297282},
  doi          = {10.1109/ASPDAC.2018.8297282},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Gnanasambandapillai18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GolanbariGMKT18,
  author       = {Mohammad Saber Golanbari and
                  Anteneh Gebregiorgis and
                  Elyas Moradi and
                  Saman Kiamehr and
                  Mehdi Baradaran Tahoori},
  editor       = {Youngsoo Shin},
  title        = {Balancing resiliency and energy efficiency of functional units in
                  ultra-low power systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {637--644},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297394},
  doi          = {10.1109/ASPDAC.2018.8297394},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GolanbariGMKT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GrimmerKHW18,
  author       = {Andreas Grimmer and
                  Berislav Klepic and
                  Tsung{-}Yi Ho and
                  Robert Wille},
  editor       = {Youngsoo Shin},
  title        = {Sound valve-control for programmable microfluidic devices},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {40--45},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297280},
  doi          = {10.1109/ASPDAC.2018.8297280},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GrimmerKHW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuoZW18,
  author       = {Daifeng Guo and
                  Hongbo Zhang and
                  Martin D. F. Wong},
  editor       = {Youngsoo Shin},
  title        = {On coloring rectangular and diagonal grid graphs for multiple patterning
                  lithography},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {387--392},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297354},
  doi          = {10.1109/ASPDAC.2018.8297354},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuoZW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HarrisMKBKMCKEH18,
  author       = {Barend Harris and
                  Mansureh S. Moghaddam and
                  Duseok Kang and
                  Inpyo Bae and
                  Euiseok Kim and
                  Hyemi Min and
                  Hansu Cho and
                  Sukjin Kim and
                  Bernhard Egger and
                  Soonhoi Ha and
                  Kiyoung Choi},
  editor       = {Youngsoo Shin},
  title        = {Architectures and algorithms for user customization of CNNs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {540--547},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297379},
  doi          = {10.1109/ASPDAC.2018.8297379},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HarrisMKBKMCKEH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HsuGLXLWHP18,
  author       = {Che{-}Lun Hsu and
                  Shaofeng Guo and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Meng Li and
                  Runsheng Wang and
                  Ru Huang and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {Layout-dependent aging mitigation for critical path timing},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {153--158},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297298},
  doi          = {10.1109/ASPDAC.2018.8297298},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HsuGLXLWHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Hu018,
  author       = {Biao Hu and
                  Kai Huang},
  editor       = {Youngsoo Shin},
  title        = {Scheduling and shaping of complex task activations for mixed-criticality
                  systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {58--63},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297283},
  doi          = {10.1109/ASPDAC.2018.8297283},
  timestamp    = {Wed, 10 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Hu018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangCWEWJL18,
  author       = {Letian Huang and
                  Shuyu Chen and
                  Qiong Wu and
                  Masoumeh Ebrahimi and
                  Junshi Wang and
                  Shuyan Jiang and
                  Qiang Li},
  editor       = {Youngsoo Shin},
  title        = {A lifetime-aware mapping algorithm to extend {MTTF} of Networks-on-Chip},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {147--152},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297297},
  doi          = {10.1109/ASPDAC.2018.8297297},
  timestamp    = {Fri, 16 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangCWEWJL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HuangL18,
  author       = {Jian{-}Hao Huang and
                  Ren{-}Shuo Liu},
  editor       = {Youngsoo Shin},
  title        = {{DI-SSD:} Desymmetrized interconnection architecture and dynamic timing
                  calibration for solid-state drives},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {34--39},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297279},
  doi          = {10.1109/ASPDAC.2018.8297279},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HuangL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HwangLC18,
  author       = {Hyunseok Hwang and
                  Hyeyeon Lee and
                  Youngcheol Chae},
  editor       = {Youngsoo Shin},
  title        = {A 6.9mW 120fps 28{\texttimes}50 capacitive touch sensor for 1mm-{\(\varphi\)}
                  stylus using current-driven {\(\Delta\)}{\(\Sigma\)} ADCs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {305--306},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297332},
  doi          = {10.1109/ASPDAC.2018.8297332},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HwangLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HyunS18,
  author       = {Daijoon Hyun and
                  Youngsoo Shin},
  editor       = {Youngsoo Shin},
  title        = {Automatic insertion of airgap with design rule constraints},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {381--386},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297353},
  doi          = {10.1109/ASPDAC.2018.8297353},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HyunS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ImaniMPWR18,
  author       = {Mohsen Imani and
                  Max Masich and
                  Daniel Peroni and
                  Pushen Wang and
                  Tajana Rosing},
  editor       = {Youngsoo Shin},
  title        = {{CANNA:} Neural network acceleration using configurable approximation
                  on {GPGPU}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {682--689},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297401},
  doi          = {10.1109/ASPDAC.2018.8297401},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ImaniMPWR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IrenaMP18,
  author       = {Florencia Irena and
                  Daniel Murphy and
                  Sri Parameswaran},
  editor       = {Youngsoo Shin},
  title        = {CryptoBlaze: {A} partially homomorphic processor with multiple instructions
                  and non-deterministic encryption support},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {702--708},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297404},
  doi          = {10.1109/ASPDAC.2018.8297404},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/IrenaMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IshizukaYI18,
  author       = {Motomi Ishizuka and
                  Kohei Yamada and
                  Hiroki Ishikuro},
  editor       = {Youngsoo Shin},
  title        = {Design of resource sharing reconfigurable {\(\Delta\)}{\(\Sigma\)}
                  {SAR-ADC}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {317--318},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297338},
  doi          = {10.1109/ASPDAC.2018.8297338},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/IshizukaYI18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/IslamK18,
  author       = {Md. Nazmul Islam and
                  Sandip Kundu},
  editor       = {Youngsoo Shin},
  title        = {PMU-Trojan: On exploiting power management side channel for information
                  leakage},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {709--714},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297405},
  doi          = {10.1109/ASPDAC.2018.8297405},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/IslamK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JeongJKSB18,
  author       = {Junwon Jeong and
                  Seokhyeon Jeong and
                  Chulwoo Kim and
                  Dennis Sylvester and
                  David T. Blaauw},
  editor       = {Youngsoo Shin},
  title        = {A 42nJ/conversion on-demand state-of-charge indicator for miniature
                  IoT Li-ion batteries},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {281--282},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297320},
  doi          = {10.1109/ASPDAC.2018.8297320},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JeongJKSB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiJSKPS18,
  author       = {Youngwoo Ji and
                  Cheonhoo Jeon and
                  Hyunwoo Son and
                  Byungsub Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  editor       = {Youngsoo Shin},
  title        = {A 9.3 nW all-in-one bandgap voltage and current reference circuit
                  using leakage-based {PTAT} generation and {DIBL} characteristic},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {309--310},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297334},
  doi          = {10.1109/ASPDAC.2018.8297334},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiJSKPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiaYWCLZ18,
  author       = {Xiaotao Jia and
                  Jianlei Yang and
                  Zhaohao Wang and
                  Yiran Chen and
                  Hai Helen Li and
                  Weisheng Zhao},
  editor       = {Youngsoo Shin},
  title        = {Spintronics based stochastic computing for efficient Bayesian inference
                  system},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {580--585},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297385},
  doi          = {10.1109/ASPDAC.2018.8297385},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiaYWCLZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Jiang0LKMUM18,
  author       = {Junmin Jiang and
                  Yan Lu and
                  Xun Liu and
                  Wing{-}Hung Ki and
                  Philip K. T. Mok and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  editor       = {Youngsoo Shin},
  title        = {A dual-output {SC} converter with dynamic power allocation for multicore
                  application processors},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {285--286},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297322},
  doi          = {10.1109/ASPDAC.2018.8297322},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Jiang0LKMUM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiangK018,
  author       = {Junmin Jiang and
                  Wing{-}Hung Ki and
                  Yan Lu},
  editor       = {Youngsoo Shin},
  title        = {A digital {SC} converter with high efficiency and low voltage ripple},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {289--290},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297324},
  doi          = {10.1109/ASPDAC.2018.8297324},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiangK018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JiangWCWEHL18,
  author       = {Shuyan Jiang and
                  Qiong Wu and
                  Shuyu Chen and
                  Junshi Wang and
                  Masoumeh Ebrahimi and
                  Letian Huang and
                  Qiang Li},
  editor       = {Youngsoo Shin},
  title        = {Optimizing dynamic mapping techniques for on-line NoC test},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {227--232},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297310},
  doi          = {10.1109/ASPDAC.2018.8297310},
  timestamp    = {Fri, 16 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JiangWCWEHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JinSK18,
  author       = {Canran Jin and
                  Heming Sun and
                  Shinji Kimura},
  editor       = {Youngsoo Shin},
  title        = {Sparse ternary connect: Convolutional neural networks using ternarized
                  weights with enhanced sparsity},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {190--195},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297304},
  doi          = {10.1109/ASPDAC.2018.8297304},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JinSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JoAKC18,
  author       = {Kyeongrok Jo and
                  Seyong Ahn and
                  Taewhan Kim and
                  Kyu{-}Myung Choi},
  editor       = {Youngsoo Shin},
  title        = {Cohesive techniques for cell layout optimization supporting 2D metal-1
                  routing completion},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {500--506},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297373},
  doi          = {10.1109/ASPDAC.2018.8297373},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JoAKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JungPL18,
  author       = {Jaehwan Jung and
                  In{-}Cheol Park and
                  Youngjoo Lee},
  editor       = {Youngsoo Shin},
  title        = {A 2.4pJ/bit, 6.37Gb/s SPC-enhanced {BC-BCH} decoder in 65nm {CMOS}
                  for {NAND} flash storage systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {329--330},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297344},
  doi          = {10.1109/ASPDAC.2018.8297344},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JungPL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JungSDL18,
  author       = {Oh{-}Yong Jung and
                  Hyun{-}Gi Seok and
                  Anjana Dissanayake and
                  Sang{-}Gug Lee},
  editor       = {Youngsoo Shin},
  title        = {A 2.4GHz, -102dBm-sensitivity, 25kb/s, 0.466mW interference resistant
                  {BFSK} multi-channel sliding-IF {ULP} receiver},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {319--320},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297339},
  doi          = {10.1109/ASPDAC.2018.8297339},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JungSDL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JuvekarCKL18,
  author       = {Chiraag Juvekar and
                  Anantha P. Chandrakasan and
                  Joyce Kwong and
                  Hyung{-}Min Lee},
  editor       = {Youngsoo Shin},
  title        = {A nonvolatile flip-flop-enabled cryptographic wireless authentication
                  tag with per-query key update and power-glitch attack countermeasures},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {279--280},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297319},
  doi          = {10.1109/ASPDAC.2018.8297319},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JuvekarCKL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Kahng18,
  author       = {Andrew B. Kahng},
  editor       = {Youngsoo Shin},
  title        = {New directions for learning-based {IC} design tools and methodologies},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {405--410},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297357},
  doi          = {10.1109/ASPDAC.2018.8297357},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Kahng18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KhodabandelooKM18,
  author       = {Behnam Khodabandeloo and
                  Ahmad Khonsari and
                  Alireza Majidi and
                  Mohammad Hassan Hajiesmaili},
  editor       = {Youngsoo Shin},
  title        = {Task assignment and scheduling in MPSoC under process variation: {A}
                  stochastic approach},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {690--695},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297402},
  doi          = {10.1109/ASPDAC.2018.8297402},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KhodabandelooKM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimBHB18,
  author       = {Min Soo Kim and
                  Alberto A. Del Barrio and
                  Rom{\'{a}}n Hermida and
                  Nader Bagherzadeh},
  editor       = {Youngsoo Shin},
  title        = {Low-power implementation of Mitchell's approximate logarithmic multiplication
                  for convolutional neural networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {617--622},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297391},
  doi          = {10.1109/ASPDAC.2018.8297391},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimBHB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimC18,
  author       = {Dongin Kim and
                  SeongHwan Cho},
  editor       = {Youngsoo Shin},
  title        = {A supply noise insensitive {PLL} with a rail-to-rail swing ring oscillator
                  and a wideband noise suppression loop},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {283--284},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297321},
  doi          = {10.1109/ASPDAC.2018.8297321},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimLK18,
  author       = {Sunmean Kim and
                  Taeho Lim and
                  Seokhyeong Kang},
  editor       = {Youngsoo Shin},
  title        = {An optimal gate design for the synthesis of ternary logic circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {476--481},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297369},
  doi          = {10.1109/ASPDAC.2018.8297369},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimLLYKCKKHPK18,
  author       = {Hyeji Kim and
                  Jinyeon Lim and
                  Yeongmin Lee and
                  Woojin Yun and
                  Young{-}Gyu Kim and
                  Wonseok Choi and
                  Asim Khan and
                  Muhammad Umar Karim Khan and
                  Said Homidov and
                  Hyun Sang Park and
                  Chong{-}Min Kyung},
  editor       = {Youngsoo Shin},
  title        = {Real-time depth map processor for offset aperture based single camera
                  system},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {293--294},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297326},
  doi          = {10.1109/ASPDAC.2018.8297326},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimLLYKCKKHPK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimY18,
  author       = {Byung{-}Su Kim and
                  Joon{-}Sung Yang},
  editor       = {Youngsoo Shin},
  title        = {System level performance analysis and optimization for the adaptive
                  clocking based multi-core processor},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {458--463},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297366},
  doi          = {10.1109/ASPDAC.2018.8297366},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KoyilyAZKP18,
  author       = {Anoop Koyily and
                  Satya Venkata Sandeep Avvaru and
                  Chen Zhou and
                  Chris H. Kim and
                  Keshab K. Parhi},
  editor       = {Youngsoo Shin},
  title        = {Effect of aging on linear and nonlinear {MUX} PUFs by statistical
                  modeling},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {76--83},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297286},
  doi          = {10.1109/ASPDAC.2018.8297286},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KoyilyAZKP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LaiW18,
  author       = {Tin{-}Yin Lai and
                  Martin D. F. Wong},
  editor       = {Youngsoo Shin},
  title        = {A highly compressed timing macro-modeling algorithm for hierarchical
                  and incremental timing analysis},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {166--171},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297300},
  doi          = {10.1109/ASPDAC.2018.8297300},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LaiW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeCK18,
  author       = {Yeonho Lee and
                  Yoonjae Choi and
                  Chulwoo Kim},
  editor       = {Youngsoo Shin},
  title        = {12Gb/s over four balanced lines utilizing {NRZ} braid clock signaling
                  with 100{\%} data payload and spread transition scheme for 8K {UHD}
                  intra-panel interface},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {287--288},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297323},
  doi          = {10.1109/ASPDAC.2018.8297323},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeGJ18,
  author       = {Junghyup Lee and
                  Arup K. George and
                  Minkyu Je},
  editor       = {Youngsoo Shin},
  title        = {An ultra-low-noise differential relaxation oscillator based on a swing-boosting
                  scheme},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {277--278},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297318},
  doi          = {10.1109/ASPDAC.2018.8297318},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeGJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeJC18,
  author       = {Pei{-}Yu Lee and
                  Iris Hui{-}Ru Jiang and
                  Tung{-}Chieh Chen},
  editor       = {Youngsoo Shin},
  title        = {FastPass: Fast timing path search for generalized timing exception
                  handling},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {172--177},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297301},
  doi          = {10.1109/ASPDAC.2018.8297301},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeJSC18,
  author       = {Sangwoo Lee and
                  Woojin Jo and
                  Seung{-}Woo Song and
                  Youngcheol Chae},
  editor       = {Youngsoo Shin},
  title        = {A 300-pW audio {\(\Alpha\)}{\(\Sigma\)} modulator with 100.5-dB {DR}
                  using dynamic bias inverter},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {297--298},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297328},
  doi          = {10.1109/ASPDAC.2018.8297328},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeJSC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeKCSPK18,
  author       = {Ji{-}Hoon Lee and
                  Kwangmin Kim and
                  Minsoo Choi and
                  Jae{-}Yoon Sim and
                  Hong{-}June Park and
                  Byungsub Kim},
  editor       = {Youngsoo Shin},
  title        = {A 16.6-pJ/b 150-Mb/s body-channel communication transceiver with decision
                  feedback equalization improving {\textgreater}200x area efficiency},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {311--312},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297335},
  doi          = {10.1109/ASPDAC.2018.8297335},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeKCSPK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeKOWTAARL18,
  author       = {Dong{-}Soo Lee and
                  Sung{-}Jin Kim and
                  SeongJin Oh and
                  Gyusub Won and
                  Thi Kim Nga Truong and
                  Imran Ali and
                  Hamed Abbasizadeh and
                  Behnam Samadpoor Rikan and
                  Kang{-}Yoon Lee},
  editor       = {Youngsoo Shin},
  title        = {Low power {FSK} transceiver using {ADPLL} with direct modulation and
                  integrated {SPDT} for {BLE} application},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {313--314},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297336},
  doi          = {10.1109/ASPDAC.2018.8297336},
  timestamp    = {Mon, 04 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeKOWTAARL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeePSJGJ18,
  author       = {Wooseok Lee and
                  Reena Panda and
                  Dam Sunwoo and
                  Jos{\'{e}} A. Joao and
                  Andreas Gerstlauer and
                  Lizy K. John},
  editor       = {Youngsoo Shin},
  title        = {{BUQS:} Battery- and user-aware QoS scaling for interactive mobile
                  devices},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {64--69},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297284},
  doi          = {10.1109/ASPDAC.2018.8297284},
  timestamp    = {Fri, 24 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeePSJGJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeSYC18,
  author       = {Yongsun Lee and
                  Taeho Seong and
                  Seyeon Yoo and
                  Jaehyouk Choi},
  editor       = {Youngsoo Shin},
  title        = {A switched-loop-filter {PLL} with fast phase-error correction technique},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {307--308},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297333},
  doi          = {10.1109/ASPDAC.2018.8297333},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeSYC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiWMLCL18,
  author       = {Bing Li and
                  Wei Wen and
                  Jiachen Mao and
                  Sicheng Li and
                  Yiran Chen and
                  Hai Helen Li},
  editor       = {Youngsoo Shin},
  title        = {Running sparse and low-precision neural network: When algorithm meets
                  hardware},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {534--539},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297378},
  doi          = {10.1109/ASPDAC.2018.8297378},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiWMLCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiYLXLP18,
  author       = {Meng Li and
                  Bei Yu and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Wuxi Li and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {A practical split manufacturing framework for Trojan prevention via
                  simultaneous wire lifting and cell insertion},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {265--270},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297316},
  doi          = {10.1109/ASPDAC.2018.8297316},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiYLXLP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiaoZDYX18,
  author       = {Siyu Liao and
                  Liutong Zhou and
                  Xuan Di and
                  Bo Yuan and
                  Jinjun Xiong},
  editor       = {Youngsoo Shin},
  title        = {Large-scale short-term urban taxi demand forecasting using deep learning},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {428--433},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297361},
  doi          = {10.1109/ASPDAC.2018.8297361},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiaoZDYX18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LimLPC18,
  author       = {Younghyun Lim and
                  Jeonghyun Lee and
                  Suneui Park and
                  Jaehyouk Choi},
  editor       = {Youngsoo Shin},
  title        = {An external-capacitor-less high-PSR low-dropout regulator using an
                  adaptive supply-ripple cancellation technique to the body-gate},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {299--300},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297329},
  doi          = {10.1109/ASPDAC.2018.8297329},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LimLPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinL18,
  author       = {Chien{-}Yu Lin and
                  Bo{-}Cheng Lai},
  editor       = {Youngsoo Shin},
  title        = {Supporting compressed-sparse activations and weights on SIMD-like
                  accelerator for sparse convolutional neural networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {105--110},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297290},
  doi          = {10.1109/ASPDAC.2018.8297290},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinX18,
  author       = {Bin Lin and
                  Fei Xie},
  editor       = {Youngsoo Shin},
  title        = {SCBench: {A} benchmark design suite for SystemC verification and validation},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {440--445},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297363},
  doi          = {10.1109/ASPDAC.2018.8297363},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinX18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuJJQW18,
  author       = {Tao Liu and
                  Lei Jiang and
                  Yier Jin and
                  Gang Quan and
                  Wujie Wen},
  editor       = {Youngsoo Shin},
  title        = {PT-spike: {A} precise-time-dependent single spike neuromorphic architecture
                  with efficient supervised learning},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {568--573},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297383},
  doi          = {10.1109/ASPDAC.2018.8297383},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuJJQW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuLLWJW18,
  author       = {Qi Liu and
                  Tao Liu and
                  Zihao Liu and
                  Yanzhi Wang and
                  Yier Jin and
                  Wujie Wen},
  editor       = {Youngsoo Shin},
  title        = {Security analysis and enhancement of model compressed deep learning
                  systems under adversarial attacks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {721--726},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297407},
  doi          = {10.1109/ASPDAC.2018.8297407},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuLLWJW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuQCLZZLWL18,
  author       = {Huimin Liu and
                  Xiongfei Qu and
                  Lingling Cao and
                  Ruifeng Liu and
                  Yuanzhi Zhang and
                  Meijuan Zhang and
                  Xiaoqiang Li and
                  Wenshen Wang and
                  Chao Lu},
  editor       = {Youngsoo Shin},
  title        = {A 5.8 GHz {DSRC} digitally controlled {CMOS} RF-SoC transceiver for
                  China {ETC}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {323--324},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297341},
  doi          = {10.1109/ASPDAC.2018.8297341},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuQCLZZLWL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuWQLC18,
  author       = {Xiaoxiao Liu and
                  Wei Wen and
                  Xuehai Qian and
                  Hai Li and
                  Yiran Chen},
  editor       = {Youngsoo Shin},
  title        = {Neu-NoC: {A} high-efficient interconnection network for accelerated
                  neuromorphic systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {141--146},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297296},
  doi          = {10.1109/ASPDAC.2018.8297296},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuWQLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LuBHC18,
  author       = {Guan{-}Ruei Lu and
                  Bhargab B. Bhattacharya and
                  Tsung{-}Yi Ho and
                  Hung{-}Ming Chen},
  editor       = {Youngsoo Shin},
  title        = {Multi-level droplet routing in active-matrix based digital-microfluidic
                  biochips},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {46--51},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297281},
  doi          = {10.1109/ASPDAC.2018.8297281},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LuBHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LuoSLXS18,
  author       = {Huizhang Luo and
                  Liang Shi and
                  Qiao Li and
                  Chun Jason Xue and
                  Edwin Hsing{-}Mean Sha},
  editor       = {Youngsoo Shin},
  title        = {Energy, latency, and lifetime improvements in {MLC} {NVM} with enhanced
                  {WOM} code},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {554--559},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297381},
  doi          = {10.1109/ASPDAC.2018.8297381},
  timestamp    = {Wed, 29 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LuoSLXS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MaGHWLO18,
  author       = {Qingqing Ma and
                  Chongyan Gu and
                  Neil Hanley and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  editor       = {Youngsoo Shin},
  title        = {A machine learning attack resistant multi-PUF design on {FPGA}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {97--104},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297289},
  doi          = {10.1109/ASPDAC.2018.8297289},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MaGHWLO18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MarquesRAT18,
  author       = {Gabriel Cadilha Marques and
                  Farhan Rasheed and
                  Jasmin Aghassi{-}Hagmann and
                  Mehdi Baradaran Tahoori},
  editor       = {Youngsoo Shin},
  title        = {From silicon to printed electronics: {A} coherent modeling and design
                  flow approach based on printed electrolyte gated FETs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {658--663},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297397},
  doi          = {10.1109/ASPDAC.2018.8297397},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MarquesRAT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MasudaH18,
  author       = {Yutaka Masuda and
                  Masanori Hashimoto},
  editor       = {Youngsoo Shin},
  title        = {MTTF-aware design methodology of error prediction based adaptively
                  voltage-scaled circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {159--165},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297299},
  doi          = {10.1109/ASPDAC.2018.8297299},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MasudaH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MatoussiP18,
  author       = {Omayma Matoussi and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot},
  editor       = {Youngsoo Shin},
  title        = {A mapping approach between {IR} and binary CFGs dealing with aggressive
                  compiler optimizations for performance estimation},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {452--457},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297365},
  doi          = {10.1109/ASPDAC.2018.8297365},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/MatoussiP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MeuliSRWM18,
  author       = {Giulia Meuli and
                  Mathias Soeken and
                  Martin Roetteler and
                  Nathan Wiebe and
                  Giovanni De Micheli},
  editor       = {Youngsoo Shin},
  title        = {A best-fit mapping algorithm to facilitate ESOP-decomposition in Clifford+T
                  quantum network synthesis},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {664--669},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297398},
  doi          = {10.1109/ASPDAC.2018.8297398},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MeuliSRWM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MooreFFW18,
  author       = {David M. Moore and
                  Jeffrey A. Fredenburgh and
                  Muhammad Faisal and
                  David D. Wentzloff},
  editor       = {Youngsoo Shin},
  title        = {Static timing analysis for ring oscillators},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {488--493},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297371},
  doi          = {10.1109/ASPDAC.2018.8297371},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/MooreFFW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MoritaBSHS18,
  author       = {Shumpei Morita and
                  Song Bian and
                  Michihiro Shintani and
                  Masayuki Hiromoto and
                  Takashi Sato},
  editor       = {Youngsoo Shin},
  title        = {Efficient worst-case timing analysis of critical-path delay under
                  workload-dependent aging degradation},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {631--636},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297393},
  doi          = {10.1109/ASPDAC.2018.8297393},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MoritaBSHS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NathRBB18,
  author       = {Atul Prasad Deb Nath and
                  Sandip Ray and
                  Abhishek Basak and
                  Swamp Bhunia},
  editor       = {Youngsoo Shin},
  title        = {System-on-chip security architecture and {CAD} framework for hardware
                  patch},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {733--738},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297409},
  doi          = {10.1109/ASPDAC.2018.8297409},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/NathRBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NeubauerHWS18,
  author       = {Kai Neubauer and
                  Christian Haubelt and
                  Philipp Wanko and
                  Torsten Schaub},
  editor       = {Youngsoo Shin},
  title        = {Utilizing quad-trees for efficient design space exploration with partial
                  assignment evaluation},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {434--439},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297362},
  doi          = {10.1109/ASPDAC.2018.8297362},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NeubauerHWS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NguyenNP18,
  author       = {Hong{-}Thu Nguyen and
                  Xuan{-}Thuan Nguyen and
                  Cong{-}Kha Pham},
  editor       = {Youngsoo Shin},
  title        = {An efficient fixed-point arithmetic processor using a hybrid {CORDIC}
                  algorithm},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {327--328},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297343},
  doi          = {10.1109/ASPDAC.2018.8297343},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/NguyenNP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/NieM18,
  author       = {Qi Nie and
                  Sharad Malik},
  editor       = {Youngsoo Shin},
  title        = {MemFlow: Memory-driven data scheduling with datapath co-design in
                  accelerators for large-scale inference applications},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {446--451},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297364},
  doi          = {10.1109/ASPDAC.2018.8297364},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/NieM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OsmolovskyiKML18,
  author       = {Sergii Osmolovskyi and
                  Johann Knechtel and
                  Igor L. Markov and
                  Jens Lienig},
  editor       = {Youngsoo Shin},
  title        = {Optimal die placement for interposer-based 3D ICs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {513--520},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297375},
  doi          = {10.1109/ASPDAC.2018.8297375},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OsmolovskyiKML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Pandey18,
  author       = {Manish Pandey},
  editor       = {Youngsoo Shin},
  title        = {Machine learning and systems for building the next generation of {EDA}
                  tools},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {411--415},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297358},
  doi          = {10.1109/ASPDAC.2018.8297358},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Pandey18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParkSB18,
  author       = {Kyeong{-}min Park and
                  Joohyeb Song and
                  Franklin Bien},
  editor       = {Youngsoo Shin},
  title        = {Highly sensitive fingerprint readout {IC} for glass-covered mutual
                  capacitive fingerprint sensor},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {321--322},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297340},
  doi          = {10.1109/ASPDAC.2018.8297340},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParkSB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParkUHL18,
  author       = {Dae{-}Woong Park and
                  Dzuhri Radityo Utomo and
                  Jong{-}Phil Hong and
                  Sang{-}Gug Lee},
  editor       = {Youngsoo Shin},
  title        = {A 230-260GHz wideband amplifier in 65nm {CMOS} based on dual-peak
                  Gmax-core},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {301--302},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297330},
  doi          = {10.1109/ASPDAC.2018.8297330},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParkUHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParveenHAF18,
  author       = {Farhana Parveen and
                  Zhezhi He and
                  Shaahin Angizi and
                  Deliang Fan},
  editor       = {Youngsoo Shin},
  title        = {HielM: Highly flexible in-memory computing using {STT} {MRAM}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {361--366},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297350},
  doi          = {10.1109/ASPDAC.2018.8297350},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParveenHAF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PatnaikKAS18,
  author       = {Satwik Patnaik and
                  Johann Knechtel and
                  Mohammed Ashraf and
                  Ozgur Sinanoglu},
  editor       = {Youngsoo Shin},
  title        = {Concerted wire lifting: Enabling secure and cost-effective split manufacturing},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {251--258},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297314},
  doi          = {10.1109/ASPDAC.2018.8297314},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PatnaikKAS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PetersonBB18,
  author       = {Dustin Peterson and
                  Yannick Boekle and
                  Oliver Bringmann},
  editor       = {Youngsoo Shin},
  title        = {Detecting non-functional circuit activity in SoC designs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {464--469},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297367},
  doi          = {10.1109/ASPDAC.2018.8297367},
  timestamp    = {Fri, 29 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PetersonBB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PilatoC18,
  author       = {Christian Pilato and
                  Luca P. Carloni},
  editor       = {Youngsoo Shin},
  title        = {DarkMem: Fine-grained power management of local memories for accelerators
                  in embedded systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {696--701},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297403},
  doi          = {10.1109/ASPDAC.2018.8297403},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PilatoC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PuiTLCY18,
  author       = {Chak{-}Wa Pui and
                  Peishan Tu and
                  Haocheng Li and
                  Gengjie Chen and
                  Evangeline F. Y. Young},
  editor       = {Youngsoo Shin},
  title        = {A two-step search engine for large scale boolean matching under {NP3}
                  equivalence},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {592--598},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297387},
  doi          = {10.1109/ASPDAC.2018.8297387},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PuiTLCY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RamachandranLKL18,
  author       = {Anand Ramachandran and
                  Huiren Li and
                  Eric W. Klee and
                  Steven S. Lumetta and
                  Deming Chen},
  editor       = {Youngsoo Shin},
  title        = {Deep Learning for Better Variant Calling for Cancer Diagnosis and
                  Treatment},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {16--21},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297276},
  doi          = {10.1109/ASPDAC.2018.8297276},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RamachandranLKL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Rhu18,
  author       = {Minsoo Rhu},
  editor       = {Youngsoo Shin},
  title        = {Accelerator-centric deep learning systems for enhanced scalability,
                  energy-efficiency, and programmability},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {527--533},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297377},
  doi          = {10.1109/ASPDAC.2018.8297377},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Rhu18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SahooNV018,
  author       = {Siva Satyendra Sahoo and
                  Tuan D. A. Nguyen and
                  Bharadwaj Veeravalli and
                  Akash Kumar},
  editor       = {Youngsoo Shin},
  title        = {Lifetime-aware design methodology for dynamic partially reconfigurable
                  systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {393--398},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297355},
  doi          = {10.1109/ASPDAC.2018.8297355},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SahooNV018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SahooSSMB18,
  author       = {Debiprasanna Sahoo and
                  Swaraj Sha and
                  Manoranjan Satpathy and
                  Madhu Mutyam and
                  Laxmi Narayan Bhuyan},
  editor       = {Youngsoo Shin},
  title        = {{CAMO:} {A} novel cache management organization for GPGPUs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {215--220},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297308},
  doi          = {10.1109/ASPDAC.2018.8297308},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SahooSSMB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SayadiPSH18,
  author       = {Hossein Sayadi and
                  Divya Pathak and
                  Ioannis Savidis and
                  Houman Homayoun},
  editor       = {Youngsoo Shin},
  title        = {Power conversion efficiency-aware mapping of multithreaded applications
                  on heterogeneous architectures: {A} comprehensive parameter tuning},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {70--75},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297285},
  doi          = {10.1109/ASPDAC.2018.8297285},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SayadiPSH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SayedNBT18,
  author       = {Nour Sayed and
                  Sarath Mohanachandran Nair and
                  Rajendra Bishnoi and
                  Mehdi Baradaran Tahoori},
  editor       = {Youngsoo Shin},
  title        = {Process variation and temperature aware adaptive scrubbing for retention
                  failures in {STT-MRAM}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {203--208},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297306},
  doi          = {10.1109/ASPDAC.2018.8297306},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SayedNBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SchmittMB18,
  author       = {Bruno de O. Schmitt and
                  Alan Mishchenko and
                  Robert K. Brayton},
  editor       = {Youngsoo Shin},
  title        = {SAT-based area recovery in structural technology mapping},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {586--591},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297386},
  doi          = {10.1109/ASPDAC.2018.8297386},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SchmittMB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SchneiderKW18,
  author       = {Eric Schneider and
                  Michael A. Kochte and
                  Hans{-}Joachim Wunderlich},
  editor       = {Youngsoo Shin},
  title        = {Multi-level timing simulation on GPUs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {470--475},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297368},
  doi          = {10.1109/ASPDAC.2018.8297368},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SchneiderKW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShaoHLBBC18,
  author       = {Leilai Shao and
                  Tsung{-}Ching Huang and
                  Ting Lei and
                  Zhenan Bao and
                  Raymond G. Beausoleil and
                  Kwang{-}Ting Cheng},
  editor       = {Youngsoo Shin},
  title        = {Process design kit for flexible hybrid electronics},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {651--657},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297396},
  doi          = {10.1109/ASPDAC.2018.8297396},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShaoHLBBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShenRZ18,
  author       = {Yuanqi Shen and
                  Amin Rezaei and
                  Hai Zhou},
  editor       = {Youngsoo Shin},
  title        = {A comparative investigation of approximate attacks on logic encryptions},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {271--276},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297317},
  doi          = {10.1109/ASPDAC.2018.8297317},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShenRZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShiSS18,
  author       = {Yuanjing Shi and
                  Zhaoyan Shen and
                  Zili Shao},
  editor       = {Youngsoo Shin},
  title        = {SQLiteKV: An efficient LSM-tree-based SQLite-like database engine
                  for mobile devices},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {28--33},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297278},
  doi          = {10.1109/ASPDAC.2018.8297278},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShiSS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShimJMBSKSBJ18,
  author       = {Minseob Shim and
                  Seokhyeon Jeong and
                  Paul D. Myers and
                  Suyoung Bang and
                  Junhua Shen and
                  Chulwoo Kim and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Wanyeong Jung},
  editor       = {Youngsoo Shin},
  title        = {Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s
                  15b {SAR} {ADC}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {295--296},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297327},
  doi          = {10.1109/ASPDAC.2018.8297327},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShimJMBSKSBJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShinPC18,
  author       = {Se{-}un Shin and
                  Sang{-}Hui Park and
                  Gyu{-}Hyeong Cho},
  editor       = {Youngsoo Shin},
  title        = {A reconfigurable {SIMO} system with 10-output dual-bus {DC-DC} converter
                  using the load balancing function in group allocator for diversified
                  load condition},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {291--292},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297325},
  doi          = {10.1109/ASPDAC.2018.8297325},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShinPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SonCKJKPS18,
  author       = {Hyunwoo Son and
                  Hwasuk Cho and
                  Jahyun Koo and
                  Youngwoo Ji and
                  Byungsub Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  editor       = {Youngsoo Shin},
  title        = {A low-power wide dynamic-range current readout circuit for biosensors},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {325--326},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297342},
  doi          = {10.1109/ASPDAC.2018.8297342},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SonCKJKPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SunHZQHGYL18,
  author       = {Wenyu Sun and
                  Yuxuan Huang and
                  Qinghang Zhao and
                  Fei Qiao and
                  Tsung{-}Yi Ho and
                  Xiaojun Guo and
                  Huazhong Yang and
                  Yongpan Liu},
  editor       = {Youngsoo Shin},
  title        = {Mechanical strain and temperature aware design methodology for thin-film
                  transistor based pseudo-CMOS logic array},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {645--650},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297395},
  doi          = {10.1109/ASPDAC.2018.8297395},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SunHZQHGYL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SunPCLSY18,
  author       = {Xiaoyu Sun and
                  Xiaochen Peng and
                  Pai{-}Yu Chen and
                  Rui Liu and
                  Jae{-}sun Seo and
                  Shimeng Yu},
  editor       = {Youngsoo Shin},
  title        = {Fully parallel {RRAM} synaptic array for implementing binary neural
                  network with (+1, -1) weights and (+1, 0) neurons},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {574--579},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297384},
  doi          = {10.1109/ASPDAC.2018.8297384},
  timestamp    = {Wed, 26 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SunPCLSY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SunSZT18,
  author       = {Zeyu Sun and
                  Sheriff Sadiqbatcha and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  editor       = {Youngsoo Shin},
  title        = {Accelerating electromigration aging for fast failure detection for
                  nanometer ICs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {623--630},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297392},
  doi          = {10.1109/ASPDAC.2018.8297392},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/SunSZT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanEK18,
  author       = {Chuan Yean Tan and
                  Rickard Ewetz and
                  Cheng{-}Kok Koh},
  editor       = {Youngsoo Shin},
  title        = {Clustering of flip-flops for useful-skew clock tree synthesis},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {507--512},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297374},
  doi          = {10.1109/ASPDAC.2018.8297374},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanEK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TianWLYMX18,
  author       = {Zhongyuan Tian and
                  Zhe Wang and
                  Haoran Li and
                  Peng Yang and
                  Rafael Kioji Vivas Maeda and
                  Jiang Xu},
  editor       = {Youngsoo Shin},
  title        = {Multi-device collaborative management through knowledge sharing},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {22--27},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297277},
  doi          = {10.1109/ASPDAC.2018.8297277},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/TianWLYMX18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TilleGPGS18,
  author       = {Daniel Tille and
                  Benedikt Gottinger and
                  Ulrike Pfannkuchen and
                  Helmut Graeb and
                  Ulf Schlichtmann},
  editor       = {Youngsoo Shin},
  title        = {On enabling diagnosis for 1-Pin Test fails in an industrial flow},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {233--238},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297311},
  doi          = {10.1109/ASPDAC.2018.8297311},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/TilleGPGS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/UysalE18,
  author       = {Necati Uysal and
                  Rickard Ewetz},
  editor       = {Youngsoo Shin},
  title        = {{OCV} guided clock tree topology reconstruction},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {494--499},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297372},
  doi          = {10.1109/ASPDAC.2018.8297372},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/UysalE18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangC018,
  author       = {Chenguang Wang and
                  Yici Cai and
                  Qiang Zhou},
  editor       = {Youngsoo Shin},
  title        = {{HLIFT:} {A} high-level information flow tracking method for detecting
                  hardware Trojans},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {727--732},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297408},
  doi          = {10.1109/ASPDAC.2018.8297408},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangC018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangCQL18,
  author       = {Wenxuan Wang and
                  Aijiao Cui and
                  Gang Qu and
                  Huawei Li},
  editor       = {Youngsoo Shin},
  title        = {A low-overhead {PUF} based on parallel scan design},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {715--720},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297406},
  doi          = {10.1109/ASPDAC.2018.8297406},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangCQL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangCYADQC18,
  author       = {Tian Wang and
                  Xiaoxin Cui and
                  Dunshan Yu and
                  Omid Aramoon and
                  Timothy Dunlap and
                  Gang Qu and
                  Xiaole Cui},
  editor       = {Youngsoo Shin},
  title        = {Polymorphic gate based {IC} watermarking techniques},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {90--96},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297288},
  doi          = {10.1109/ASPDAC.2018.8297288},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangCYADQC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangCZW18,
  author       = {Chenguang Wang and
                  Yici Cai and
                  Qiang Zhou and
                  Haoyi Wang},
  editor       = {Youngsoo Shin},
  title        = {{ASAX:} Automatic security assertion extraction for detecting Hardware
                  Trojans},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {84--89},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297287},
  doi          = {10.1109/ASPDAC.2018.8297287},
  timestamp    = {Thu, 06 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangCZW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WangZCQ18,
  author       = {Xueyan Wang and
                  Qiang Zhou and
                  Yici Cai and
                  Gang Qu},
  editor       = {Youngsoo Shin},
  title        = {A conflict-free approach for parallelizing SAT-based de-camouflaging
                  attacks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {259--264},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297315},
  doi          = {10.1109/ASPDAC.2018.8297315},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WangZCQ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuLLLKC18,
  author       = {Kuan{-}Te Wu and
                  Jin{-}Fu Li and
                  Chih{-}Yen Lo and
                  Jenn{-}Shiang Lai and
                  Ding{-}Ming Kwai and
                  Yung{-}Fa Chou},
  editor       = {Youngsoo Shin},
  title        = {A channel-sharable built-in self-test scheme for multi-channel DRAMs},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {245--250},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297313},
  doi          = {10.1109/ASPDAC.2018.8297313},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuLLLKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/WuSWHFBC18,
  author       = {Rui Wu and
                  M. Ashkan Seyedi and
                  Yuyang Wang and
                  Jared Hulme and
                  Marco Fiorentino and
                  Raymond G. Beausoleil and
                  Kwang{-}Ting Cheng},
  editor       = {Youngsoo Shin},
  title        = {Pairing of microring-based silicon photonic transceivers for tuning
                  power optimization},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {135--140},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297295},
  doi          = {10.1109/ASPDAC.2018.8297295},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/WuSWHFBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Xiong18,
  author       = {Jinjun Xiong},
  editor       = {Youngsoo Shin},
  title        = {Tutorial-1: Machine learning and deep learning},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297269},
  doi          = {10.1109/ASPDAC.2018.8297269},
  timestamp    = {Sun, 25 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/Xiong18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XuWHL18,
  author       = {Sheng Xu and
                  Ying Wang and
                  Yinhe Han and
                  Xiaowei Li},
  editor       = {Youngsoo Shin},
  title        = {{PIMCH:} Cooperative memory prefetching in processing-in-memory architecture},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {209--214},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297307},
  doi          = {10.1109/ASPDAC.2018.8297307},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/XuWHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangUS18,
  author       = {Tongxin Yang and
                  Tomoaki Ukezono and
                  Toshinori Sato},
  editor       = {Youngsoo Shin},
  title        = {A low-power high-speed accuracy-controllable approximate multiplier
                  design},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {605--610},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297389},
  doi          = {10.1109/ASPDAC.2018.8297389},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangUS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YooCKYLC18,
  author       = {Seyeon Yoo and
                  Seojin Choi and
                  Juyeop Kim and
                  Heein Yoon and
                  Yongsun Lee and
                  Jaehyouk Choi},
  editor       = {Youngsoo Shin},
  title        = {Injection-locked frequency multiplier with a continuous frequency-tracking
                  loop for 5G transceivers},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {303--304},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297331},
  doi          = {10.1109/ASPDAC.2018.8297331},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YooCKYLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuF18,
  author       = {Tao{-}Chun Yu and
                  Shao{-}Yun Fang},
  editor       = {Youngsoo Shin},
  title        = {Flip-chip routing with {IO} planning considering practical pad assignment
                  constraints},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {521--526},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297376},
  doi          = {10.1109/ASPDAC.2018.8297376},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuL18,
  author       = {Handi Yu and
                  Xin Li},
  editor       = {Youngsoo Shin},
  title        = {Intelligent corner synthesis via cycle-consistent generative adversarial
                  networks for efficient validation of autonomous driving systems},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {9--15},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297275},
  doi          = {10.1109/ASPDAC.2018.8297275},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangDH18,
  author       = {Boyu Zhang and
                  Azadeh Davoodi and
                  Yu Hen Hu},
  editor       = {Youngsoo Shin},
  title        = {Exploring energy and accuracy tradeoff in structure simplification
                  of trained deep neural networks},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {331--336},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297345},
  doi          = {10.1109/ASPDAC.2018.8297345},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangDH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangZHYS18,
  author       = {Hongbin Zhang and
                  Chao Zhang and
                  Qingda Hu and
                  Chengmo Yang and
                  Jiwu Shu},
  editor       = {Youngsoo Shin},
  title        = {Performance analysis on structure of racetrack memory},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {367--374},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297351},
  doi          = {10.1109/ASPDAC.2018.8297351},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangZHYS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangZWK18,
  author       = {Zhifeng Zhang and
                  Dajiang Zhou and
                  Shihao Wang and
                  Shinji Kimura},
  editor       = {Youngsoo Shin},
  title        = {Quad-multiplier packing based on customized floating point for convolutional
                  neural networks on {FPGA}},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {184--189},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297303},
  doi          = {10.1109/ASPDAC.2018.8297303},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangZWK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhaoWCZL18,
  author       = {Pu Zhao and
                  Yanzhi Wang and
                  Naehyuck Chang and
                  Qi Zhu and
                  Xue Lin},
  editor       = {Youngsoo Shin},
  title        = {A deep reinforcement learning framework for optimizing fuel economy
                  of hybrid electric vehicles},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {196--202},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297305},
  doi          = {10.1109/ASPDAC.2018.8297305},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhaoWCZL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhaoWYDCP18,
  author       = {Zheng Zhao and
                  Zheng Wang and
                  Zhoufeng Ying and
                  Shounak Dhar and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {Logic synthesis for energy-efficient photonic integrated circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {355--360},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297349},
  doi          = {10.1109/ASPDAC.2018.8297349},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhaoWYDCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouSSZT18,
  author       = {Han Zhou and
                  Yijing Sun and
                  Zeyu Sun and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  editor       = {Youngsoo Shin},
  title        = {Electromigration-lifetime constrained power grid optimization considering
                  multi-segment interconnect wires},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {399--404},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297356},
  doi          = {10.1109/ASPDAC.2018.8297356},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouSSZT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZouWLL18,
  author       = {Kaiwei Zou and
                  Ying Wang and
                  Huawei Li and
                  Xiaowei Li},
  editor       = {Youngsoo Shin},
  title        = {XORiM: {A} case of in-memory bit-comparator implementation and its
                  performance implications},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {349--354},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297348},
  doi          = {10.1109/ASPDAC.2018.8297348},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZouWLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZulehnerW18,
  author       = {Alwin Zulehner and
                  Robert Wille},
  editor       = {Youngsoo Shin},
  title        = {Exploiting coding techniques for logic synthesis of reversible circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {670--675},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297399},
  doi          = {10.1109/ASPDAC.2018.8297399},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZulehnerW18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/aspdac/2018,
  editor       = {Youngsoo Shin},
  title        = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8291862/proceeding},
  isbn         = {978-1-5090-0602-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics