Search dblp for Publications

export results for "toc:db/journals/integration/integration76.bht:"

 download as .bib file

@article{DBLP:journals/integration/AbelNG21,
  author       = {Inga Abel and
                  Maximilian Neuner and
                  Helmut Graeb},
  title        = {{COPRICSI:} COnstraint-PRogrammed Initial Circuit SIzing},
  journal      = {Integr.},
  volume       = {76},
  pages        = {148--158},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.002},
  doi          = {10.1016/J.VLSI.2020.10.002},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/AbelNG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/AryaSPS21,
  author       = {Neelam Arya and
                  Teena Soni and
                  Manisha Pattanaik and
                  G. K. Sharma},
  title        = {{READ:} {A} fixed restoring array based accuracy-configurable approximate
                  divider for energy efficiency},
  journal      = {Integr.},
  volume       = {76},
  pages        = {1--12},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.08.002},
  doi          = {10.1016/J.VLSI.2020.08.002},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/AryaSPS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/BhattacharjeeBN21,
  author       = {Anirban Bhattacharjee and
                  Chandan Bandyopadhyay and
                  Philipp Niemann and
                  Bappaditya Mondal and
                  Rolf Drechsler and
                  Hafizur Rahaman},
  title        = {An improved heuristic technique for nearest neighbor realization of
                  quantum circuits in 2D architecture},
  journal      = {Integr.},
  volume       = {76},
  pages        = {40--54},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.003},
  doi          = {10.1016/J.VLSI.2020.09.003},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/BhattacharjeeBN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/ChentoufSI21,
  author       = {Mohamed Chentouf and
                  Foffie Stevmelin and
                  Zine El Abidine Alaoui Ismaili},
  title        = {Power-aware hold optimization for {ASIC} physical synthesis},
  journal      = {Integr.},
  volume       = {76},
  pages        = {13--24},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.08.003},
  doi          = {10.1016/J.VLSI.2020.08.003},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/ChentoufSI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Garcia-HerreroS21,
  author       = {Francisco Garcia{-}Herrero and
                  Alfonso S{\'{a}}nchez{-}Maci{\'{a}}n and
                  Juan Antonio Maestro},
  title        = {Low delay non-binary error correction codes based on Orthogonal Latin
                  Squares},
  journal      = {Integr.},
  volume       = {76},
  pages        = {55--60},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.004},
  doi          = {10.1016/J.VLSI.2020.09.004},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/Garcia-HerreroS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/HerzelEF21,
  author       = {Frank Herzel and
                  Arzu Ergintav and
                  Gunter Fischer},
  title        = {A novel approach to fractional-N PLLs generating ultra-fast low-noise
                  chirps for {FMCW} radar},
  journal      = {Integr.},
  volume       = {76},
  pages        = {139--147},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.009},
  doi          = {10.1016/J.VLSI.2020.09.009},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/HerzelEF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/LeeKLGKC21,
  author       = {Young Seo Lee and
                  Kyung Min Kim and
                  Ji Heon Lee and
                  Young{-}Ho Gong and
                  Seon Wook Kim and
                  Sung Woo Chung},
  title        = {Monolithic 3D stacked multiply-accumulate units},
  journal      = {Integr.},
  volume       = {76},
  pages        = {183--189},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.006},
  doi          = {10.1016/J.VLSI.2020.10.006},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/LeeKLGKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/MoustaphaHTE21,
  author       = {El Bakkali Moustapha and
                  Elftouh Hanae and
                  Naima Amar Touhami and
                  Taj{-}eddin Elhamadi},
  title        = {2.3-21 GHz broadband and high linearity distributed low noise amplifier},
  journal      = {Integr.},
  volume       = {76},
  pages        = {61--68},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.005},
  doi          = {10.1016/J.VLSI.2020.09.005},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/MoustaphaHTE21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/NarayanasamyGM21,
  author       = {Poornima Narayanasamy and
                  Seetharaman Gopalakrishnan and
                  Santhi Muthurathinam},
  title        = {Custom NoC topology generation using Discrete Antlion Trapping Mechanism},
  journal      = {Integr.},
  volume       = {76},
  pages        = {76--86},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.001},
  doi          = {10.1016/J.VLSI.2020.09.001},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/NarayanasamyGM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/OhlingerMFS21,
  author       = {Daniel {\"{O}}hlinger and
                  J{\"{u}}rgen Maier and
                  Matthias F{\"{u}}gger and
                  Ulrich Schmid},
  title        = {The Involution Tool for Accurate Digital Timing and Power Analysis},
  journal      = {Integr.},
  volume       = {76},
  pages        = {87--98},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.007},
  doi          = {10.1016/J.VLSI.2020.09.007},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/OhlingerMFS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Pierre21,
  author       = {Laurence Pierre},
  title        = {Refinement rules for the automatic TLM-to-RTL conversion of temporal
                  assertions},
  journal      = {Integr.},
  volume       = {76},
  pages        = {190--204},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.06.003},
  doi          = {10.1016/J.VLSI.2020.06.003},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/Pierre21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RajeshP21,
  author       = {Kolluri Rajesh and
                  Sumanta Pyne},
  title        = {Invasive weed optimization based scheduling for digital microfluidic
                  biochip operations},
  journal      = {Integr.},
  volume       = {76},
  pages        = {122--134},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.003},
  doi          = {10.1016/J.VLSI.2020.10.003},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/RajeshP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Rashidi21,
  author       = {Bahram Rashidi},
  title        = {Compact and efficient structure of 8-bit S-box for lightweight cryptography},
  journal      = {Integr.},
  volume       = {76},
  pages        = {172--182},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.009},
  doi          = {10.1016/J.VLSI.2020.10.009},
  timestamp    = {Fri, 08 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/Rashidi21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RoyP21,
  author       = {Suvajit Roy and
                  Radha Raman Pal},
  title        = {Electronically tunable third-order dual-mode quadrature sinusoidal
                  oscillators employing VDCCs and all grounded components},
  journal      = {Integr.},
  volume       = {76},
  pages        = {99--112},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.006},
  doi          = {10.1016/J.VLSI.2020.09.006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/RoyP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/SGL21,
  author       = {Bibin Sam Paul S and
                  Antony Xavier Glittas and
                  Gopalakrishnan Lakshminarayanan},
  title        = {A low latency modular-level deeply integrated {MFCC} feature extraction
                  architecture for speech recognition},
  journal      = {Integr.},
  volume       = {76},
  pages        = {69--75},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.002},
  doi          = {10.1016/J.VLSI.2020.09.002},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/SGL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/SadiM21,
  author       = {Mohamad Hasani Sadi and
                  Ali Mahani},
  title        = {Accelerating Deep Convolutional Neural Network base on stochastic
                  computing},
  journal      = {Integr.},
  volume       = {76},
  pages        = {113--121},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.09.008},
  doi          = {10.1016/J.VLSI.2020.09.008},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/SadiM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/SharmaPPMS21,
  author       = {Kulbhushan Sharma and
                  Anisha Pathania and
                  Rahul Pandey and
                  Jaya Madan and
                  Rajnish Sharma},
  title        = {{MOS} based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance
                  for biomedical applications: Analysis and proof of concept},
  journal      = {Integr.},
  volume       = {76},
  pages        = {25--39},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.08.001},
  doi          = {10.1016/J.VLSI.2020.08.001},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/SharmaPPMS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/TarafdarMBB21,
  author       = {Anirban Tarafdar and
                  Abir J. Mondal and
                  Uttam Kumar Bera and
                  Bidyut K. Bhattacharyya},
  title        = {A {PVT} aware differential delay circuit and its performance variation
                  due to power supply noise},
  journal      = {Integr.},
  volume       = {76},
  pages        = {159--171},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.004},
  doi          = {10.1016/J.VLSI.2020.10.004},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/TarafdarMBB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/ValinatajA21,
  author       = {Mojtaba Valinataj and
                  Zahra Yazdanian Amiri},
  title        = {Comments on "Improved designs of digit-by-digit decimal multiplier"},
  journal      = {Integr.},
  volume       = {76},
  pages        = {135--138},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.vlsi.2020.10.005},
  doi          = {10.1016/J.VLSI.2020.10.005},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/ValinatajA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics