Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/ieiceee/ieiceee9.bht:"
@article{DBLP:journals/ieiceee/AbdollahiJ12, author = {Reza Abdollahi and Ali Jahanian}, title = {Improved timing closure by analytical buffer and {TSV} planning in three-dimensional chips}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1849--1854}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1849}, doi = {10.1587/ELEX.9.1849}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AbdollahiJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbeSYT12, author = {Shin{-}ya Abe and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, title = {MH\({}^{\mbox{4}}\) : multiple-supply-voltages aware high-level synthesis for high-integrated and high-frequency circuits for {HDR} architectures}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1414--1422}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1414}, doi = {10.1587/ELEX.9.1414}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AbeSYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbrarHRMKSA12, author = {Shafayat Abrar and Riaz Hussain and Raja Ali Riaz and Shahzad Ali Malik and Shahid A. Khan and Ghufran Shafiq and Ahmed Saeed}, title = {A new method for handover triggering condition estimation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {378--384}, year = {2012}, url = {https://doi.org/10.1587/elex.9.378}, doi = {10.1587/ELEX.9.378}, timestamp = {Fri, 28 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AbrarHRMKSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbrishamifarKN12, author = {Adib Abrishamifar and Yasha Karimi and Mir Mohammad Navidi}, title = {Current controlled current differential current conveyor: a novel building block for analog signal processing}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {104--110}, year = {2012}, url = {https://doi.org/10.1587/elex.9.104}, doi = {10.1587/ELEX.9.104}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AbrishamifarKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AghababaESMF12, author = {Hossein Aghababa and Behzad Ebrahimi and Mehdi Saremi and Vahid Moalemi and Behjat Forouzandeh}, title = {{G4-FET} modeling for circuit simulation by adaptive neuro-fuzzy training systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {881--887}, year = {2012}, url = {https://doi.org/10.1587/elex.9.881}, doi = {10.1587/ELEX.9.881}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AghababaESMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AkbariMEI12, author = {Mohsen Akbari and Mohsen Riahi Manesh and Ayman A. El{-}Saleh and Mahamod Ismail}, title = {Improved soft fusion-based cooperative spectrum sensing using particle swarm optimization}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {436--442}, year = {2012}, url = {https://doi.org/10.1587/elex.9.436}, doi = {10.1587/ELEX.9.436}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AkbariMEI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AkinagaS12, author = {Hiro Akinaga and Hisashi Shima}, title = {ReRAM technology; challenges and prospects}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {795--807}, year = {2012}, url = {https://doi.org/10.1587/elex.9.795}, doi = {10.1587/ELEX.9.795}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AkinagaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Al-KhafajiAAF12, author = {Hamza M. R. Al{-}Khafaji and Syed Alwee Aljunid and Angela Amphawan and Hilal Adnan Fadhil}, title = {Improving spectral efficiency of {SAC-OCDMA} systems by {SPD} scheme}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1829--1834}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1829}, doi = {10.1587/ELEX.9.1829}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Al-KhafajiAAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AminKLCZT12, author = {Yasar Amin and Rajeev Kumar Kanth and Pasi Liljeberg and Qiang Chen and Li{-}Rong Zheng and Hannu Tenhunen}, title = {Green wideband {RFID} tag antenna for supply chain applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1861--1866}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1861}, doi = {10.1587/ELEX.9.1861}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AminKLCZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AmirhoseinyHS12, author = {Maryam Amirhoseiny and Zainuriah Hassan and Ng ShaShiong}, title = {Optical properties of photo-electrochemical etching of anisotropic silicon {(110)}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {752--757}, year = {2012}, url = {https://doi.org/10.1587/elex.9.752}, doi = {10.1587/ELEX.9.752}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AmirhoseinyHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AnK12, author = {Jinyoung An and Sangchoon Kim}, title = {Erratum: An improved {UWB} receiver employing generalized normal-Laplacian distribution model {[IEICE} Electronics Express Vol.8 (2011), No 18 pp 1505-1510]}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1081}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1081}, doi = {10.1587/ELEX.9.1081}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AnK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AnandKPKY12, author = {Awinash Anand and Nischal Koirala and Ramesh K. Pokharel and Haruichi Kanaya and Keiji Yoshida}, title = {Analytical method to determine optimal out-of-band gain in multi-bit delta-sigma modulator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1598--1603}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1598}, doi = {10.1587/ELEX.9.1598}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AnandKPKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AokiTTH12, author = {Makoto Aoki and Saroj R. Tripathi and Masanori Takeda and Norihisa Hiromoto}, title = {Passive imaging and emissivity measurement with a 4K-cryocooled terahertz photoconductive detector}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {333--338}, year = {2012}, url = {https://doi.org/10.1587/elex.9.333}, doi = {10.1587/ELEX.9.333}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AokiTTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ArfanKK12, author = {Abdul Arfan and Young{-}Jin Kim and Jin Baek Kwon}, title = {Access time-aware cache algorithm for {SATA} hard disks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1707--1713}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1707}, doi = {10.1587/ELEX.9.1707}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ArfanKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsakuraNKHT12, author = {Hideaki Asakura and Keiichi Nashimoto and David Kudzuma and Masahiko Hashimoto and Hiroyuki Tsuda}, title = {200-GHz spacing, 8ch, high-speed wavelength selective arrayed-waveguide grating using buried {PLZT} waveguides}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {712--717}, year = {2012}, url = {https://doi.org/10.1587/elex.9.712}, doi = {10.1587/ELEX.9.712}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsakuraNKHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsgariH12, author = {Mohammad Reza Asgari and Omid Hashemipour}, title = {A low-variation on-resistance {CMOS} sampling switch for high-speed high-performance applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {339--345}, year = {2012}, url = {https://doi.org/10.1587/elex.9.339}, doi = {10.1587/ELEX.9.339}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsgariH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Azurdia-MezaLL12, author = {Cesar A. Azurdia{-}Meza and Kyujin Lee and Kyesan Lee}, title = {{PAPR} reduction by pulse shaping using Nyquist linear combination pulses}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1534--1541}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1534}, doi = {10.1587/ELEX.9.1534}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Azurdia-MezaLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaiGYW12, author = {Luying Bai and Huaxi Gu and Yintang Yang and Kun Wang}, title = {A crosstalk aware routing algorithm for Benes ONoC}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1069--1074}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1069}, doi = {10.1587/ELEX.9.1069}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaiGYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BalamuruganGASMM12, author = {S. Balamurugan and Sneha Ghosh and Atul and Balakumaran Srirangaswamy and R. Marimuthu and Partha Sharathi Mallick}, title = {Design of low power fixed-width multiplier with row bypassing}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1568--1575}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1568}, doi = {10.1587/ELEX.9.1568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BalamuruganGASMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BandalaJ12, author = {Manuel Bandala and Malcolm John Joyce}, title = {Photon radiation testing of commercially available off-the-shelf microcontroller devices}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {397--402}, year = {2012}, url = {https://doi.org/10.1587/elex.9.397}, doi = {10.1587/ELEX.9.397}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BandalaJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BeigizadehN12, author = {Mohammad Beigizadeh and Abdolreza Nabavi}, title = {UWB, high gain and highly linear Gilbert-Cell mixer in K-band}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1786--1791}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1786}, doi = {10.1587/ELEX.9.1786}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BeigizadehN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Belostotski12, author = {Leonid Belostotski}, title = {Comparison of LNAs fabricated in 65-nm {CMOS} {GP} and {LP} processes for the Square Kilometre Array}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {636--641}, year = {2012}, url = {https://doi.org/10.1587/elex.9.636}, doi = {10.1587/ELEX.9.636}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Belostotski12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BouvierSNMKI12, author = {Yves Bouvier and Kimikazu Sano and Munehiko Nagatani and Koichi Murata and Kenji Kurishima and Minoru Ida}, title = {A low-power wideband InP-HBT 2\({}^{\mbox{7}}\)-1 {PRBS} generator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1504--1509}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1504}, doi = {10.1587/ELEX.9.1504}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BouvierSNMKI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BushnaqIA12, author = {Sanad Bushnaq and Makoto Ikeda and Kunihiro Asada}, title = {All-digital tunable power amplifier consuming 0.03mW/MHz using 0.18{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1057--1061}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1057}, doi = {10.1587/ELEX.9.1057}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BushnaqIA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ByunSK12, author = {Sangjin Byun and Chung Hwan Son and Jae Joon Kim}, title = {Simple odd number frequency divider with 50{\%} duty cycle}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1249--1253}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1249}, doi = {10.1587/ELEX.9.1249}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ByunSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CampoMPCOF12, author = {Fernando Martin del Campo and Alicia Morales{-}Reyes and Roberto Perez{-}Andrade and Ren{\'{e}} Cumplido and Aldo G. Orozco{-}Lugo and Claudia Feregrino}, title = {A multi-cycle fixed point square root module for FPGAs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {971--977}, year = {2012}, url = {https://doi.org/10.1587/elex.9.971}, doi = {10.1587/ELEX.9.971}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CampoMPCOF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangY12, author = {Ik Joon Chang and Joon{-}Sung Yang}, title = {Bit-error rate improvement of {TLC} {NAND} Flash using state re-ordering}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1775--1779}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1775}, doi = {10.1587/ELEX.9.1775}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenFZJ12, author = {Ze{-}zong Chen and Lin{-}gang Fan and Chen Zhao and Yan Jin}, title = {Ocean wave directional spectrum measurement using microwave coherent radar with six antennas}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1542--1549}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1542}, doi = {10.1587/ELEX.9.1542}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenFZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenYHZJ12, author = {Zhenhai Chen and Zongguang Yu and Songren Huang and Hong Zhang and Huicai Ji}, title = {A {PVT} insensitive boosted charge transfer for high speed charge-domain pipelined ADCs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {565--571}, year = {2012}, url = {https://doi.org/10.1587/elex.9.565}, doi = {10.1587/ELEX.9.565}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenYHZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Cho12, author = {Kyung{-}Ju Cho}, title = {Efficient unsigned squarer design techniques}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {422--428}, year = {2012}, url = {https://doi.org/10.1587/elex.9.422}, doi = {10.1587/ELEX.9.422}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Cho12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoHY12, author = {Seung{-}Il Cho and Tomochika Harada and Michio Yokoyama}, title = {Design of the ultra low-power synchronizer using {ADCL} buffer for adiabatic logic}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1576--1585}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1576}, doi = {10.1587/ELEX.9.1576}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoKSKPH12, author = {Seongjae Cho and Hyungjin Kim and Min{-}Chul Sun and In Man Kang and Byung{-}Gook Park and James S. Harris Jr.}, title = {Simulation study on scaling limit of silicon tunneling field-effect transistor under tunneling-predominance}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {828--833}, year = {2012}, url = {https://doi.org/10.1587/elex.9.828}, doi = {10.1587/ELEX.9.828}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoKSKPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoLK12, author = {Kunhee Cho and Sung{-}Pah Lee and Duckki Kwon}, title = {A high voltage half bridge gate driver with mismatch-insensitive dead-time generator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1322--1328}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1322}, doi = {10.1587/ELEX.9.1322}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChuHH12, author = {Slo{-}Li Chu and Chih{-}Chieh Hsiao and Chiu{-}Cheng Hsieh}, title = {Demand-driven register file for multithreaded mobile GPUs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1562--1567}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1562}, doi = {10.1587/ELEX.9.1562}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChuHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Chung12, author = {Gwo Chin Chung}, title = {Performance of a recursive {MBER} decision feedback equalizer in long multipath channel}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1201--1207}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1201}, doi = {10.1587/ELEX.9.1201}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Chung12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChungCHNK12, author = {Jong{-}Moon Chung and Hyung{-}Weon Cho and Donghyuk Han and Sang{-}Joon Nam and Gwan{-}Joong Kim}, title = {Minimum jitter probability routing for DiffServ wireless mesh networks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {92--97}, year = {2012}, url = {https://doi.org/10.1587/elex.9.92}, doi = {10.1587/ELEX.9.92}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChungCHNK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChungKY12, author = {Won{-}Sup Chung and Myeong{-}Kyun Kim and Oh Yang}, title = {Simple and high-sensitive resistance-to-time converter using current-mode Schmitt triggers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1867--1873}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1867}, doi = {10.1587/ELEX.9.1867}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChungKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChungSH12, author = {Ching{-}Che Chung and Duo Sheng and Ning{-}Mi Hsueh}, title = {A high-performance wear-leveling algorithm for flash memory system}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1874--1880}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1874}, doi = {10.1587/ELEX.9.1874}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChungSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Dabbagh-Sadeghipour12, author = {Khosrov Dabbagh{-}Sadeghipour}, title = {An accurate track-and-latch comparator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {808--814}, year = {2012}, url = {https://doi.org/10.1587/elex.9.808}, doi = {10.1587/ELEX.9.808}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Dabbagh-Sadeghipour12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DacunaMP12, author = {Javier Dacu{\~{n}}a and Joan Meli{\`{a}}{-}Segu{\'{\i}} and Rafael Pous}, title = {Multi-tag spatial multiplexing in {UHF} {RFID} systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1701--1706}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1701}, doi = {10.1587/ELEX.9.1701}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DacunaMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DaiCL12, author = {Huanyao Dai and YuLiang Chang and Jinliang Li}, title = {A new polarization estimation method based on spatial polarization characteristic of antenna}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {902--907}, year = {2012}, url = {https://doi.org/10.1587/elex.9.902}, doi = {10.1587/ELEX.9.902}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DaiCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuYXXW12, author = {Zhengfeng Du and Dongfeng Yuan and Hailiang Xiong and Hongji Xu and Deqiang Wang}, title = {Iterative receiver design for general nonorthogonal unitary space-time constellations}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {464--469}, year = {2012}, url = {https://doi.org/10.1587/elex.9.464}, doi = {10.1587/ELEX.9.464}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuYXXW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuraiswamyLBVVT12, author = {Punithavathi Duraiswamy and Xiao Li and Johan Bauwelinck and Jan Vandewege and Peter Vaes and Stephanie Teughels}, title = {Synchronous delay based {UWB} pulse generator in {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {868--873}, year = {2012}, url = {https://doi.org/10.1587/elex.9.868}, doi = {10.1587/ELEX.9.868}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuraiswamyLBVVT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ElyasiJN12, author = {Hedieh Elyasi and Abumoslem Jannesari and Abdolreza Nabavi}, title = {A merged {LNA} and mixer with improved noise figure and gain for software defined radio applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {165--171}, year = {2012}, url = {https://doi.org/10.1587/elex.9.165}, doi = {10.1587/ELEX.9.165}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ElyasiJN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/EsmaeildoustNTMK12, author = {Mohammad Esmaeildoust and Keivan Navi and MohammadReza Taheri and Amir Sabbagh Molahosseini and Siavash Khodambashi}, title = {Efficient {RNS} to binary converters for the new 4-moduli set \{2\({}^{\mbox{\emph{n}}}\), 2\({}^{\mbox{\emph{n}+1}}\)-1, 2\({}^{\mbox{\emph{n}}}\)-1, 2\({}^{\mbox{\emph{n}-1}}\)-1\}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {1}, pages = {1--7}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1}, doi = {10.1587/ELEX.9.1}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/EsmaeildoustNTMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FallahzadehHTS12, author = {Saeed Fallahzadeh and Hossein Hatefi and Majid Tayarani and Javad Soleiman{-}Meiguni}, title = {A compact and low-loss band-pass waveguide resonator using higher order resonance of {CSRR}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {122--126}, year = {2012}, url = {https://doi.org/10.1587/elex.9.122}, doi = {10.1587/ELEX.9.122}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FallahzadehHTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FathiAFT12, author = {Amir Fathi and Sarkis Azizian and Rahim Fathi and Habib Ghasemizadeh Tamar}, title = {Low latency, glitch-free booth encoder-decoder for high speed multipliers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1335--1341}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1335}, doi = {10.1587/ELEX.9.1335}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FathiAFT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujiiTFM12, author = {Katsumi Fujii and Toshihide Tosaka and Kaori Fukunaga and Yasushi Matsumoto}, title = {{RF} power measurement in D-band using down-converter calibrated by three-mixer method}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1096--1101}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1096}, doi = {10.1587/ELEX.9.1096}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FujiiTFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujiiTFM12a, author = {Katsumi Fujii and Toshihide Tosaka and Kaori Fukunaga and Yasushi Matsumoto}, title = {Erratum: {RF} power measurement in D-band using down-converter calibrated by three-mixer method {[IEICE} Electronics Express Vol.9 (2012), No 13 pp 1096-1101]}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1368}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1368}, doi = {10.1587/ELEX.9.1368}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujiiTFM12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Fujimaki12, author = {Akira Fujimaki}, title = {Advancement of superconductor digital electronics}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1720--1734}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1720}, doi = {10.1587/ELEX.9.1720}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Fujimaki12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Fujisaki12, author = {Yoshihisa Fujisaki}, title = {Overview of emerging semiconductor non-volatile memories}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {908--925}, year = {2012}, url = {https://doi.org/10.1587/elex.9.908}, doi = {10.1587/ELEX.9.908}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Fujisaki12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujiwaraSTIIY12, author = {Masamichi Fujiwara and Ken{-}Ichi Suzuki and Katsuhisa Taguchi and Takeshi Imai and Hiroshi Ishii and Naoto Yoshimoto}, title = {1G / 10G coexistence long-reach {PON} system using {ALC} burst-mode SOAs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {371--377}, year = {2012}, url = {https://doi.org/10.1587/elex.9.371}, doi = {10.1587/ELEX.9.371}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujiwaraSTIIY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FukanoMT12, author = {Hideki Fukano and Yoshiki Matsumoto and Shuji Taue}, title = {High-sensitivity optical fiber refractive index sensor using multimode interference structure}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {302--306}, year = {2012}, url = {https://doi.org/10.1587/elex.9.302}, doi = {10.1587/ELEX.9.302}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FukanoMT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FunakiHUS12, author = {Tsuyoshi Funaki and Makiko Hirano and Hitoshi Umezawa and Shinichi Shikata}, title = {High temperature switching operation of a power diamond Schottky barrier diode}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1835--1841}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1835}, doi = {10.1587/ELEX.9.1835}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FunakiHUS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FutatsumoriKY12, author = {Shunichi Futatsumori and Akiko Kohmura and Naruto Yonemoto}, title = {Microwave shielding and polarization characteristics of carbon fiber reinforced plastic laminates with unidirectional materials}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {531--537}, year = {2012}, url = {https://doi.org/10.1587/elex.9.531}, doi = {10.1587/ELEX.9.531}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FutatsumoriKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GhaffariB12, author = {Ali Ghaffari and Saeid Bahanfar}, title = {Positive virtual based geographic routing for wireless sensor networks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {185--192}, year = {2012}, url = {https://doi.org/10.1587/elex.9.185}, doi = {10.1587/ELEX.9.185}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/GhaffariB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GharavianPS12, author = {Davood Gharavian and Reza Pardis and Mansour Sheikhan}, title = {{ZEBRA} battery {SOC} estimation using PSO-optimized hybrid neural model considering aging effect}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1115--1121}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1115}, doi = {10.1587/ELEX.9.1115}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GharavianPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GhasemiSMKN12, author = {Mehdi Ghasemi and Mahya Sam and Mohammad Hossein Moaiyeri and Fatemeh Khosravi and Keivan Navi}, title = {A new {SPICE} model for organic molecular transistors and a novel hybrid architecture}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {926--931}, year = {2012}, url = {https://doi.org/10.1587/elex.9.926}, doi = {10.1587/ELEX.9.926}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/GhasemiSMKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GoharriziHH12, author = {Azita Zandi Goharrizi and Zainuriah Hassan and Haslan Abu Hassan}, title = {The effect of oxide aperture diameter on the electrical characteristics of the GaN-based vertical cavity surface emitting laser}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {179--184}, year = {2012}, url = {https://doi.org/10.1587/elex.9.179}, doi = {10.1587/ELEX.9.179}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GoharriziHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GolsorkhtabaramiriHGH12, author = {Mehdi Golsorkhtabaramiri and Mehdi Hosseinzadeh and Ali Golsorkhtabaramiri and Saeed Rasouli Heikalabad}, title = {{HCABS:} The hierarchical clustering algorithm based on soft threshold and cluster member bounds for wireless sensor networks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {685--690}, year = {2012}, url = {https://doi.org/10.1587/elex.9.685}, doi = {10.1587/ELEX.9.685}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GolsorkhtabaramiriHGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Gurrola-NavarroMF12, author = {Marco Antonio Gurrola{-}Navarro and Agust{\'{\i}}n Santiago Medina{-}V{\'{a}}zquez and Guillermo Espinosa Flores{-}Verdad}, title = {Approximations of the inverse wavelet transform for analogue circuits}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1823--1828}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1823}, doi = {10.1587/ELEX.9.1823}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Gurrola-NavarroMF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanO12, author = {Huiseong Han and Shun'ichiro Ohmi}, title = {Hafnium-nitride gate insulator formed by electron-cyclotron-resonance plasma sputtering}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1329--1334}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1329}, doi = {10.1587/ELEX.9.1329}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanZLXZZYHCZ12, author = {Jun Han and Xingxing Zhang and Yi Li and Baoyu Xiong and Yuejun Zhang and Zhang Zhang and Zhiyi Yu and Xu Cheng and Xiaoyang Zeng}, title = {A 64{\texttimes}32bit 4-read 2-write low power and area efficient register file in 65nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1355--1361}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1355}, doi = {10.1587/ELEX.9.1355}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanZLXZZYHCZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanifAU12, author = {Amin Gul Hanif and Takuji Arima and Toru Uno}, title = {New derived finite-difference frequency-domain method used for band structure analysis of 2-D {EBG} structure composed of Drude-type dispersive media}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {951--957}, year = {2012}, url = {https://doi.org/10.1587/elex.9.951}, doi = {10.1587/ELEX.9.951}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HanifAU12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HassibMAINI12, author = {Mustafa Dh. Hassib and Jit Singh Mandeep and Mardina Abdullah and Mahamod Ismail and Rosdiadee Nordin and Mohammad Tariqul Islam}, title = {Improved concatenated {(RS-CC)} for {OFDM} systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {538--543}, year = {2012}, url = {https://doi.org/10.1587/elex.9.538}, doi = {10.1587/ELEX.9.538}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HassibMAINI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayatiA12, author = {Mohsen Hayati and Hamed Abbasi}, title = {Compact microstrip stepped-impedance lowpass filter with wide stopband using {SICMRC}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1742--1747}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1742}, doi = {10.1587/ELEX.9.1742}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HayatiA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayatiS12, author = {Mohsen Hayati and Farzin Shama}, title = {Compact Microstrip Low-Pass Filter with wide stopband using symmetrical U-shaped resonator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {127--132}, year = {2012}, url = {https://doi.org/10.1587/elex.9.127}, doi = {10.1587/ELEX.9.127}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HayatiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HemmatiFGH12, author = {Kamran Delfan Hemmati and Mojtaba Behzad Fallahpour and Abbas Golmakani and Kamyar Delfan Hemmati}, title = {A high-speed hybrid Full Adder with low power consumption}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1900--1905}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1900}, doi = {10.1587/ELEX.9.1900}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HemmatiFGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HinokumaCJHNTH12, author = {Yasuhiro Hinokuma and Yutaka Chaen and Haisong Jiang and Takuma Hagio and Seiki Nakamura and Akio Tajima and Kiichi Hamamoto}, title = {{CW} single-wavelength emission by using novel asymmetric configuration for active multi-mode interferometer laser diodes}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1448--1453}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1448}, doi = {10.1587/ELEX.9.1448}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HinokumaCJHNTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HockCKH12, author = {Goh Chin Hock and Chandan Kumar Chakrabarty and Sieh Kiong Tiong and Oon Kheng Heong}, title = {Rapid and simple design approach of micro-strip Butler matrix beam-forming network for wireless system}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {346--351}, year = {2012}, url = {https://doi.org/10.1587/elex.9.346}, doi = {10.1587/ELEX.9.346}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HockCKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HokazonoKKPY12, author = {Kazuya Hokazono and Daisuke Kanemoto and Haruichi Kanaya and Ramesh K. Pokharel and Keiji Yoshida}, title = {A novel high-precision {DAC} utilizing tribonacci series}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {515--521}, year = {2012}, url = {https://doi.org/10.1587/elex.9.515}, doi = {10.1587/ELEX.9.515}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HokazonoKKPY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HonariAM12, author = {Mohammad Mahdi Honari and Abdolali Abdipour and Gholamreza Moradi}, title = {Aperture-coupled multi-layer broadband ring-patch antenna array}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {250--255}, year = {2012}, url = {https://doi.org/10.1587/elex.9.250}, doi = {10.1587/ELEX.9.250}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HonariAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HongL12, author = {Ic{-}Pyo Hong and In{-}Gon Lee}, title = {Design of a film antenna using a cloverleaf-shaped monopole structure for WiBro and {WLAN}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {654--659}, year = {2012}, url = {https://doi.org/10.1587/elex.9.654}, doi = {10.1587/ELEX.9.654}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HongL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HosseininnejadKZR12, author = {Seyed Ehsan Hosseininejad and Nader Komjani and Davoud Zarifi and Mahdi Rajabi}, title = {Directivity enhancement of circularly polarized microstrip antennas by chiral metamaterial covers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {117--121}, year = {2012}, url = {https://doi.org/10.1587/elex.9.117}, doi = {10.1587/ELEX.9.117}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HosseininnejadKZR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HsiaoCCH12, author = {Yi{-}Mao Hsiao and Ming{-}Jen Chen and Yuan{-}Sun Chu and Chung{-}Hsun Huang}, title = {High-throughput intrusion detection system with parallel pattern matching}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1467--1472}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1467}, doi = {10.1587/ELEX.9.1467}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HsiaoCCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuLLJF12, author = {Wenmin Hu and Hengzhu Liu and Zhonghai Lu and Axel Jantsch and Guitao Fu}, title = {Self-selection pseudo- circuit: a clever crossbar pre-allocation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {558--564}, year = {2012}, url = {https://doi.org/10.1587/elex.9.558}, doi = {10.1587/ELEX.9.558}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuLLJF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangZ12, author = {Ning Huang and En Zhu}, title = {A new mechanism for {SOC} scan test scheduling}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {932--937}, year = {2012}, url = {https://doi.org/10.1587/elex.9.932}, doi = {10.1587/ELEX.9.932}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangZ12a, author = {Ning Huang and En Zhu}, title = {Scan power reduction based on clock-gating}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1018--1022}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1018}, doi = {10.1587/ELEX.9.1018}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangZ12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HurKJL12, author = {Shin Hur and Jun{-}Hyuk Kwak and Youngdo Jung and Young Hwa Lee}, title = {Biomimetic acoustic sensor based on piezoelectric cantilever array}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {945--950}, year = {2012}, url = {https://doi.org/10.1587/elex.9.945}, doi = {10.1587/ELEX.9.945}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HurKJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hwang12, author = {Duckdong Hwang}, title = {Inter-cell interference alignment in multi cell multiuser channels}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {586--589}, year = {2012}, url = {https://doi.org/10.1587/elex.9.586}, doi = {10.1587/ELEX.9.586}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hwang12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HwangM12, author = {Seon{-}Woo Hwang and Yongsam Moon}, title = {Divide-by-\emph{N} and divide-by-\emph{N}/\emph{N}+1 prescalers based on a shift register and a multi-input {NOR} gate}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1611--1616}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1611}, doi = {10.1587/ELEX.9.1611}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HwangM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ichinose12, author = {Ataru Ichinose}, title = {Recent progress in high-\emph{T}\({}_{\mbox{C}}\) superconducting wires and their applications for electric power apparatus}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1172--1183}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1172}, doi = {10.1587/ELEX.9.1172}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ichinose12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IdrisST12, author = {Nazirul Afham Idris and Keisuke Sorimoto and Hiroyuki Tsuda}, title = {A novel silica waveguide lens for free-space optical cross connects}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {998--1004}, year = {2012}, url = {https://doi.org/10.1587/elex.9.998}, doi = {10.1587/ELEX.9.998}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IdrisST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ImL12, author = {Donggu Im and Kwyro Lee}, title = {Stacked-FET linear {SOI} {CMOS} {SPDT} antenna switch with input P1dB greater than 40dBm}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1813--1822}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1813}, doi = {10.1587/ELEX.9.1813}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ImL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ImaiYKK12, author = {Kaita Imai and Keita Yasutomi and Keiichiro Kagawa and Shoji Kawahito}, title = {A distributed ramp signal generator of column-parallel single-slope ADCs for {CMOS} image sensors}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1893--1899}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1893}, doi = {10.1587/ELEX.9.1893}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ImaiYKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/InagakiKI12, author = {Keizo Inagaki and Tetsuya Kawanishi and Masayuki Izutsu}, title = {Optoelectronic frequency response measurement of photodiodes by using high-extinction ratio optical modulator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {220--226}, year = {2012}, url = {https://doi.org/10.1587/elex.9.220}, doi = {10.1587/ELEX.9.220}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/InagakiKI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IshizukaTTYKY12, author = {Kazuo Ishizuka and Masamitsu Tokuda and Katsuyuki Tanakajima and Masaru Yoshihara and Sumio Kaifuchi and Yoshinobu Okano}, title = {The evaluation of the magnetic field below 30MHz in an open area test site}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {895--901}, year = {2012}, url = {https://doi.org/10.1587/elex.9.895}, doi = {10.1587/ELEX.9.895}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IshizukaTTYKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Jeong12, author = {Jinho Jeong}, title = {New digital predistortion technique of {RF} power amplifiers for wideband {OFDM} signals}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {326--332}, year = {2012}, url = {https://doi.org/10.1587/elex.9.326}, doi = {10.1587/ELEX.9.326}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Jeong12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeongC12, author = {Eui{-}Rim Jeong and Sungho Choi}, title = {A low cost adaptive digital predistorter for linearization of power amplifiers in {MIMO} transmitters}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {580--585}, year = {2012}, url = {https://doi.org/10.1587/elex.9.580}, doi = {10.1587/ELEX.9.580}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeongC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JianJYBMLHZW12, author = {Wenxiang Jian and Gang Jin and Na Yan and Zhongyu Bi and Hao Min and Yinyin Lin and Ryan Huang and Qingtian Zou and Jingang Wu}, title = {Variation-tolerant Cu\({}_{\mbox{x}}\)Si\({}_{\mbox{y}}\)O-based {RRAM} for low power application}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1654--1659}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1654}, doi = {10.1587/ELEX.9.1654}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JianJYBMLHZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JoS12, author = {Seong Min Jo and Yong Ho Song}, title = {Leakage-aware adaptive routing for pipelined on-chip networks in ultra-deep sub-micron technologies}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1887--1892}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1887}, doi = {10.1587/ELEX.9.1887}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JoS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KagimotoAW12, author = {Keigo Kagimoto and Daisuke Anzai and Jianqing Wang}, title = {Detection of human arm approaching direction based on electrostatic coupling in human body communication}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1799--1806}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1799}, doi = {10.1587/ELEX.9.1799}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KagimotoAW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KanemotoTAM012, author = {Daisuke Kanemoto and Yu Tamura and Indika U. K. Bogoda Appuhamylage and Toshimasa Matsuoka and Kenji Taniguchi}, title = {A novel {RC} time constant tuning technique utilizing programmable current sources for continuous-time delta-sigma modulators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {572--579}, year = {2012}, url = {https://doi.org/10.1587/elex.9.572}, doi = {10.1587/ELEX.9.572}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KanemotoTAM012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KannoK12, author = {Atsushi Kanno and Tetsuya Kawanishi}, title = {Phase noise analysis of an optical frequency comb using single side-band suppressed carrier modulation in an amplified optical fiber loop}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1473--1478}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1473}, doi = {10.1587/ELEX.9.1473}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KannoK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KatanoSNKN12, author = {Yutaro Katano and Satoshi Shinada and Shinya Nakajima and Tetsuya Kawanishi and Hirochika Nakajima}, title = {Monolithic mode-locked erbium-doped LiNbO\({}_{\mbox{3}}\) waveguide laser with dielectric multilayer mirror}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {245--249}, year = {2012}, url = {https://doi.org/10.1587/elex.9.245}, doi = {10.1587/ELEX.9.245}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KatanoSNKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KhatebHFVP12, author = {Fabian Khateb and Pavel Horsky and Luk{\'{a}}s Fujcik and Radim{\'{\i}}r Vrba and Michal Pavl{\'{\i}}k}, title = {Comment on "High performance low-voltage QFG-based {DVCC} and a novel fully differential {SC} integrator based on it"}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1492--1493}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1492}, doi = {10.1587/ELEX.9.1492}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KhatebHFVP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kim12, author = {Hyunjin Kim}, title = {An iterative pattern mapping for parallel string matching architecture in intrusion detection systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {985--989}, year = {2012}, url = {https://doi.org/10.1587/elex.9.985}, doi = {10.1587/ELEX.9.985}, timestamp = {Wed, 08 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Kim12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimKK12, author = {Hyeon{-}Jun Kim and Jong{-}Woo Kim and Bai{-}Sun Kong}, title = {Enhanced bootstrapped {CMOS} driver for large RC-load and ultra-low voltage {VLSI}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1208--1213}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1208}, doi = {10.1587/ELEX.9.1208}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL12, author = {Aeyoung Kim and Sang{-}Ho Lee}, title = {A scheme for predicting recognition performance by using confidence intervals}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {133--139}, year = {2012}, url = {https://doi.org/10.1587/elex.9.133}, doi = {10.1587/ELEX.9.133}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL12a, author = {Dong{-}Sun Kim and Sang{-}Seol Lee}, title = {Improved mutation method for providing high genetic diversity of genetic algorithm processor}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {822--827}, year = {2012}, url = {https://doi.org/10.1587/elex.9.822}, doi = {10.1587/ELEX.9.822}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSAK12, author = {Tae{-}Ho Kim and Jin{-}Cheol Seo and Yong{-}Sung Ahn and Jin{-}Ku Kang}, title = {A 10Gb/s adaptive equalizer with {ISI} level measurement}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1384--1390}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1384}, doi = {10.1587/ELEX.9.1384}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KitanoTN12, author = {Masao Kitano and Yasuhiro Tamayama and Toshihiro Nakanishi}, title = {Coupled-resonator-based metamaterials}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {51--64}, year = {2012}, url = {https://doi.org/10.1587/elex.9.51}, doi = {10.1587/ELEX.9.51}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KitanoTN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KonishiSKH12, author = {Takayoshi Konishi and Atsushi Sanada and Hiroshi Kubo and Yoshitaka Hori}, title = {A thin card-sized on-metal {UHF-RFID} tag using a radiative mushroom structure with an {IC} chip mounted on a small magnetic loop}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {276--282}, year = {2012}, url = {https://doi.org/10.1587/elex.9.276}, doi = {10.1587/ELEX.9.276}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KonishiSKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Koo12, author = {Yong{-}Seo Koo}, title = {Electrical characteristics of novel {SCR} - based {ESD} protection for power clamp}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1479--1484}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1479}, doi = {10.1587/ELEX.9.1479}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Koo12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KooL12, author = {Yong{-}Seo Koo and Kwang{-}Yeob Lee}, title = {SCR-based {ESD} protection device with low trigger and high robustness for {I/O} clamp}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {200--205}, year = {2012}, url = {https://doi.org/10.1587/elex.9.200}, doi = {10.1587/ELEX.9.200}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KooL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KwonBLKC12, author = {Young Min Kwon and Sueng Jae Bae and Mi{-}Young Lee and Bon Tae Koo and Min Young Chung}, title = {Victim macro {UE} detection procedure based on network assistance in LTE-femtocell networks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {146--152}, year = {2012}, url = {https://doi.org/10.1587/elex.9.146}, doi = {10.1587/ELEX.9.146}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KwonBLKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KwonC12, author = {Ickjin Kwon and Induck Choi}, title = {A {CMOS} wideband {LNA} with low-loss integrated {TX} leakage canceller}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1122--1127}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1122}, doi = {10.1587/ELEX.9.1122}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KwonC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lari0AL12, author = {Mohammad Lari and Abbas Mohammadi and Abdolali Abdipour and Inkyu Lee}, title = {Characterization of effective capacity in {AF} relay systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {679--684}, year = {2012}, url = {https://doi.org/10.1587/elex.9.679}, doi = {10.1587/ELEX.9.679}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Lari0AL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LarijaniG12, author = {M. H. M. Larijani and M. B. Ghaznavi{-}Ghoushchi}, title = {A 2-bit/step {SAR} {ADC} structure with one radix-4 {DAC}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {840--848}, year = {2012}, url = {https://doi.org/10.1587/elex.9.840}, doi = {10.1587/ELEX.9.840}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LarijaniG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lee12, author = {Minjae Lee}, title = {A 20GHz variability-aware robust, high-speed and low-power {MOS} {CML} latch}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1214--1220}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1214}, doi = {10.1587/ELEX.9.1214}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Lee12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeACC12, author = {Ockgoo Lee and Kyu Hwan An and Juphil Cho and Jaesang Cha}, title = {A switchless reconfigurable transformer {CMOS} power amplifier}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {855--860}, year = {2012}, url = {https://doi.org/10.1587/elex.9.855}, doi = {10.1587/ELEX.9.855}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeACC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCC12, author = {Joon{-}Ho Lee and Sung{-}woo Cho and In{-}Sik Choi}, title = {Simple expressions of {CEP} and covariance matrix for localization using {LOB} measurements for circular trajectory}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1221--1229}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1221}, doi = {10.1587/ELEX.9.1221}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCC12a, author = {Hyuk{-}Jun Lee and Woo{-}Cheol Cho and Eui{-}Young Chung}, title = {Analytical memory bandwidth model for many-core processor based systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1461--1466}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1461}, doi = {10.1587/ELEX.9.1461}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCK12, author = {Joon{-}Ho Lee and Sung{-}woo Cho and Hyung Seok Kim}, title = {Newton-type method in spectrum estimaion-based {AOA} estimation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1036--1043}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1036}, doi = {10.1587/ELEX.9.1036}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCKHKBM12, author = {Eun{-}Sub Lee and Jun{-}Myung Choi and Young{-}Su Kim and Seok{-}Jin Ham and Jeong{-}Heon Kim and Sang{-}Don Byeon and Kyeong{-}Sik Min}, title = {Sunlight-variation-adaptive charge pump circuit with self-reconfiguration for small-scale solar energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1423--1433}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1423}, doi = {10.1587/ELEX.9.1423}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCKHKBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeITIM12, author = {Sang{-}yeop Lee and Hiroyuki Ito and Satoru Tanoi and Noboru Ishihara and Kazuya Masu}, title = {Injection-locked fractional frequency multiplier with automatic reference pulse-selection technique}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1624--1629}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1624}, doi = {10.1587/ELEX.9.1624}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeITIM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJ12, author = {Han{-}Yeol Lee and Young{-}Chan Jang}, title = {A true single-phase clocked flip-flop with leakage current compensation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1807--1812}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1807}, doi = {10.1587/ELEX.9.1807}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJHOE12, author = {Seung Jun Lee and Seung{-}Hwan Jung and Jong Ok Ha and Hyukjun Oh and Yun Seong Eo}, title = {A precisely gain controlled {RF} front end for {T-DMB} tuner ICs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {1}, pages = {23--28}, year = {2012}, url = {https://doi.org/10.1587/elex.9.23}, doi = {10.1587/ELEX.9.23}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJHOE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKHSKK12, author = {Hoi{-}Jin Lee and Jong{-}Woo Kim and Tae Hee Han and Jae{-}Cheol Son and Jeong{-}Taek Kong and Bai{-}Sun Kong}, title = {Low-power dual-supply clock networks with clock gating and frequency doubling}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {502--508}, year = {2012}, url = {https://doi.org/10.1587/elex.9.502}, doi = {10.1587/ELEX.9.502}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKHSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeL12, author = {Sungjae Lee and Inhwan Lee}, title = {Selective restart of threads for efficient thread-level speculation on multicore architecture}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {290--295}, year = {2012}, url = {https://doi.org/10.1587/elex.9.290}, doi = {10.1587/ELEX.9.290}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLJY12, author = {Youngseok Lee and Hyunseok Lee and In{-}Young Jung and Ho Yang}, title = {Implementation of the Chien search algorithm on a baseband processor}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1637--1647}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1637}, doi = {10.1587/ELEX.9.1637}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLJY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLPK12, author = {Sin{-}Ho Lee and Chun{-}Ku Lee and Jin Bae Park and Ki Seok Kwak}, title = {Impedance change localization for live underground cable using time-frequency domain reflectometry}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {359--364}, year = {2012}, url = {https://doi.org/10.1587/elex.9.359}, doi = {10.1587/ELEX.9.359}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeM12, author = {Jun Gyu Lee and Shoichi Masui}, title = {Fractional-N {PLL} synthesizer with 15{\(\mathrm{\mu}\)}sec start-up time by on-chip nonvolatile memory}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {263--269}, year = {2012}, url = {https://doi.org/10.1587/elex.9.263}, doi = {10.1587/ELEX.9.263}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeM12a, author = {Jun Gyu Lee and Shoichi Masui}, title = {A 3.5mW 5{\(\mathrm{\mu}\)}sec settling time dual-band fractional-N {PLL} synthesizer}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {307--313}, year = {2012}, url = {https://doi.org/10.1587/elex.9.307}, doi = {10.1587/ELEX.9.307}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeM12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeM12b, author = {Jun Gyu Lee and Shoichi Masui}, title = {A 32-bit 16-program-cycle nonvolatile memory for analog circuit calibration in a standard 0.18{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {477--483}, year = {2012}, url = {https://doi.org/10.1587/elex.9.477}, doi = {10.1587/ELEX.9.477}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeM12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeePJJC12, author = {Kwang Chun Lee and Bong Hyuk Park and Seung Hyun Jang and Jae Ho Jung and Kyoung{-}Rok Cho}, title = {Tunable continuous-time {\(\Delta\)}{\(\Sigma\)} modulator for switching power amplifier}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1714--1719}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1714}, doi = {10.1587/ELEX.9.1714}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeePJJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeYKIC12, author = {Soonyong Lee and Yeonsik Yu and Kyeol Kwon and Koichi Ito and Jaehoon Choi}, title = {Design of a flexible diversity zeroth-order resonance antenna for {WBAN} applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {758--764}, year = {2012}, url = {https://doi.org/10.1587/elex.9.758}, doi = {10.1587/ELEX.9.758}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeYKIC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LhoY12, author = {Young Hwan Lho and Yil{-}Suk Yang}, title = {Design of non-uniform 100-V super-junction trench power {MOSFET} with low on-resistance}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1109--1114}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1109}, doi = {10.1587/ELEX.9.1109}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LhoY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiHC12, author = {Lei Li and Jianhao Hu and Yiou Chen}, title = {An universal architecture for designing modulo (2\({}^{\mbox{\emph{n}}}\)-2\({}^{\mbox{\emph{p}}}\)-1) multipliers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {193--199}, year = {2012}, url = {https://doi.org/10.1587/elex.9.193}, doi = {10.1587/ELEX.9.193}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiHC12a, author = {Lei Li and Jianhao Hu and Yiou Chen}, title = {Modified Booth encoding modulo (2\emph{\({}^{\mbox{n}}\)}-1) multipliers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {352--358}, year = {2012}, url = {https://doi.org/10.1587/elex.9.352}, doi = {10.1587/ELEX.9.352}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiHC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLM12, author = {Yingsong Li and Wenxing Li and Raj Mittra}, title = {A cognitive radio antenna integrated with narrow/ ultra-wideband antenna and switches}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1273--1283}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1273}, doi = {10.1587/ELEX.9.1273}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLY12, author = {Yingsong Li and Wenxing Li and Qiubo Ye}, title = {Compact reconfigurable {UWB} antenna integrated with SIRs and switches for multimode wireless communications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {629--635}, year = {2012}, url = {https://doi.org/10.1587/elex.9.629}, doi = {10.1587/ELEX.9.629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWLD12, author = {Mianquan Li and XueSong Wang and Yongzhen Li and Huanyao Dai}, title = {Polarization beam pattern synthesis based on particle swarm optimization}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1648--1653}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1648}, doi = {10.1587/ELEX.9.1648}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWXLLSC12, author = {Lun Li and Xiongbin Wu and Xing'an Xu and Bin Liu and Chao Long and Zhiben Shen and Xiaofeng Chen}, title = {Ocean gravity wave phase velocity detection by {HFSWR}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {724--730}, year = {2012}, url = {https://doi.org/10.1587/elex.9.724}, doi = {10.1587/ELEX.9.724}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWXLLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZMYS12, author = {Jing{-}Hu Li and Xing{-}Bao Zhang and Jie Ma and Ming{-}Yan Yu and Xue{-}Jun Sha}, title = {A superior-order curvature corrected bandgap reference with less sensitivity of mismatch}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {81--85}, year = {2012}, url = {https://doi.org/10.1587/elex.9.81}, doi = {10.1587/ELEX.9.81}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZMYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZ12, author = {Lei Li and Lu Zhou and Wanting Zhou}, title = {An improved architecture for designing modulo (2\emph{\({}^{\mbox{n}}\)}-2\emph{\({}^{\mbox{p}}\)}+1) multipliers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1141--1146}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1141}, doi = {10.1587/ELEX.9.1141}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimKK12, author = {Y. K. Lim and C. G. Kim and S. D. Kim}, title = {An enhanced touch event processing on Android}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {509--514}, year = {2012}, url = {https://doi.org/10.1587/elex.9.509}, doi = {10.1587/ELEX.9.509}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimPK12, author = {Yoseop Lim and Jaeseok Park and Sungho Kang}, title = {A method for the fast diagnosis of multiple defects using an efficient candidate selection algorithm}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {834--839}, year = {2012}, url = {https://doi.org/10.1587/elex.9.834}, doi = {10.1587/ELEX.9.834}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimPK12a, author = {Yoseop Lim and Jaeseok Park and Sungho Kang}, title = {An accurate diagnosis of transition fault clusters based on single fault simulation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1528--1533}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1528}, doi = {10.1587/ELEX.9.1528}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimPK12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimTLK12, author = {Chot Hun Lim and Wei Qiang Tan and Tien Sze Lim and Voon Chet Koo}, title = {Practical approach in estimating inertial navigation unit's errors}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {772--778}, year = {2012}, url = {https://doi.org/10.1587/elex.9.772}, doi = {10.1587/ELEX.9.772}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LimTLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinL12, author = {Chih{-}Min Lin and Ming{-}Chia Li}, title = {Buck-current-fed zero current switching converter for high voltage coupled cavity}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1362--1367}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1362}, doi = {10.1587/ELEX.9.1362}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinL12a, author = {Chun Wei Lin and Sheng{-}Feng Lin}, title = {Balanced low input impedances {CMOS} current comparator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1378--1383}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1378}, doi = {10.1587/ELEX.9.1378}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinL12b, author = {Chun Wei Lin and Sheng{-}Feng Lin}, title = {A linear {CMOS} temperature sensor with an inaccuracy of {\(\pm\)}0.15{\textdegree}C}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1556--1561}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1556}, doi = {10.1587/ELEX.9.1556}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinL12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuCCG12, author = {Sheng Liu and Shuming Chen and Hu Chen and Yang Guo}, title = {A novel parallel memory organization supporting multiple access types with matched memory modules}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {602--608}, year = {2012}, url = {https://doi.org/10.1587/elex.9.602}, doi = {10.1587/ELEX.9.602}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuCCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWLXL12, author = {Bin Liu and Xiongbin Wu and Lun Li and Xing'an Xu and Chao Long}, title = {Array calibration method for gain-phase errors based on asynchronous interstation direct wave interference}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {450--457}, year = {2012}, url = {https://doi.org/10.1587/elex.9.450}, doi = {10.1587/ELEX.9.450}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWLXL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuYWCBD12, author = {Xingwei Liu and Tao Yang and Li Wang and Xu Chen and Hui Bo and Chao Ding}, title = {An improved consumer goods market model-based vertical handoff decision algorithm}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {234--239}, year = {2012}, url = {https://doi.org/10.1587/elex.9.234}, doi = {10.1587/ELEX.9.234}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuYWCBD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZCM12, author = {Yun Liu and Yongjiu Zhao and Meng{-}meng Cui and Hongfu Meng}, title = {A novel tri-band filter realization via band-splitting technique}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {718--723}, year = {2012}, url = {https://doi.org/10.1587/elex.9.718}, doi = {10.1587/ELEX.9.718}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZGLLY12, author = {Shubin Liu and Zhangming Zhu and Huaxi Gu and Minjie Liu and Lianxi Liu and Yintang Yang}, title = {A {CMOS} 4.6ppm/{\textdegree}C curvature-compensated bandgap voltage reference}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1617--1623}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1617}, doi = {10.1587/ELEX.9.1617}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZGLLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LongTG12, author = {Keyu Long and Bin Tang and Guan Gui}, title = {Undersampling channelized receiver using principle of signal matched-phase}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {213--219}, year = {2012}, url = {https://doi.org/10.1587/elex.9.213}, doi = {10.1587/ELEX.9.213}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LongTG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LongWLLX12, author = {Chao Long and Xiongbin Wu and Bin Liu and Lun Li and Xing'an Xu}, title = {Array calibration for mutual coupling errors of high-frequency surface wave radar}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {731--738}, year = {2012}, url = {https://doi.org/10.1587/elex.9.731}, doi = {10.1587/ELEX.9.731}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LongWLLX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuCKK12, author = {Ning Lu and In{-}Sung Choi and So{-}Hyang Ko and Shin{-}Dug Kim}, title = {A {PRAM} based block updating management for hybrid solid state disk}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {320--325}, year = {2012}, url = {https://doi.org/10.1587/elex.9.320}, doi = {10.1587/ELEX.9.320}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuCKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MalekiD12, author = {Sholeh Jahani Maleki and Massoud Dousti}, title = {A compact dual-band bandpass filter using microstrip meander loop and square loop resonators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1342--1348}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1342}, doi = {10.1587/ELEX.9.1342}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MalekiD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MarsK12, author = {Kamel Mars and Shoji Kawahito}, title = {A single-ended {CMOS} chopper amplifier for 1/f noise reduction of n-channel {MOS} transistors}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {98--103}, year = {2012}, url = {https://doi.org/10.1587/elex.9.98}, doi = {10.1587/ELEX.9.98}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MarsK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatPSKY12, author = {Dayang Azra Awang Mat and Ramesh K. Pokharel and Rohana Sapawi and Haruichi Kanaya and Keiji Yoshida}, title = {High-Q {SWCPL} for {CMOS} millimeter-wave technology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1284--1289}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1284}, doi = {10.1587/ELEX.9.1284}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatPSKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsunagaKM12, author = {Mayumi Matsunaga and Kenji Kakemizu and Toshiaki Matsunaga}, title = {A cross shaped spiral antenna radiating omnidirectional circularly and linearly polarized waves}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {256--262}, year = {2012}, url = {https://doi.org/10.1587/elex.9.256}, doi = {10.1587/ELEX.9.256}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsunagaKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Mayo-MaldonadoSLSSM12, author = {Jonathan Carlos Mayo{-}Maldonado and Rub{\'{e}}n Salas{-}Cabrera and Jes{\'{u}}s de Le{\'{o}}n{-}Morales and Eduardo Nacu Salas{-}Cabrera and Diego Soto{-}Monterrubio and Eduardo Martinez{-}Bernal}, title = {On the experimental implementation of a nonlinear adaptive observer}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1160--1165}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1160}, doi = {10.1587/ELEX.9.1160}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Mayo-MaldonadoSLSSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MirhosseiniA12, author = {S. Hassan Mirhosseini and Ahmad Ayatollahi}, title = {Design a 10-Bit 100MHz pipelined {ADC} using {RB-OTA} in 90nm {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {815--821}, year = {2012}, url = {https://doi.org/10.1587/elex.9.815}, doi = {10.1587/ELEX.9.815}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MirhosseiniA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MirmazhariTSK12, author = {Hosein Mirmazhari and Abouzar Taghizadeh and Jafar Sobhi and Ziaddin Daei Koozehkanani}, title = {A high efficiency {DC-DC} Converter using a new in-package structure of Bonding-Wire inductor}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {1005--1011}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1005}, doi = {10.1587/ELEX.9.1005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MirmazhariTSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MitsuiHFKTY12, author = {Takashi Mitsui and Kazutaka Hara and Masamichi Fujiwara and Junichi Kani and Masashi Tadokoro and Naoto Yoshimoto}, title = {Effect of forward error correction on spectral sliced {WDM/TDMA-PON} system}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {739--744}, year = {2012}, url = {https://doi.org/10.1587/elex.9.739}, doi = {10.1587/ELEX.9.739}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MitsuiHFKTY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Molinar-SolisGMERDRV12, author = {Jesus E. Molinar{-}Solis and Rodolfo Zol{\'{a}} Garc{\'{\i}}a{-}Lozano and Alejandra Morales{-}Ramirez and Oscar Espinoza{-}Ortega and Jos{\'{e}} Miguel Rocha{-}P{\'{e}}rez and Alejandro D{\'{\i}}az{-}S{\'{a}}nchez and Jaime Ram{\'{\i}}rez{-}Angulo and H{\'{e}}ctor V{\'{a}}zquez{-}Leal}, title = {Low Voltage Lazzaro's {WTA} with enhanced loop gain}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {648--653}, year = {2012}, url = {https://doi.org/10.1587/elex.9.648}, doi = {10.1587/ELEX.9.648}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Molinar-SolisGMERDRV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoradzadehA12, author = {Hassan Moradzadeh and Seyed Javad Azhari}, title = {Answer to Comment on "High performance low-voltage QFG-based {DVCC} and a novel fully differential {SC} integrator based on it"}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1494--1495}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1494}, doi = {10.1587/ELEX.9.1494}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoradzadehA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MosalanejadFM12, author = {Mohammad Mosalanejad and Ali Farahbakhsh and Gholamreza Moradi}, title = {Dual band microstrip antenna with non regular polygonal patch for satellite applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1290--1296}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1290}, doi = {10.1587/ELEX.9.1290}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MosalanejadFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MotamediI12, author = {Maryam M. Motamedi and Michael S. Isaacson}, title = {High stability current supply design for atomic resolution electron microscopy}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1586--1591}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1586}, doi = {10.1587/ELEX.9.1586}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MotamediI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MurthySVL12, author = {Gajula Ramana Murthy and Chinnaiyan Senthilpari and Pitchandi Velrajkumar and Tien Sze Lim}, title = {A new 6-T multiplexer based full-adder for low power and leakage current optimization}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1434--1441}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1434}, doi = {10.1587/ELEX.9.1434}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MurthySVL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NabaviS12, author = {Morteza Nabavi and Maitham Shams}, title = {A gate sizing and transistor fingering strategy for subthreshold {CMOS} circuits}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1550--1555}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1550}, doi = {10.1587/ELEX.9.1550}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NabaviS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NahJPH12, author = {Jae{-}Ho Nah and Yun{-}Hye Jung and Woo{-}Chan Park and Tack{-}Don Han}, title = {Efficient ray sorting for the tracing of incoherent rays}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {849--854}, year = {2012}, url = {https://doi.org/10.1587/elex.9.849}, doi = {10.1587/ELEX.9.849}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NahJPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NahJPH12a, author = {Jae{-}Ho Nah and Yun{-}Hye Jung and Woo{-}Chan Park and Tack{-}Don Han}, title = {Erratum: Efficient ray sorting for the tracing of incoherent rays {[IEICE} Electronics Express Vol.9 (2012), No 9 pp 849-854]}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1082}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1082}, doi = {10.1587/ELEX.9.1082}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NahJPH12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakahamaSK12, author = {Masanori Nakahama and Toshikazu Shimada and Fumio Koyama}, title = {Lateral integration of {MEMS} {VCSEL} and slow light amplifier boosting single mode power}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {544--551}, year = {2012}, url = {https://doi.org/10.1587/elex.9.544}, doi = {10.1587/ELEX.9.544}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakahamaSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakahamaSNMK12, author = {Masanori Nakahama and Hayato Sano and Norihiko Nakata and Akihiro Matsutani and Fumio Koyama}, title = {Electro-thermal tuning of {MEMS} {VCSEL} with giant wavelength-temperature dependence}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {416--421}, year = {2012}, url = {https://doi.org/10.1587/elex.9.416}, doi = {10.1587/ELEX.9.416}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakahamaSNMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakanoAIM12, author = {Kazuo Nakano and Shuhei Amakawa and Noboru Ishihara and Kazuya Masu}, title = {{RF} signal generator using time domain harmonic suppression technique in 90nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {270--275}, year = {2012}, url = {https://doi.org/10.1587/elex.9.270}, doi = {10.1587/ELEX.9.270}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakanoAIM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NguyenRK12, author = {Hung Viet Nguyen and Myunghwan Ryu and Youngmin Kim}, title = {A novel methodology for speeding up {IC} performance in 32nm FinFET}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {227--233}, year = {2012}, url = {https://doi.org/10.1587/elex.9.227}, doi = {10.1587/ELEX.9.227}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NguyenRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NingWXLM12, author = {Huansheng Ning and Jun Wang and Qingxu Xiong and Hong Liu and Lingfeng Mao}, title = {A compact quad-band bandstop filter using dual-plane defected structures and open-loop resonators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1630--1636}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1630}, doi = {10.1587/ELEX.9.1630}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NingWXLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Niu0LR12, author = {Yangyang Niu and Wei Li and Ning Li and Junyan Ren}, title = {A 2.4GHz to 3.86GHz digitally controlled oscillator with 18.5kHz frequency resolution using single {PMOS} varactor}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1842--1848}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1842}, doi = {10.1587/ELEX.9.1842}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Niu0LR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiwaHSWTO12, author = {Tomonobu Niwa and Hiroshi Hasegawa and Ken{-}ichi Sato and Toshio Watanabe and Hiroshi Takahashi and Masayuki Okuno}, title = {Novel wavelength tunable filter offering multi-stage selection for colorless, directionless, and contentionless ROADMs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1297--1303}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1297}, doi = {10.1587/ELEX.9.1297}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NiwaHSWTO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NoorimehrHF12, author = {Mohammadreza Noorimehr and Mehdi Hosseinzadeh and Reza Farshidi}, title = {Reverse converter for the flexible moduli set \{2\({}^{\mbox{\emph{n}+\emph{k}}}\), 2\({}^{\mbox{2\emph{n}-1}}\)-1, 2\({}^{\mbox{\emph{n}/2}}\)+1, 2\({}^{\mbox{\emph{n}/2}}\)-1\}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {1}, pages = {8--15}, year = {2012}, url = {https://doi.org/10.1587/elex.9.8}, doi = {10.1587/ELEX.9.8}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NoorimehrHF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhH12, author = {Nam{-}Jin Oh and Deuk Heo}, title = {A low-power, low phase noise {CMOS} {VCO} with suppression of 1/f flicker noise up-conversion}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1881--1886}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1881}, doi = {10.1587/ELEX.9.1881}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhPLL12, author = {Yeong Guk Oh and Keun Jae Park and Hyuek Jae Lee and Chang Hee Lee}, title = {Broadcast/multicast transmission of video signal in a {WDM-PON} using a low-cost injection-locked {F-P} {LD} with broadband {ASE}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {622--628}, year = {2012}, url = {https://doi.org/10.1587/elex.9.622}, doi = {10.1587/ELEX.9.622}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhPLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ohira12, author = {Takashi Ohira}, title = {Dedicated \emph{Q} factor formulas stemming from oscillation frequency stability against source and load deviations}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {616--621}, year = {2012}, url = {https://doi.org/10.1587/elex.9.616}, doi = {10.1587/ELEX.9.616}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Ohira12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhtaH12, author = {Yoshihiro Ohta and Kohji Higuchi}, title = {Approximate 2-Degree-of-Freedom digital control for a boost {DC-DC} converter}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {496--501}, year = {2012}, url = {https://doi.org/10.1587/elex.9.496}, doi = {10.1587/ELEX.9.496}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhtaH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OkumuraNYKYKY12, author = {Shunsuke Okumura and Yohei Nakata and Koji Yanagida and Yuki Kagiyama and Shusuke Yoshimoto and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Low-energy block-level instantaneous comparison 7T {SRAM} for dual modular redundancy}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {470--476}, year = {2012}, url = {https://doi.org/10.1587/elex.9.470}, doi = {10.1587/ELEX.9.470}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OkumuraNYKYKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OmoteYUH12, author = {Yusuke Omote and Takenori Yasuzumi and Tomoki Uwano and Osamu Hashimoto}, title = {Ultra-wideband bandpass filter using matching-lines and interdigital filter with close-coupled resonators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {660--665}, year = {2012}, url = {https://doi.org/10.1587/elex.9.660}, doi = {10.1587/ELEX.9.660}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OmoteYUH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OshimaM12, author = {Shinpei Oshima and Ryuji Murata}, title = {Compact multiplexer modules for multi-band wireless systems using {LTCC} technology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1762--1774}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1762}, doi = {10.1587/ELEX.9.1762}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OshimaM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OshimaWMHES12, author = {Shinpei Oshima and Koji Wada and Ryuji Murata and Hiroshi Hara and Hitoshi Ebihara and Yukihiro Shimakata}, title = {A compact multilayer triplexer using an ultra-wideband diplexer and a low-pass filter for 2.4GHz wireless systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1075--1080}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1075}, doi = {10.1587/ELEX.9.1075}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OshimaWMHES12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OzakiY12, author = {Ryosuke Ozaki and Tsuneki Yamasaki}, title = {Distribution of energy flow by dielectric waveguide with rhombic dielectric structure along a middle layer}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {698--705}, year = {2012}, url = {https://doi.org/10.1587/elex.9.698}, doi = {10.1587/ELEX.9.698}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OzakiY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Padilla-CantoyaFMD12, author = {Ivan R. Padilla{-}Cantoya and Paul M. Furth and Jesus E. Molinar{-}Solis and Alejandro D{\'{\i}}az{-}S{\'{a}}nchez}, title = {Low-voltage differential voltage follower for {WTA} and fully differential applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {491--495}, year = {2012}, url = {https://doi.org/10.1587/elex.9.491}, doi = {10.1587/ELEX.9.491}, timestamp = {Sat, 21 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Padilla-CantoyaFMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park12, author = {Youngcheol Park}, title = {Bi-purpose auxiliary amplifier to enhance the linearity and efficiency of power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {429--435}, year = {2012}, url = {https://doi.org/10.1587/elex.9.429}, doi = {10.1587/ELEX.9.429}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park12a, author = {Ung Hee Park}, title = {A variable power divider with 1: 3 and 3: 1 power division ratios}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {596--601}, year = {2012}, url = {https://doi.org/10.1587/elex.9.596}, doi = {10.1587/ELEX.9.596}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkKS12, author = {Yongsik Park and Gyu{-}Hyun Kil and Yunheub Song}, title = {A novel sensing algorithm for Spin-Transfer-Torque magnetic {RAM} {(STT-MRAM)} by utilizing dynamic reference}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {153--159}, year = {2012}, url = {https://doi.org/10.1587/elex.9.153}, doi = {10.1587/ELEX.9.153}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkYKLL12, author = {Hoyoung Park and Sang{-}hyeok Yang and Suki Kim and Kye{-}Shin Lee and Yong{-}Min Lee}, title = {A parasitic insensitive {C-DAC} with time-mode reference voltage generator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {745--751}, year = {2012}, url = {https://doi.org/10.1587/elex.9.745}, doi = {10.1587/ELEX.9.745}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkYKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkYKLL12a, author = {Hoyoung Park and Sang{-}hyeok Yang and Suki Kim and Kye{-}Shin Lee and Yong{-}Min Lee}, title = {A touch sensor readout circuit using switched- capacitor charge pump}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1090--1095}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1090}, doi = {10.1587/ELEX.9.1090}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkYKLL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QiaoMKTK12, author = {Weifan Qiao and Kiyonobu Mozawa and Ken Kashiwagi and Yosuke Tanaka and Takashi Kurokawa}, title = {Fiber transmission characteristics of phase only pulse and its dispersion compensation in high power regime}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {410--415}, year = {2012}, url = {https://doi.org/10.1587/elex.9.410}, doi = {10.1587/ELEX.9.410}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/QiaoMKTK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QinZGCQL12, author = {Li Qin and Xincong Zhou and Yan Gao and Pengju Cao and JianZhou Quan and Zhixiong Li}, title = {Shaft power measurement for marine propulsion system based on magnetic resonances}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1260--1265}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1260}, doi = {10.1587/ELEX.9.1260}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/QinZGCQL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RahmanianN12, author = {Shahabuddin Rahmanian and Abdolreza Nabavi}, title = {Digital predistortion based on frequency domain estimation for {OFDM} systems with low complexity loop delay compensation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1454--1460}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1454}, doi = {10.1587/ELEX.9.1454}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RahmanianN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RheeL12, author = {Chae{-}Eun Rhee and Hyuk{-}Jae Lee}, title = {Reference frame selection in a hardware-based {HEVC} encoder}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1695--1700}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1695}, doi = {10.1587/ELEX.9.1695}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RheeL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RoajanasiriAB12, author = {Reangroaj Roajanasiri and Nitin Afzulpurkar and Siridech Boonsang}, title = {A solder bridging rework study and an experimental investigation of the TuMR magnetic head}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1683--1694}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1683}, doi = {10.1587/ELEX.9.1683}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/RoajanasiriAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Rosas-CaroFMGVC12, author = {Julio Cesar Rosas{-}Caro and Jesus Eugenio Flores{-}Hernandez and Jonathan Carlos Mayo{-}Maldonado and Juan Miguel Gonz{\'{a}}lez{-}Lopez and Antonio Valderrabano{-}Gonzalez and Hermenegildo Cisneros{-}Villegas}, title = {Two switches based AC-link phase-shifter}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1266--1272}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1266}, doi = {10.1587/ELEX.9.1266}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Rosas-CaroFMGVC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Rosas-CaroGLGCC12, author = {Julio Cesar Rosas{-}Caro and Pedro Mart{\'{\i}}n Garc{\'{\i}}a{-}Vite and Jos{\'{e}} Merced Lozano{-}Garc{\'{\i}}a and Aar{\'{o}}n Gonz{\'{a}}lez{-}Rodr{\'{\i}}guez and Rafael Castillo{-}Guti{\'{e}}rrez and Jes{\'{u}}s Rodolfo Castillo{-}Ibarra}, title = {Generalized {DC-DC} multiplier converter topology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1522--1527}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1522}, doi = {10.1587/ELEX.9.1522}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Rosas-CaroGLGCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SalaR12, author = {Vicen{\c{c}} M. Sala and Jose Luis Romeral}, title = {Theoretical estimation of distorting effects by t\({}_{\mbox{rr}}\) of parasitic MOSFET-Diode in {DCI-NPC} audio power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {484--490}, year = {2012}, url = {https://doi.org/10.1587/elex.9.484}, doi = {10.1587/ELEX.9.484}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SalaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SalaRM12, author = {Vicen{\c{c}} M. Sala and Jose Luis Romeral and Juan Manuel Moreno{-}Eguilaz}, title = {Theoretical estimation of distorting effects by t\({}_{\mbox{rr}}\) of clamp diodes in {DCI-NPC} audio power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {888--894}, year = {2012}, url = {https://doi.org/10.1587/elex.9.888}, doi = {10.1587/ELEX.9.888}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SalaRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SanoFNMNM12, author = {Kimikazu Sano and Hiroyuki Fukuyama and Makoto Nakamura and Miwa Mutoh and Hideyuki Nosaka and Koichi Murata}, title = {Wide dynamic range transimpedance amplifier {IC} for 100-G {DP-QPSK} optical links using 1-{\(\mathrm{\mu}\)}m InP HBTs}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1012--1017}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1012}, doi = {10.1587/ELEX.9.1012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SanoFNMNM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SasakiHKTF12, author = {Hajime Sasaki and Takayuki Hisaka and Kaoru Kadoiwa and Yoshikazu Terai and Yasufumi Fujiwara}, title = {Characteristics of SiN/GaAs interface under exposure to high-temperature and high-humidity conditions measured by photoreflectance spectroscopy}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1592--1597}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1592}, doi = {10.1587/ELEX.9.1592}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SasakiHKTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SatoSS12, author = {Ryoichi Sato and Hiroshi Sato and Hiroshi Shirai}, title = {Novel usage of binary tree in {SBR} algorithm for efficient indoor propagation analysis}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {673--678}, year = {2012}, url = {https://doi.org/10.1587/elex.9.673}, doi = {10.1587/ELEX.9.673}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SatoSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShahmohammadiAK12, author = {Mohsen Shahmohammadi and Shahin Jafarabadi{-}Ashtiani and Mahmoud Kamarei}, title = {Energy and area-efficient tri-level switching procedure based on half of the reference voltage for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1397--1401}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1397}, doi = {10.1587/ELEX.9.1397}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShahmohammadiAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShibayamaYYN12, author = {Jun Shibayama and Akio Yokomizo and Junji Yamauchi and Hisamatsu Nakano}, title = {Reformulation of the {ADI-BPM} using a fundamental scheme}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {365--370}, year = {2012}, url = {https://doi.org/10.1587/elex.9.365}, doi = {10.1587/ELEX.9.365}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShibayamaYYN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShiraoNSA12, author = {Mizuki Shirao and Nobuhiko Nishiyama and Noriaki Sato and Shigehisa Arai}, title = {Theoretical analysis of the damping effect on a transistor laser}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1792--1798}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1792}, doi = {10.1587/ELEX.9.1792}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShiraoNSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShresthaMHM12, author = {Vinaya L. Shrestha and Qingyun Ma and Mohammad Rafiqul Haider and Yehia Massoud}, title = {An ultra-low-power pseudo-random number generator based on biologically inspired chaotic silicon neuron circuit}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1756--1761}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1756}, doi = {10.1587/ELEX.9.1756}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShresthaMHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Song12, author = {Han Jung Song}, title = {Three-phase clock driven chaotic circuit with dual feedback loops}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1516--1521}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1516}, doi = {10.1587/ELEX.9.1516}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Song12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongDT12, author = {Wei Song and Hiroyuki Deguchi and Mikio Tsuji}, title = {A harmonic suppression and size-reduced rat-race hybrid coupler using dual coupled-lines}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1083--1089}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1083}, doi = {10.1587/ELEX.9.1083}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongDT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuemuneSAKITAT12, author = {Ikuo Suemune and Hirotaka Sasakura and Yasuhiro Asano and Hidekazu Kumano and Ryotaro Inoue and Kazunori Tanaka and Tatsushi Akazaki and Hideaki Takayanagi}, title = {Photon-pair generation based on superconductivity}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1184--1200}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1184}, doi = {10.1587/ELEX.9.1184}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuemuneSAKITAT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunCWS12, author = {Haiyan Sun and Zhikuang Cai and Jianhui Wu and Longxing Shi}, title = {An optimized {QFP} structure for use in radio frequency multi-chip module applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1666--1674}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1666}, doi = {10.1587/ELEX.9.1666}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunCWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Taheri12, author = {Asghar Taheri}, title = {{EKF} modeling of field oriented control of six-phase induction motor}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {642--647}, year = {2012}, url = {https://doi.org/10.1587/elex.9.642}, doi = {10.1587/ELEX.9.642}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Taheri12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TaiC12, author = {Chiung{-}Feng Tai and Hwann{-}Kaeo Chiou}, title = {Extended-resonant output matching design for 24GHz transformer-coupled {CMOS} {VCO}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1153--1159}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1153}, doi = {10.1587/ELEX.9.1153}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TaiC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TaiC12a, author = {Chiung{-}Feng Tai and Hwann{-}Kaeo Chiou}, title = {A compact band selection filter in 0.18-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1166--1171}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1166}, doi = {10.1587/ELEX.9.1166}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TaiC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TajeripourS12, author = {Farshad Tajeripour and Mahmood Sotoodeh}, title = {A novel staff removal method for printed music image}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {609--615}, year = {2012}, url = {https://doi.org/10.1587/elex.9.609}, doi = {10.1587/ELEX.9.609}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TajeripourS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakahashiM12, author = {Yoshio Takahashi and Tsutomu Matsumoto}, title = {A proper security analysis method for {CMOS} cryptographic circuits}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {458--463}, year = {2012}, url = {https://doi.org/10.1587/elex.9.458}, doi = {10.1587/ELEX.9.458}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakahashiM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakenakaM12, author = {Takashi Takenaka and Toshifumi Moriyama}, title = {A novel inverse scattering approach for a stratified slab without explicit knowledge of incident fields}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1243--1248}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1243}, doi = {10.1587/ELEX.9.1243}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakenakaM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakeuchiHT12, author = {Ken Takeuchi and Teruyoshi Hatanaka and Shuhei Tanakamaru}, title = {Highly reliable, high speed and low power {NAND} flash memory-based Solid State Drives (SSDs)}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {779--794}, year = {2012}, url = {https://doi.org/10.1587/elex.9.779}, doi = {10.1587/ELEX.9.779}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakeuchiHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TamjidiSSNSH12, author = {Nastaran Tamjidi and Kohei Sato and Ryo Suzaki and Yutaka Nakamitsu and Junpei Sakurai and Seiichi Hata}, title = {High-frequency fatigue test of metallic thin films using {PVDF} microactuator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {403--409}, year = {2012}, url = {https://doi.org/10.1587/elex.9.403}, doi = {10.1587/ELEX.9.403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TamjidiSSNSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TammamYYARKY12, author = {Emad Tammam and Lingsheng Yang and Kuniaki Yoshitomi and Ahmed Allam and Mohammed Ragab and Haruichi Kanaya and Keiji Yoshida}, title = {Design of a compact size {UWB} planar antenna with WiMAX band rejection}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1304--1309}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1304}, doi = {10.1587/ELEX.9.1304}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TammamYYARKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TanSRC12, author = {Gim Heng Tan and Roslina Mohd Sidek and Harikrishnan Ramiah and Wei{-}Keat Chong}, title = {Design of ultra-low voltage 0.5V {CMOS} current bleeding mixer}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {990--997}, year = {2012}, url = {https://doi.org/10.1587/elex.9.990}, doi = {10.1587/ELEX.9.990}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TanSRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Tanaka12, author = {Takuo Tanaka}, title = {Plasmonic metamaterials}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {34--50}, year = {2012}, url = {https://doi.org/10.1587/elex.9.34}, doi = {10.1587/ELEX.9.34}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Tanaka12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TanakaFKA12, author = {Takayuki Tanaka and Takayuki Fujimoto and Kengo Kawasaki and Masayoshi Aikawa}, title = {A positive feedback type push-push {VCO} using series connected phase shifters}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {391--396}, year = {2012}, url = {https://doi.org/10.1587/elex.9.391}, doi = {10.1587/ELEX.9.391}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TanakaFKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TashiroHKYIMNHTK12, author = {Takayoshi Tashiro and Kazutaka Hara and Junichi Kani and Naoto Yoshimoto and Katsumi Iwatsuki and Kenji Miyamoto and Tatsuya Nishiumi and Takeshi Higashino and Katsutoshi Tsukamoto and Shozo Komaki}, title = {Experimental demonstration of RoF-DAS over {WDM-PON} with bandpass-sampling and optical {TDM} techniques}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {206--212}, year = {2012}, url = {https://doi.org/10.1587/elex.9.206}, doi = {10.1587/ELEX.9.206}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TashiroHKYIMNHTK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TeranishiSSASY12, author = {Atsushi Teranishi and Kaoru Shizuno and Safumi Suzuki and Masahiro Asada and Hiroki Sugiyama and Haruki Yokoyama}, title = {Fundamental oscillation up to 1.08THz in resonant tunneling diodes with high-indium-composition transit layers for reduction of transit delay}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {5}, pages = {385--390}, year = {2012}, url = {https://doi.org/10.1587/elex.9.385}, doi = {10.1587/ELEX.9.385}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TeranishiSSASY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TokuhiraSYN12, author = {Kazunari Tokuhira and Fumitaka Suzuki and Masato Yoshida and Masataka Nakazawa}, title = {A Cesium optical atomic clock with high optical frequency stability}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1496--1503}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1496}, doi = {10.1587/ELEX.9.1496}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TokuhiraSYN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TomizawaLKTAHF12, author = {Yasushi Tomizawa and Yongfang Li and Akihiro Koga and Hiroshi Toshiyoshi and Yasuhisa Ando and Gen Hashiguchi and Hiroyuki Fujita}, title = {Electric contact stability of anti-wear probes}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1675--1682}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1675}, doi = {10.1587/ELEX.9.1675}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TomizawaLKTAHF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Torres-HuitzilDN12, author = {C{\'{e}}sar Torres{-}Huitzil and Marco Delgadillo{-}Escobar and Marco Aurelio Nu{\~{n}}o{-}Maganda}, title = {Comparison between 2D cellular automata based pseudorandom number generators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1391--1396}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1391}, doi = {10.1587/ELEX.9.1391}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Torres-HuitzilDN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Trinh-VanH12, author = {Son Trinh{-}Van and Keum{-}Cheol Hwang}, title = {Meandered {UC-EBG} structure for a reduction of the mutual coupling in a patch antenna array}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1748--1755}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1748}, doi = {10.1587/ELEX.9.1748}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Trinh-VanH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UedaI12, author = {Tetsuya Ueda and Tatsuo Itoh}, title = {Mu-negative, double-negative, and composite right/left handed metamaterials based on dielectric resonators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {65--80}, year = {2012}, url = {https://doi.org/10.1587/elex.9.65}, doi = {10.1587/ELEX.9.65}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UedaI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UedaM0M012, author = {Shun Ueda and Yusuke Miyawaki and Jun Wang and Toshimasa Matsuoka and Kenji Taniguchi}, title = {Wireless on-chip microparticle manipulation using pulse-driven dielectrophoresis}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {1}, pages = {16--22}, year = {2012}, url = {https://doi.org/10.1587/elex.9.16}, doi = {10.1587/ELEX.9.16}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UedaM0M012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ugajin12, author = {Mamoru Ugajin}, title = {Proposal of channel-grouping wireless-transceiver architecture for suppressing local-oscillator phase noise}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {86--91}, year = {2012}, url = {https://doi.org/10.1587/elex.9.86}, doi = {10.1587/ELEX.9.86}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ugajin12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Vazquez-LealFYHCSMD12, author = {H{\'{e}}ctor V{\'{a}}zquez{-}Leal and Uriel Filobello{-}Ni{\~{n}}o and Ahmet Yildirim and Luis Hern{\'{a}}ndez{-}Mart{\'{\i}}nez and Roberto Casta{\~{n}}eda{-}Sheissa and Jesus Sanchez{-}Orea and Jes{\'{u}}s Ezequiel Molinar{-}Sol{\'{\i}}s and Alejandro D{\'{\i}}az{-}S{\'{a}}nchez}, title = {Transient and {DC} approximate expressions for diode circuits}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {522--530}, year = {2012}, url = {https://doi.org/10.1587/elex.9.522}, doi = {10.1587/ELEX.9.522}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Vazquez-LealFYHCSMD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/VelrajkumarSRW12, author = {Pitchandi Velrajkumar and Chinnaiyan Senthilpari and Gajula Ramana Murthy and E. K. Wong}, title = {Bit parallel - iterative circuit for robotic application}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {443--449}, year = {2012}, url = {https://doi.org/10.1587/elex.9.443}, doi = {10.1587/ELEX.9.443}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/VelrajkumarSRW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCZWCLN12, author = {Yaohua Wang and Shuming Chen and Kai Zhang and Jianghua Wan and Hu Chen and Sheng Liu and Xi Ning}, title = {A cost conscious performance model for media processors}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {978--984}, year = {2012}, url = {https://doi.org/10.1587/elex.9.978}, doi = {10.1587/ELEX.9.978}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCZWCLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangGY12, author = {Junhui Wang and Huaxi Gu and Yintang Yang}, title = {Cluster mesh: a topology for three-dimensional network-on-chip}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1254--1259}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1254}, doi = {10.1587/ELEX.9.1254}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangGY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangH12, author = {Sen Wang and Bo{-}Zong Huang}, title = {\emph{K}-band {CMOS} {LNA} with interference-rejection using \emph{Q}-enhanced notch filter}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {938--944}, year = {2012}, url = {https://doi.org/10.1587/elex.9.938}, doi = {10.1587/ELEX.9.938}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangKLPY12, author = {Weizheng Wang and Jishun Kuang and Peng Liu and Xin Peng and Zhiqiang You}, title = {Switching activity reduction for scan-based {BIST} using weighted scan input data}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {10}, pages = {874--880}, year = {2012}, url = {https://doi.org/10.1587/elex.9.874}, doi = {10.1587/ELEX.9.874}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangKLPY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangL12, author = {Sen Wang and Zi{-}Kang Li}, title = {A 6-32GHz {T/R} switch in 0.18-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {590--595}, year = {2012}, url = {https://doi.org/10.1587/elex.9.590}, doi = {10.1587/ELEX.9.590}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZCLT12, author = {Liyun Wang and Chun Zhang and Liguang Chen and Jinmei Lai and Jiarong Tong}, title = {A novel memristor-based rSRAM structure for multiple-bit upsets immunity}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {9}, pages = {861--867}, year = {2012}, url = {https://doi.org/10.1587/elex.9.861}, doi = {10.1587/ELEX.9.861}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZCLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuCC12, author = {Minshun Wu and Guican Chen and Degang Chen}, title = {{ADC} jitter estimation using a single frequency test without requiring coherent sampling}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1485--1491}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1485}, doi = {10.1587/ELEX.9.1485}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WuCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuOGIM12, author = {Ifong Wu and Hiroki Ohta and Kaoru Gotoh and Shinobu Ishigami and Yasushi Matsumoto}, title = {Relation between electromagnetic noise from {LED} light bulb and its impact on bit error rate performance of {DTTB}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {666--672}, year = {2012}, url = {https://doi.org/10.1587/elex.9.666}, doi = {10.1587/ELEX.9.666}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WuOGIM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieGLWYL12, author = {Peibo Xie and Huaxi Gu and Yuan Liu and Xiangbin Wu and Hua You and Dong Liu}, title = {A virtual hierarchical optical mesh based data center network}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {172--178}, year = {2012}, url = {https://doi.org/10.1587/elex.9.172}, doi = {10.1587/ELEX.9.172}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieGLWYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieJXJL12, author = {Yufeng Xie and Wenxiang Jian and Xiaoyong Xue and Gang Jin and Yinyin Lin}, title = {64Kb logic {RRAM} chip resisting physical and side-channel attacks for encryption keys storage}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1051--1056}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1051}, doi = {10.1587/ELEX.9.1051}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XieJXJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuCW12, author = {F. Xu and X. Chen and Xin{-}An Wang}, title = {{UWB} antenna with triple notched bands based on folded multiple-mode resonators}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {965--970}, year = {2012}, url = {https://doi.org/10.1587/elex.9.965}, doi = {10.1587/ELEX.9.965}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuJMCS12, author = {Xinxin Xu and Jianjun Jiang and Ling Miao and Qian Chen and Biao Sun}, title = {Design of tunable metamaterial absorbers based on {PIN} diodes}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1408--1413}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1408}, doi = {10.1587/ELEX.9.1408}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuJMCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuSZL12, author = {Ziqiang Xu and Yu Shi and Wanting Zhou and Jiaxuan Liao}, title = {Compact {LTCC} source-load coupled {SIW} filter using mixed coupling}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1349--1354}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1349}, doi = {10.1587/ELEX.9.1349}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuSZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YamamotoIN12, author = {Hiroshi Yamamoto and Hiroshi Ito and Makoto Noshiro}, title = {Desiccation of biological tissue measured by photonic millimeter-wave ellipsometry}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {1}, pages = {29--33}, year = {2012}, url = {https://doi.org/10.1587/elex.9.29}, doi = {10.1587/ELEX.9.29}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YamamotoIN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YamashitaTMI12, author = {Takahiro Yamashita and Seiichi Takamatsu and Koji Miyake and Toshihiro Itoh}, title = {Fabrication of conductive polymer coated elastomer contact structures using a reel-to-reel continuous fiber process}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1442--1447}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1442}, doi = {10.1587/ELEX.9.1442}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YamashitaTMI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yang012, author = {Jinyoung Yang and Daeyoung Kim}, title = {Precise time synchronization based on ripple flooding in wireless sensor networks}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {691--697}, year = {2012}, url = {https://doi.org/10.1587/elex.9.691}, doi = {10.1587/ELEX.9.691}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yang012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangCWL12, author = {Hui Yang and Shuming Chen and Tiebin Wu and Sheng Liu}, title = {Control-enhanced power-SIMD}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1147--1152}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1147}, doi = {10.1587/ELEX.9.1147}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangCWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangCWL12a, author = {Hui Yang and Shuming Chen and Tiebin Wu and Sheng Liu}, title = {Erratum: Control-enhanced power-SIMD {[IEICE} Electronics Express Vol.9 (2012), No 14 pp 1147-1152]}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1369}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1369}, doi = {10.1587/ELEX.9.1369}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangCWL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangDL12, author = {Hui Yang and Huanyao Dai and Yong Liu}, title = {Spatial Polarization Characteristic of orthogonal polarization binary array antenna}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1316--1321}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1316}, doi = {10.1587/ELEX.9.1316}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangDL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLW12, author = {Jian Yang and Chang Liu and Yanfei Wang}, title = {Adaptive Doppler centroid estimation algorithm of airborne {SAR}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1135--1140}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1135}, doi = {10.1587/ELEX.9.1135}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLW12a, author = {Jian Yang and Chang Liu and Yanfei Wang}, title = {Real-time {DBS} imaging algorithm based on chirp z-transform}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {21}, pages = {1660--1665}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1660}, doi = {10.1587/ELEX.9.1660}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLW12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangSWHXL12, author = {Miao Yang and Weifeng Sun and Qing Wang and Caixia Han and Shen Xu and Shengli Lu}, title = {A dual-mode single-inductor dual-output dc-dc converter with fast transient response}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {23}, pages = {1780--1785}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1780}, doi = {10.1587/ELEX.9.1780}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangSWHXL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangTYIKYIFKT12, author = {Lingsheng Yang and Emad Tammam and Kuniaki Yoshitomi and Satoshi Ijiguchi and Haruichi Kanaya and Keiji Yoshida and Akira Ishikawa and Shugo Fukagawa and Noriyuki Kodama and Akihiro Tahira}, title = {CPW-fed slot antenna for {UWB} short-range impulse radar systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {20}, pages = {1604--1610}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1604}, doi = {10.1587/ELEX.9.1604}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangTYIKYIFKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YaoH12, author = {Atsushi Yao and Takashi Hikihara}, title = {Reading and writing operations of memory device in micro-electromechanical resonator}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {14}, pages = {1230--1236}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1230}, doi = {10.1587/ELEX.9.1230}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YaoH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YargholiT12, author = {Mostafa Yargholi and Asieh Parhizkar Tarighat}, title = {{UWB} resistive feedback {LNA} employing noise and distortion cancellation}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1370--1377}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1370}, doi = {10.1587/ELEX.9.1370}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YargholiT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yoon12, author = {Won{-}Ju Yoon}, title = {A novel tag collection algorithm for iterative {RFID} tag collections}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {296--301}, year = {2012}, url = {https://doi.org/10.1587/elex.9.296}, doi = {10.1587/ELEX.9.296}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yoon12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonP12, author = {Joonhee Yoon and Sung{-}Kwon Park}, title = {Realization of extended {IGMP} in {GPON} for {IPTV}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {6}, pages = {552--557}, year = {2012}, url = {https://doi.org/10.1587/elex.9.552}, doi = {10.1587/ELEX.9.552}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshimotoTOSMKY12, author = {Shusuke Yoshimoto and Masaharu Terada and Shunsuke Okumura and Toshikazu Suzuki and Shinji Miyano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {A 40-nm 256-Kb Half-Select Resilient 8T {SRAM} with Sequential Writing Technique}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1023--1029}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1023}, doi = {10.1587/ELEX.9.1023}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshimotoTOSMKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshiokaYTY12, author = {Hideharu Yoshioka and Lingsheng Yang and Emad Tammam and Kuniaki Yoshitomi}, title = {A highly compact dual-band {WLAN/UWB} monopole antenna}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {160--164}, year = {2012}, url = {https://doi.org/10.1587/elex.9.160}, doi = {10.1587/ELEX.9.160}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshiokaYTY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YouJDLGY12, author = {Yang You and Jian Jin and Wei Duan and Ningning Liu and Yuantao Gu and Jian Yang}, title = {Zero-point attracting projection algorithm for sequential compressive sensing}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {314--319}, year = {2012}, url = {https://doi.org/10.1587/elex.9.314}, doi = {10.1587/ELEX.9.314}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YouJDLGY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YouWLKQ12, author = {Zhiqiang You and Weizheng Wang and Peng Liu and Jishun Kuang and Zheng Qin}, title = {A scan disabling-based {BAST} scheme for test cost and test power reduction}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {2}, pages = {111--116}, year = {2012}, url = {https://doi.org/10.1587/elex.9.111}, doi = {10.1587/ELEX.9.111}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YouWLKQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YousefiMMA12, author = {Mahdi Yousefi and Mohammad Mosalanejad and Gholamreza Moradi and Abdolali Abdipour}, title = {Dual band planar hybrid coupler with enhanced bandwidth using particle swarm optimization technique}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1030--1035}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1030}, doi = {10.1587/ELEX.9.1030}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YousefiMMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuGYBY12, author = {Xiaoshan Yu and Huaxi Gu and Yintang Yang and Luying Bai and Hua You}, title = {A multi-wavelength communication strategy for 2D-mesh Network-on-Chip}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {7}, pages = {706--711}, year = {2012}, url = {https://doi.org/10.1587/elex.9.706}, doi = {10.1587/ELEX.9.706}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuGYBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuanT12, author = {Jun Yuan and Masayoshi Tachibana}, title = {A common-mode {BIST} technique for fully-differential sample-and-hold circuits}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1128--1134}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1128}, doi = {10.1587/ELEX.9.1128}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuanT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZarghamiMKM12, author = {Majid Zarghami and Yasser Mafinejad and Abbas Z. Kouzani and Khalil Mafinezhad}, title = {Low actuation-voltage shift in {MEMS} switch using ramp dual-pulse}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1062--1068}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1062}, doi = {10.1587/ELEX.9.1062}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZarghamiMKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZawawiS12, author = {Ruhaifi Abdullah Zawawi and Othman Sidek}, title = {A new curvature-corrected {CMOS} bandgap voltage reference}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {240--244}, year = {2012}, url = {https://doi.org/10.1587/elex.9.240}, doi = {10.1587/ELEX.9.240}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZawawiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZehforooshNSG12, author = {Yashar Zehforoosh and Mohammad Naser{-}Moghadasi and Ramazan Ali Sadeghzadeh and Changiz Ghobadi}, title = {Miniature monopole fractal antenna with inscribed arrowhead cuts for {UWB} applications}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {24}, pages = {1855--1860}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1855}, doi = {10.1587/ELEX.9.1855}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZehforooshNSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCCWCLL12, author = {Kai Zhang and Shuming Chen and Hu Chen and Yaohua Wang and Xiaowen Chen and Sheng Liu and Wei Liu}, title = {{CMRF:} a Configurable Matrix Register File for accelerating matrix operations on {SIMD} processors}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {4}, pages = {283--289}, year = {2012}, url = {https://doi.org/10.1587/elex.9.283}, doi = {10.1587/ELEX.9.283}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCCWCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangGYWW12, author = {Bixia Zhang and Huaxi Gu and Yintang Yang and Kun Wang and Zhengyu Wang}, title = {Thermal and competition aware mapping for 3D network-on-chip}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {19}, pages = {1510--1515}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1510}, doi = {10.1587/ELEX.9.1510}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangGYWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLCWLZ12, author = {Jiliang Zhang and Yaping Lin and Wenjie Che and Qiang Wu and Yongqiang Lu and Kang Zhao}, title = {Efficient verification of {IP} watermarks in {FPGA} designs through lookup table content extracting}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {22}, pages = {1735--1741}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1735}, doi = {10.1587/ELEX.9.1735}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLCWLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLHLZ12, author = {Jianfeng Zhang and Hengzhu Liu and Wenmin Hu and Dongpei Liu and Botao Zhang}, title = {Adaptive recoding {CORDIC}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {8}, pages = {765--771}, year = {2012}, url = {https://doi.org/10.1587/elex.9.765}, doi = {10.1587/ELEX.9.765}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLHLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLZWLZ12, author = {Jiliang Zhang and Yongqiang Lu and Qiang Zhou and Qiang Wu and Yaping Lin and Kang Zhao}, title = {TimFastPlace: Critical-path based timing driven FastPlace}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {16}, pages = {1310--1315}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1310}, doi = {10.1587/ELEX.9.1310}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLZWLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangSLB12, author = {Guohe Zhang and Jun Shao and Feng Liang and Dongxuan Bao}, title = {A novel single event upset hardened {CMOS} {SRAM} cell}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {3}, pages = {140--145}, year = {2012}, url = {https://doi.org/10.1587/elex.9.140}, doi = {10.1587/ELEX.9.140}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangSLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangTSLL12, author = {Guohe Zhang and Hui{-}Bin Tao and Jun Shao and Shaochong Lei and Feng Liang}, title = {Low-power programmable linear-phase filter designed for fully balanced bio-signal recording application}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {17}, pages = {1402--1407}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1402}, doi = {10.1587/ELEX.9.1402}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangTSLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWXY12, author = {Yuejun Zhang and Pengjun Wang and Baoyu Xiong and Zhiyi Yu}, title = {Design of a high information-density multiple valued 2-read 1-write register file}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {11}, pages = {958--964}, year = {2012}, url = {https://doi.org/10.1587/elex.9.958}, doi = {10.1587/ELEX.9.958}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWXY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouLZ12, author = {Li Zhou and Hengzhu Liu and Botao Zhang}, title = {Flexible and high-efficiency turbo product code decoder design}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {12}, pages = {1044--1050}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1044}, doi = {10.1587/ELEX.9.1044}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouWGSZ12, author = {Lin Zhou and Fan Wang and Huotao Gao and Yuxiang Sun and Huajun Zhang}, title = {Design and implementation of equilateral triangle array digital direction finding system}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {13}, pages = {1102--1108}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1102}, doi = {10.1587/ELEX.9.1102}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouWGSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZYGLY12, title = {A fast-locking low-jitter pulsewidth control loop for high-speed pipelined {ADC}}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {15}, pages = {1237--1242}, year = {2012}, note = {Withdrawn.}, url = {https://doi.org/10.1587/elex.9.1237}, doi = {10.1587/ELEX.9.1237}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZYGLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.