Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/ieiceee/ieiceee12.bht:"
@article{DBLP:journals/ieiceee/AbbasiZA15, author = {Shuja Ahmad Abbasi and Zulhelmi and Abdul Rahman M. Alamoud}, title = {{FPGA} design, simulation and prototyping of a high speed 32-bit pipeline multiplier based on Vedic mathematics}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150450}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150450}, doi = {10.1587/ELEX.12.20150450}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AbbasiZA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbbasianJ15, author = {Sadegh Abbasian and Thomas Johnson}, title = {High efficiency GaN {HEMT} class-F synchronous rectifier for wireless applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20140952}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20140952}, doi = {10.1587/ELEX.11.20140952}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AbbasianJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AbdullahSMEZ15, author = {Mohd Nizam Abdullah and Sahbudin Shaari and P. Susthitha Menon and Abang Annuar Ehsan and Osman Zakaria}, title = {Observation and comparison of multiwavelength generation erbium doped fibre ring laser utilising photonic crystal fibre with zero dispersion at 1040 nm and 1550 nm}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150413}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150413}, doi = {10.1587/ELEX.12.20150413}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AbdullahSMEZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AkitaI15, author = {Ippei Akita and Makoto Ishida}, title = {A current noise reduction technique in chopper instrumentation amplifier for high-impedance sensors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150374}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150374}, doi = {10.1587/ELEX.12.20150374}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AkitaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AlmslmanyCW15, author = {Amir Almslmany and Qunsheng Cao and Caiyun Wang}, title = {A new airborne self-protection jammer for countering ground radars based on sub-Nyquist}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150291}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150291}, doi = {10.1587/ELEX.12.20150291}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AlmslmanyCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AltafALZLY15, author = {Meteb M. Altaf and Eball H. Ahmad and Wei Li and Houxiang Zhang and Guoyuan Li and Changshun Yuan}, title = {An ultra-high-speed {FPGA} based digital correlation processor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150214}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150214}, doi = {10.1587/ELEX.12.20150214}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AltafALZLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AmornwongpeetiE15, author = {Sarayut Amornwongpeeti and Mongkol Ekpanyapong and Nattapon Chayopitak and Jo{\~{a}}o L. Monteiro and J{\'{u}}lio S. Martins and Jo{\~{a}}o Luiz Afonso}, title = {A single chip FPGA-based cross-coupling multi-motor drive system}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150383}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150383}, doi = {10.1587/ELEX.12.20150383}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AmornwongpeetiE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AnnuarRR15, author = {Ishak Annuar and Jalal Rouhi and Mohamad Rusop}, title = {Boron-doped amorphous carbon film grown by bias assisted pyrolysis chemical vapor deposition}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20140937}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20140937}, doi = {10.1587/ELEX.11.20140937}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AnnuarRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsakuraT15, author = {Hideaki Asakura and Hiroyuki Tsuda}, title = {Design and characterization of an arrayed-waveguide grating router with an interleave-chirped array}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150261}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150261}, doi = {10.1587/ELEX.12.20150261}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsakuraT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AslamKHAT15, author = {Bilal Aslam and Umar Hasan Khan and Ayesha Habib and Yasar Amin and Hannu Tenhunen}, title = {Frequency signature chipless {RFID} tag with enhanced data capacity}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150623}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150623}, doi = {10.1587/ELEX.12.20150623}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AslamKHAT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AydinPY15, author = {Omer Aydin and Osman Palamut{\c{c}}uogullari and Binboga Siddik Yarman}, title = {Effect of offset lines in Doherty power amplifiers}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150867}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150867}, doi = {10.1587/ELEX.12.20150867}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AydinPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Bai15, author = {Xiaohui Bai}, title = {A low-distortion {\(\Sigma\)}{\(\Delta\)} capacitive microaccelerometer with self-test circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150554}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150554}, doi = {10.1587/ELEX.12.20150554}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Bai15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiTL15, author = {Li Cai and Yuegang Tan and Tianliang Li}, title = {Application of interpolation algorithm in plate structure detection based on Fiber Bragg grating sensing technology}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150271}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150271}, doi = {10.1587/ELEX.12.20150271}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaoTW15, author = {Yu Cao and Xiaohong Tang and Ling Wang}, title = {A compact ultra-wideband power divider with favorable selectivity using transversal filtering transformer}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150427}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150427}, doi = {10.1587/ELEX.12.20150427}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaoTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaoTWY15, author = {Xin Cao and Zongxi Tang and Fei Wang and Kai Yang}, title = {A tunable dual-band bandpass filter using asymmetrical varactor-loaded HWRs and defected ground structure}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150482}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150482}, doi = {10.1587/ELEX.12.20150482}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/CaoTWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChaeCK15, author = {Hyukjin Chae and Ilnam Cho and Dong Ku Kim}, title = {Methods for mitigating inter-carrier interference caused by power amplifier transient in {LTE} modem}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141177}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141177}, doi = {10.1587/ELEX.12.20141177}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChaeCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChaenTJH15, author = {Yutaka Chaen and Kazuhiro Tanabe and Haisong Jiang and Kiichi Hamamoto}, title = {Low wavelength dependency design for {MMI} (multi-mode interference) mode converter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150727}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150727}, doi = {10.1587/ELEX.12.20150727}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChaenTJH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenCW15a, title = {Retraction: Design of ultra low noise amplifier for noise measurement in inverter fault diagnosis}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20158002}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158002}, doi = {10.1587/ELEX.12.20158002}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenCW15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenDDTZWF15, author = {Zhiqing Chen and Chuifu Dan and Yiling Ding and Li Tian and Qi Zhang and Hui Wang and Songlin Feng}, title = {A 21.4 pW/frame-pixel {PWM} image sensor with sub-threshold leakage reduction and two-step readout}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150711}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150711}, doi = {10.1587/ELEX.12.20150711}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenDDTZWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenHSC15, author = {Ming{-}Jen Chen and Yi{-}Mao Hsiao and Hui{-}Kai Su and Yuan{-}Sun Chu}, title = {High-throughput {ASIC} design for e-mail and web intrusion detection}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20140854}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20140854}, doi = {10.1587/ELEX.12.20140854}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenHSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenTYFM15, author = {Yong Chen and Xi Tan and Na Yan and Yibo Fan and Hao Min}, title = {A high-efficiency rectifier for passive {UHF} {RFID} with wide incident power range}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150194}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150194}, doi = {10.1587/ELEX.12.20150194}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenTYFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWC15, author = {Chao Chen and Jianhui Wu and Zhikuang Cai}, title = {A 0.6 {V} passive mixer with high conversion gain in 65 nm {CMOS} process}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141127}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141127}, doi = {10.1587/ELEX.12.20141127}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWK15, author = {Hua{-}Pin Chen and San{-}Fu Wang and Yi{-}Tsen Ku}, title = {CCCCTA-based resistorless voltage and current mode quadrature oscillator}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150449}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150449}, doi = {10.1587/ELEX.12.20150449}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWKH15, author = {Hua{-}Pin Chen and San{-}Fu Wang and Yi{-}Tsen Ku and Ming{-}Yuan Hsieh}, title = {Quadrature oscillators using two CFOAs and four passive components}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141148}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141148}, doi = {10.1587/ELEX.12.20141148}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWQ15, author = {Weizhong Chen and Wei Wang and Xi Qu}, title = {A separated {RC-IGBT} with {PIN} and {MPS} diode}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150443}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150443}, doi = {10.1587/ELEX.12.20150443}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenXF0FR15, author = {Chixiao Chen and Jixuan Xiang and Jiang Fan and Xu Jun and Ye Fan and Junyan Ren}, title = {A 270-MS/s 6-b {SAR} {ADC} with preamplifier sharing and self-locking comparators}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20141143}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141143}, doi = {10.1587/ELEX.12.20141143}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenXF0FR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChengZYCWFLWG15, author = {Zhiqun Cheng and Dandan Zhu and Guoguo Yan and Shuai Chen and Kai Wang and Kaikai Fan and Guohua Liu and Hui Wang and Steven Gao}, title = {Design and fabrication of ultra-wideband power amplifier based on GaN {HEMT}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150703}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150703}, doi = {10.1587/ELEX.12.20150703}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChengZYCWFLWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoC15, author = {Keol Cho and Ki{-}Seok Chung}, title = {Conditional termination check min-sum algorithm for efficient {LDPC} decoders}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150738}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150738}, doi = {10.1587/ELEX.12.20150738}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoK15, author = {Yongwoon Cho and Taeseok Kim}, title = {An efficient scheduling algorithm for {NCQ} within SSDs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20150066}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150066}, doi = {10.1587/ELEX.12.20150066}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoYJ15, author = {Seong Jin Cho and Seung Hyun Yun and Jae Wook Jeon}, title = {A powersaving {DVFS} algorithm based on Operational Intensity for embedded systems}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141128}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141128}, doi = {10.1587/ELEX.12.20141128}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiL15, author = {Se{-}Hyu Choi and Keon{-}Jik Lee}, title = {New systolic modular multiplication architecture for efficient Montgomery multiplication}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141051}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141051}, doi = {10.1587/ELEX.11.20141051}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiL15a, author = {Se{-}Hyu Choi and Keon{-}Jik Lee}, title = {Efficient systolic modular multiplier/squarer for fast exponentiation over \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150222}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150222}, doi = {10.1587/ELEX.12.20150222}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiL15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChooCC15, author = {Jaeyul Choo and Chihyun Cho and Jaegul Choo}, title = {Simple quasi-static analysis for coplanar stripline within multilayer dielectrics}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150361}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150361}, doi = {10.1587/ELEX.12.20150361}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChooCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChuACCC15, author = {Yen{-}Chia Chu and Nabi Sertac Artan and Dariusz Czarkowski and Le{-}Ren Chang{-}Chien and H. Jonathan Chao}, title = {High-efficiency high-current drive power converter {IC} for wearable medical devices}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150953}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150953}, doi = {10.1587/ELEX.12.20150953}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChuACCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CuiJZL15, author = {Yiran Cui and Long Jin and Zhengheng Zhang and Lei Li}, title = {Novel design of substrate integrated waveguide filter employing broadside-coupled complementary split ring resonators}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150188}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150188}, doi = {10.1587/ELEX.12.20150188}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CuiJZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CuiKK15, author = {Chenglin Cui and Seong{-}Kyun Kim and Byung{-}Sung Kim}, title = {A {K} band two stage compact {CMOS} {LNA} considering proximate magnetic coupling}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150851}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150851}, doi = {10.1587/ELEX.12.20150851}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CuiKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengLLHHZ15, author = {Zhongliang Deng and Wenliang Lin and Ning Li and Ke Han and Yunlong Hou and Lin Zhang}, title = {The uncertainty entropy of low-rate speech quality evaluation and the analyses of the gray correlation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141019}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141019}, doi = {10.1587/ELEX.12.20141019}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengLLHHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DingTHZWWF15, author = {Yiling Ding and Li Tian and Zunkai Huang and Qi Zhang and Ning Wang and Hui Wang and Songlin Feng}, title = {A novel current-biased voltage-programmed pixel circuit with low temperature polycrystalline silicon thin film transistors for {AMOLED}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150899}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150899}, doi = {10.1587/ELEX.12.20150899}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DingTHZWWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DingWLZ15, author = {Ruixue Ding and Qinglong Wu and Yuhua Liang and Zhangming Zhu}, title = {Ultra-low energy switching scheme for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150439}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150439}, doi = {10.1587/ELEX.12.20150439}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DingWLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DongYLZJ15, author = {Jun Dong and Tao Yang and Yu Liu and Yihong Zhou and Haiyan Jin}, title = {Broadband stripline to rectangular waveguide transition}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150117}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150117}, doi = {10.1587/ELEX.12.20150117}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DongYLZJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuSZW15, author = {Xiaolin Du and Tao Su and Jibin Zheng and Xu Wang}, title = {Novel design of Doppler resilient complete complementary sequence for {MIMO} radar}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150269}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150269}, doi = {10.1587/ELEX.12.20150269}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/DuSZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuanDLZHT15, author = {Zhikui Duan and Yi Ding and Chong Lu and Zhenyu Zhao and Jianguo Hu and Hong{-}Zhou Tan}, title = {A single-event transient hardened {LDO} regulator with built-in filter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150850}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150850}, doi = {10.1587/ELEX.12.20150850}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuanDLZHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuongMHO15, author = {Quang Thang Duong and Takuya Maekawa and Takeshi Higashino and Minoru Okada}, title = {Dynamic charging using parallel line feeder with hybrid inductive-capacitive coupling and receiver-side load control}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150854}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150854}, doi = {10.1587/ELEX.12.20150854}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuongMHO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FangZZZYL15, author = {Yong Fang and Baoqing Zeng and Zhicai Zhang and Hai Zhang and Lei Yu and Lei Li}, title = {Analysis and design of rectangular waveguide to substrate integrated waveguide transition with voltage and current probe in W-band}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150682}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150682}, doi = {10.1587/ELEX.12.20150682}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FangZZZYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujishimaA15, author = {Minoru Fujishima and Shuhei Amakawa}, title = {Recent progress and prospects of terahertz {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20152006}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152006}, doi = {10.1587/ELEX.12.20152006}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujishimaA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoBMC15, author = {Peifang Gao and Yuan Bai and Xiurong Ma and Bingxue Chen}, title = {A novel method on pilot selection for sparse channel estimation in {OFDM} systems}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150249}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150249}, doi = {10.1587/ELEX.12.20150249}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoBMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoL15, author = {Junfeng Gao and Guangjun Li}, title = {Signal independent digital calibration technique for {SAR} {ADC} with one bit redundancy}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150068}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150068}, doi = {10.1587/ELEX.12.20150068}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoLL15, author = {Junfeng Gao and Guangjun Li and Qiang Li}, title = {Central span switching structure for {SAR} {ADC} with improved linearity and reduced {DAC} power}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150047}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150047}, doi = {10.1587/ELEX.12.20150047}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GotoASS15, author = {Keiji Goto and Ryo Asai and Naokatsu Sumikawa and Taweedej Santikul}, title = {Time-domain asymptotic-numerical solution for transient scattered electric field by a coated conducting cylinder covered with a thin lossy dielectric material}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141110}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141110}, doi = {10.1587/ELEX.12.20141110}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GotoASS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuYR15, author = {Weiru Gu and Fan Ye and Junyan Ren}, title = {Switch-back based on charge equalization switching technique for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150036}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150036}, doi = {10.1587/ELEX.12.20150036}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuYR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoDLLL15, author = {Song Guo and Yong Dou and Yuanwu Lei and Rongchun Li and Yu Li}, title = {An efficient multi-standard {QC-LDPC} decoder based on the row-layered decoding algorithm}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150356}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150356}, doi = {10.1587/ELEX.12.20150356}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoDLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoDLW15, author = {Song Guo and Yong Dou and Yuanwu Lei and Guiming Wu}, title = {A deeply-pipelined FPGA-based SpMV accelerator with a hardware-friendly storage scheme}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150161}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150161}, doi = {10.1587/ELEX.12.20150161}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoDLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoHLWWL15, author = {Yu Guo and Shanwen Hu and Xiaozhou Liu and Haodong Wu and Guojun Wang and Guann{-}Pyng Li}, title = {A compact and customizable operation frequency filter for broadband applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150576}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150576}, doi = {10.1587/ELEX.12.20150576}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoHLWWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoSLWWL15, author = {Yu Guo and Kexue Sun and Xiaozhou Liu and Haodong Wu and Guojun Wang and Guann{-}Pyng Li}, title = {A compact configurable dual-band bandpass filter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150931}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150931}, doi = {10.1587/ELEX.12.20150931}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoSLWWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoWGCYZ15, author = {Yawei Guo and Yue Wu and Dongdong Guo and Xu Cheng and Zhiyi Yu and Xiaoyang Zeng}, title = {Non-binary digital calibration for split-capacitor {DAC} in {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20150001}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150001}, doi = {10.1587/ELEX.12.20150001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoWGCYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoZLY15, author = {Wei Guo and Minxuan Zhang and Peng Li and Chaoyun Yao}, title = {Floorplanner for multi-core micro-processors in 3D ICs with interlayer cooling system}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150489}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150489}, doi = {10.1587/ELEX.12.20150489}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoZLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HagaMSK15, author = {Nozomi Haga and Kuniyuki Motojima and Mitsuru Shinagawa and Yuichi Kado}, title = {A note on signal paths in intrabody communication channels}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150402}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150402}, doi = {10.1587/ELEX.12.20150402}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HagaMSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanFJW15, author = {Xing Han and Yuzhuo Fu and Jiang Jiang and Chang Wang}, title = {A deadlock-free subnetting mechanism for high performance broadcasting in NoC}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150688}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150688}, doi = {10.1587/ELEX.12.20150688}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HanFJW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanWWW15, author = {Xiaowei Han and Beibei Wang and Liji Wu and An Wang}, title = {A high-performance elliptic curve cryptographic coprocessor with side channel analysis countermeasures for smart {IC} card}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150470}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150470}, doi = {10.1587/ELEX.12.20150470}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanWWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayashiNKK15, author = {Daisuke Hayashi and Kazuya Nakao and Takeo Katayama and Hitoshi Kawaguchi}, title = {Bit error rates of flip-flop operations with {AND} gate functionality using a 1.55-{\(\mathrm{\mu}\)}m polarization bistable {VCSEL}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150479}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150479}, doi = {10.1587/ELEX.12.20150479}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HayashiNKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayashiSMMN15, author = {Neisei Hayashi and Makoto Shizuka and Kazunari Minakawa and Yosuke Mizuno and Kentaro Nakamura}, title = {Simplified optical correlation-domain reflectometry using polymer fiber}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150824}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150824}, doi = {10.1587/ELEX.12.20150824}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HayashiSMMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HayashiTM15, author = {Masataka Hayashi and Yasuhiro Tsunemitsu and Toshiyuki Maeyama}, title = {Analysis of penetration loss of ultra high frequency band radio waves on trains}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150142}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150142}, doi = {10.1587/ELEX.12.20150142}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HayashiTM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeZQJHP15, author = {Jiangping He and Bo Zhang and Xi Qu and Shuyan Jiang and Qing Hua and Gao Pan}, title = {A reliability improved synchronous boost converter with spike suppression circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150916}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150916}, doi = {10.1587/ELEX.12.20150916}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HeZQJHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hirata15, author = {Akihiko Hirata}, title = {Millimeter-wave system technologies for wireless communications, imaging, and sensing}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20152003}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152003}, doi = {10.1587/ELEX.12.20152003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hirata15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HirookaKWNSAW15, author = {Toshihiko Hirooka and Keisuke Kasai and Yixin Wang and Masataka Nakazawa and Masaki Shiraiwa and Yoshinari Awaji and Naoya Wada}, title = {First demonstration of digital coherent transmission in a deployed {ROADM} network with a 120 Gbit/s polarization-multiplexed 64 {QAM} signal}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150884}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150884}, doi = {10.1587/ELEX.12.20150884}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HirookaKWNSAW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HoangSI15, author = {Minh{-}Thien Hoang and Nobuyuki Sugii and Koichiro Ishibashi}, title = {A 27.6 {\(\mathrm{\mu}\)}W 315 MHz low-complexity {OOK} receiver with on-off {RF} front-end}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150206}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150206}, doi = {10.1587/ELEX.12.20150206}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HoangSI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HoangSNLHBP15, author = {Trong{-}Thuc Hoang and Hong{-}Kiet Su and Hieu{-}Binh Nguyen and Duc{-}Hung Le and Huu{-}Thuan Huynh and Trong{-}Tu Bui and Cong{-}Kha Pham}, title = {Design of co-processor for real-time HMM-based text-to-speech on hardware system applied to Vietnamese}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20150448}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150448}, doi = {10.1587/ELEX.12.20150448}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HoangSNLHBP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HokazonoLEJH15, author = {Hirohito Hokazono and Wenying Li and Syota Enami and Haisong Jiang and Kiichi Hamamoto}, title = {Gas sensing demonstration by using silica high-mesa waveguide with amplified cavity ring down spectroscopy technique}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150574}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150574}, doi = {10.1587/ELEX.12.20150574}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HokazonoLEJH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Hong15, author = {Ic{-}Pyo Hong}, title = {Paper-based frequency selective surface for stable angle of incidence}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150185}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150185}, doi = {10.1587/ELEX.12.20150185}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Hong15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuCHLC15, author = {Chunmei Hu and Shuming Chen and Pengcheng Huang and Yao Liu and Jianjun Chen}, title = {Evaluating the single event sensitivity of dynamic comparator in 5 Gbps SerDes}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150860}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150860}, doi = {10.1587/ELEX.12.20150860}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuCHLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuCWLFLLS15, author = {Jiajun Hu and Houpeng Chen and Qian Wang and Xi Li and Xi Fan and Xiaoyun Li and Yu Lei and Zhitang Song}, title = {A smart primary side current sensing strategy for single stage isolated {PFC} controller}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150901}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150901}, doi = {10.1587/ELEX.12.20150901}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuCWLFLLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuaLQZF15, author = {Qing Hua and Zehong Li and Xi Qu and Bo Zhang and Yuxiang Feng}, title = {High voltage driver {IC} with improved immunity to di/dt induced substrate noise}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150189}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150189}, doi = {10.1587/ELEX.12.20150189}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuaLQZF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangCH15, author = {Zhe{-}Yang Huang and Chun{-}Chieh Chen and Chung{-}Chih Hung}, title = {Dual-band voltage controlled oscillator with optimized Gm}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150207}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150207}, doi = {10.1587/ELEX.12.20150207}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangCH15a, author = {Zhe{-}Yang Huang and Chun{-}Chieh Chen and Chung{-}Chih Hung}, title = {A 65-77 GHz low power, meander-type transmission line {CMOS} low-noise amplifier}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150444}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150444}, doi = {10.1587/ELEX.12.20150444}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangCH15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangTZWF15, author = {Zunkai Huang and Li Tian and Qi Zhang and Hui Wang and Songlin Feng}, title = {A compact-sized 10-bit two-stage {DAC} for {AMOLED} column driver ICs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150897}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150897}, doi = {10.1587/ELEX.12.20150897}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangTZWF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWYYJP15, author = {Wuhuang Huang and Houjun Wang and Peng Ye and Kuojun Yang and Jun Jiang and Huiqin Pan}, title = {Novel sifting-based solution for multiple-converter synchronization of ultra-fast {TIADC} systems}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150585}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150585}, doi = {10.1587/ELEX.12.20150585}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWYYJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWZL15, author = {Xinjie Huang and Ning Wu and Xiaoqiang Zhang and Yaoping Liu}, title = {An evolutionary algorithm based on novel hybrid repair strategy for combinational logic circuits}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150765}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150765}, doi = {10.1587/ELEX.12.20150765}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuiY15, author = {Xu Hui and Zeng Yun}, title = {Circuit and layout combination technique to enhance multiple nodes upset tolerance in latches}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150286}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150286}, doi = {10.1587/ELEX.12.20150286}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuiY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuiYL15, author = {Xu Hui and Zeng Yun and Bin Liang}, title = {DICE-based test structure to measure the strength of charge sharing effect}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150629}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150629}, doi = {10.1587/ELEX.12.20150629}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuiYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuongM15, author = {Tran T. T. Huong and Yoshinao Mizugaki}, title = {A single-electron hysteretic inverter designed for enhancement of stochastic resonance}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150527}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150527}, doi = {10.1587/ELEX.12.20150527}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuongM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HwangPK15, author = {Chulsoon Hwang and Woocheon Park and Dong Gun Kam}, title = {Complex permittivity extraction from {PCB} stripline measurement using recessed probe launch}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150023}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150023}, doi = {10.1587/ELEX.12.20150023}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HwangPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IbuchiFUIU15, author = {Takaaki Ibuchi and Tsuyoshi Funaki and Shinji Ujita and Masahiro Ishida and Tetsuzo Ueda}, title = {Conducted noise of GaN Schottky barrier diode in a {DC-DC} converter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150912}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150912}, doi = {10.1587/ELEX.12.20150912}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IbuchiFUIU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IkedaKOSA15, author = {Yu Ikeda and Seiichirou Kitagawa and Kengo Okada and Safumi Suzuki and Masahiro Asada}, title = {Direct intensity modulation of resonant-tunneling-diode terahertz oscillator up to {\(\sim\)}30 GHz}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141161}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141161}, doi = {10.1587/ELEX.12.20141161}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IkedaKOSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JainT15, author = {Sanjeev Jain and Nikolay T. Tchamov}, title = {Start-up and frequency stability analysis using time varying root locus}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150661}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150661}, doi = {10.1587/ELEX.12.20150661}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JainT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JarvenhaaraHSTF15, author = {Jani K. Jarvenhaara and Hans Herzog and Sami Sipil{\"{a}} and Jing Tian and Igor M. Filanovsky and Nikolay T. Tchamov}, title = {High speed {DC-DC} dead time architecture}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150662}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150662}, doi = {10.1587/ELEX.12.20150662}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JarvenhaaraHSTF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeonFT15, author = {Sungho Jeon and Hiroyuki Fujita and Hiroshi Toshiyoshi}, title = {A MEMS-based interactive laser scanning display with a collocated laser range finder}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150072}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150072}, doi = {10.1587/ELEX.12.20150072}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JeonFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangHWWM15, author = {Jianfei Jiang and Weifeng He and Jizeng Wei and Qin Wang and Zhigang Mao}, title = {Design optimization for capacitive-resistively driven on-chip global interconnect}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150111}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150111}, doi = {10.1587/ELEX.12.20150111}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangHWWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiaoHF15, author = {Jiajia Jiao and Xing Han and Yuzhuo Fu}, title = {A {PGM} based multi-level reliability analysis method for Data Cache}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150453}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150453}, doi = {10.1587/ELEX.12.20150453}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiaoHF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JinZC15, author = {Haiyan Jin and Zheng Zhu and Ran Cheng}, title = {Novel broadband coupler based on corrugated half mode substrate integrated waveguide}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150896}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150896}, doi = {10.1587/ELEX.12.20150896}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JinZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JungK15, author = {Ji{-}Hyun Jung and Se{-}Yun Kim}, title = {Estimation of water level collected in an empty tunnel using cross-borehole pulse radar}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141129}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141129}, doi = {10.1587/ELEX.11.20141129}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JungK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KanamotoAAHSKKK15, author = {Toshiki Kanamoto and Takeichiro Akamine and Hiroaki Ammo and Takashi Hasegawa and Kouhei Shimizu and Yoshinori Kumano and Masaharu Kawano and Atsushi Kurokawa}, title = {Structure optimization for timing in nano scale FinFET}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150297}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150297}, doi = {10.1587/ELEX.12.20150297}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KanamotoAAHSKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KanazawaISIKTSI15, author = {Shigeru Kanazawa and Toshio Ito and Tomonari Sato and Ryuzo Iga and Wataru Kobayashi and Kiyoto Takahata and Hiroaki Sanjoh and Hiroyuki Ishii}, title = {Flip-chip mounted 25.8-Gb/s directly modulated InGaAsP {DFB} laser with Ru-doped semi-insulating buried heterostructure}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141028}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141028}, doi = {10.1587/ELEX.11.20141028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KanazawaISIKTSI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangHPKAR15, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Sanghoon Park and Ki{-}Jin Kim and Kwang{-}Ho Ahn and Seung{-}Tak Ryu}, title = {Ternary-level thermometer {C-DAC} switching scheme for flash-assisted {SAR} ADCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150302}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150302}, doi = {10.1587/ELEX.12.20150302}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangHPKAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KatoTS15, author = {Kazunari Kato and Yasuhiro Takahashi and Toshikazu Sekine}, title = {Two phase clocked subthreshold adiabatic logic circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150695}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150695}, doi = {10.1587/ELEX.12.20150695}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KatoTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawanishiSK15, author = {Tetsuya Kawanishi and Takahide Sakamoto and Atsushi Kanno}, title = {Sub-THz radio-over-fiber signal generation using external modulation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20152004}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152004}, doi = {10.1587/ELEX.12.20152004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawanishiSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Kim15, author = {Gibak Kim}, title = {Speech distortion weighted multi-channel Wiener filter and its application to speech recognition}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150063}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150063}, doi = {10.1587/ELEX.12.20150063}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Kim15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimJ15, author = {Kee{-}Won Kim and Jun{-}Cheol Jeon}, title = {A semi-systolic Montgomery multiplier over \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150769}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150769}, doi = {10.1587/ELEX.12.20150769}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimKKK15, author = {Ki{-}Chai Kim and Jae{-}Yong Kwon and Tae{-}Weon Kang and Jeong{-}Hwan Kim}, title = {Broadband calculable dipole reference antenna in the 1 GHz to 3 GHz frequency range}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150622}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150622}, doi = {10.1587/ELEX.12.20150622}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimNKS15, author = {Boo Kang Kim and Van Ha Nguyen and Nam{-}Tae Kim and Han Jung Song}, title = {Experimental study on chaotic behaviors of a Chua's circuit based on variable memristor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150457}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150457}, doi = {10.1587/ELEX.12.20150457}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimNKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KohmuraFFY15, author = {Akiko Kohmura and Katsumi Fujii and Shunichi Futatsumori and Naruto Yonemoto}, title = {New connecting structure for waveguides with special connectors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150559}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150559}, doi = {10.1587/ELEX.12.20150559}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KohmuraFFY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongSLK15, author = {In{-}Seok Kong and Kyung{-}Sub Son and Kyongsu Lee and Jin{-}Ku Kang}, title = {Precise time-difference repetition for {TDC} with delay mismatch cancelling scheme}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150752}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150752}, doi = {10.1587/ELEX.12.20150752}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KongSLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Koyanagi15, author = {Mitsumasa Koyanagi}, title = {Recent progress in 3D integration technology}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20152001}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152001}, doi = {10.1587/ELEX.12.20152001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Koyanagi15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KrishnamoorthyP15, author = {Diwakar Krishnamoorthy and Vignesh Prasanna and Karthi Ramachandran and Soma Pal}, title = {Low voltage Delta-Sigma Modulator with full range and unidirectional output}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141067}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141067}, doi = {10.1587/ELEX.12.20141067}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KrishnamoorthyP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LaiLH15, author = {Li Lai and Ping Luo and Qing Hua}, title = {A new {PWM} approach for digital boost power factor correction controller}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150279}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150279}, doi = {10.1587/ELEX.12.20150279}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LaiLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LaiLH15a, author = {Li Lai and Ping Luo and Qing Hua}, title = {A predictive digital controlled algorithm for power factor correction converter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150280}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150280}, doi = {10.1587/ELEX.12.20150280}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LaiLH15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCJ15, author = {Sung Jun Lee and Young{-}Kyun Cho and Jae Ho Jung}, title = {Compensation technique for time alignment of envelope and phase paths in an envelope delta-sigma modulator}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150372}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150372}, doi = {10.1587/ELEX.12.20150372}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJ15, author = {Seongsoo Lee and Yong{-}Ju Jang}, title = {Battery lifetime and {PSNR} quality-scalable video transmission for mobile multimedia systems}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150529}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150529}, doi = {10.1587/ELEX.12.20150529}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLKJ15, author = {Dong{-}Joon Lee and Young{-}Seob Lee and Jae{-}Yong Kwon and Jae Kap Jung}, title = {Simple optical transformer system for intense electric field and voltage sensing}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141090}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141090}, doi = {10.1587/ELEX.11.20141090}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLKW15, author = {Hu{-}ung Lee and Seongjin Lee and Jae{-}woon Kim and Youjip Won}, title = {Parallelizing {SHA-1}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150371}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150371}, doi = {10.1587/ELEX.12.20150371}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLKW15a, author = {Hu{-}ung Lee and Seongjin Lee and Jae{-}woon Kim and Youjip Won}, title = {Erratum: Parallelizing {SHA-1} {[IEICE} Electronics Express Vol 12 {(2015)} No 12 pp 20150371]}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20158005}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158005}, doi = {10.1587/ELEX.12.20158005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLKW15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeLPSL15, author = {Kangho Lee and Tae{-}Hak Lee and Gyu Churl Park and Hjalti H. Sigmarsson and Juseop Lee}, title = {Frequency-tunable bandstop-bandpass dual-function microwave filter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150313}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150313}, doi = {10.1587/ELEX.12.20150313}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeLPSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeRK15, author = {Jaemin Lee and Myunghwan Ryu and Youngmin Kim}, title = {On-chip interconnect boosting technique by using of 10-nm double gate-all-around {(DGAA)} transistor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150321}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150321}, doi = {10.1587/ELEX.12.20150321}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeYH15, author = {Kyoung{-}Min Lee and Myung{-}Kyoon Yim and Tae Hee Han}, title = {Sudden-voltage-drop protection technique for enhancing the reliability of mobile devices under low battery conditions}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150252}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150252}, doi = {10.1587/ELEX.12.20150252}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCLR15, author = {Xiangyu Li and Weiping Chen and Xiaowei Liu and Mingyuan Ren}, title = {A high-order sigma-delta accelerometer interface circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141035}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141035}, doi = {10.1587/ELEX.12.20141035}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiCZLZS15, author = {Shunfen Li and Xiaogang Chen and Mi Zhou and Gezi Li and Yiyun Zhang and Zhitang Song}, title = {PCRAM-aware cluster allocation algorithm for hybrid main memory hierarchy}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150115}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150115}, doi = {10.1587/ELEX.12.20150115}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiCZLZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiGZZD15, author = {Peng Li and Wei Guo and Zhenyu Zhao and Minxuan Zhang and Quan Deng}, title = {{SEU} hardened layout design for {SRAM} cells based on {SEU} reversal}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150804}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150804}, doi = {10.1587/ELEX.12.20150804}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiGZZD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiHS15, author = {Ailing Li and Yan Han and Jun Sun}, title = {An innovative method to achieve minimum tripping current conformity for type {A} RCCBs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20141220}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141220}, doi = {10.1587/ELEX.12.20141220}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiL15, author = {Lei Li and Jinmei Lai}, title = {Design and implementation of clock network for nanometer {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20141180}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141180}, doi = {10.1587/ELEX.12.20141180}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiMCGW15, author = {Chen Li and Sheng Ma and Shenggang Chen and Yang Guo and Peng Wang}, title = {Express Ring: a multi-layer and non-blocking NoC architecture}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141190}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141190}, doi = {10.1587/ELEX.12.20141190}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiMCGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiPSA15, author = {Chunbiao Li and Ihsan Pehlivan and Julien Clinton Sprott and Akif Akgul}, title = {A novel four-wing strange attractor born in bistability}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141116}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141116}, doi = {10.1587/ELEX.12.20141116}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiPSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiTZCL15, author = {Tianliang Li and Yuegang Tan and Zude Zhou and Li Cai and Ruiya Li}, title = {Research on pasted FBG-based accelerometer's sensitization process method and its characteristics}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150583}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150583}, doi = {10.1587/ELEX.12.20150583}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiTZCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiTZCW15, author = {Tianliang Li and Yuegang Tan and Zude Zhou and Li Cai and Lai Wei}, title = {Turbine rotor dynamic balance vibration measurement based on the non-contact optical fiber grating sensing}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150380}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150380}, doi = {10.1587/ELEX.12.20150380}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiTZCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWHZ15, author = {Jianhua Li and Ning Wu and Yongliang Hu and Xiaoqiang Zhang}, title = {High-performance adaptive hybrid wireless NoC architecture based on improved congestion measurement}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150331}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150331}, doi = {10.1587/ELEX.12.20150331}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWHZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWXTYL15, author = {Ke Li and Biyang Wen and Yamin Xu and Jian Tan and Jing Yang and Yu Liu}, title = {A novel {UHF} radar system design for river dynamics monitoring}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141074}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141074}, doi = {10.1587/ELEX.12.20141074}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWXTYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiYYH15, author = {Lei Li and Hai Yan and Peng Yang and Jianhao Hu}, title = {An optimized architecture for modulo (2\emph{\({}^{\mbox{n}}\)} - 2\emph{\({}^{\mbox{p}}\)} + 1) multipliers}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141054}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141054}, doi = {10.1587/ELEX.11.20141054}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiYYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZD15, author = {Xun Li and Jinzhu Zhou and Xiaolin Du}, title = {Planar arrays synthesis for optimal wireless power transmission}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150346}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150346}, doi = {10.1587/ELEX.12.20150346}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZFZJGZJSH15, author = {Xiangdong Li and Weihang Zhang and Mengdi Fu and Jincheng Zhang and Haiqing Jiang and Zhenxing Guo and Yu Zou and Renyuan Jiang and Zuo{-}Chen Shi and Yue Hao}, title = {AlGaN channel MIS-HEMTs with a very high breakdown electric field and excellent high-temperature performance}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150694}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150694}, doi = {10.1587/ELEX.12.20150694}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZFZJGZJSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZYZ15, author = {Yani Li and Zhangming Zhu and Yintang Yang and Chaolin Zhang}, title = {An ultra-low-voltage self-powered energy harvesting rectifier with digital switch control}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20140921}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20140921}, doi = {10.1587/ELEX.12.20140921}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LianWPC15, author = {Xin{-}Xiang Lian and I{-}Chyn Wey and Chien{-}Chang Peng and Zhi{-}Qun Cheng}, title = {Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141122}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141122}, doi = {10.1587/ELEX.12.20141122}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LianWPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangZLD15, author = {Yuhua Liang and Zhangming Zhu and Jian Liu and Ruixue Ding}, title = {Strategy for {SAR} {ADC} with 87.5{\%} area saving and 99.4{\%} switching energy reduction over conventional approach}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150058}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150058}, doi = {10.1587/ELEX.12.20150058}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangZLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LingFZX15, author = {Tong Ling and Huajun Fang and Xiao Zhao and Jun Xu}, title = {Chopping-Out-Of-band {(COOB)} for reducing ripple in chopper amplifiers}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20141226}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141226}, doi = {10.1587/ELEX.12.20141226}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LingFZX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuCLR15, author = {Yun{-}Tao Liu and Min Chen and Zhi{-}Chao Li and Mingyuan Ren}, title = {A dual-channel wide input range interface circuit for electrochemical amperometric sensors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150568}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150568}, doi = {10.1587/ELEX.12.20150568}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuCLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLD15, author = {Cong Liu and Xin{-}quan Lai and Han{-}xiao Du}, title = {Line voltage compensation technology for AC-direct multiple-string {LED} drivers}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150873}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150873}, doi = {10.1587/ELEX.12.20150873}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLLZ15, author = {Huihua Liu and Lei Li and Ping Li and Jun Zhang}, title = {A novel digital phase interpolation control for clock and data recovery circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150617}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150617}, doi = {10.1587/ELEX.12.20150617}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuS15, author = {Weiqiang Liu and Earl E. Swartzlander Jr.}, title = {Design of 3-D quantum-dot cellular automata adders}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150195}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150195}, doi = {10.1587/ELEX.12.20150195}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWK15, author = {Mingqing Liu and Cong Wang and Nam{-}Young Kim}, title = {Design of a compact triple-band bandpass filter using triple-mode stub-loaded step-impedance resonator}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {18}, pages = {20150676}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150676}, doi = {10.1587/ELEX.12.20150676}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWYHL15, author = {Bosheng Liu and Ying Wang and Zhiqiang You and Yinhe Han and Xiaowei Li}, title = {A signal degradation reduction method for memristor ratioed logic {(MRL)} gates}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150062}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150062}, doi = {10.1587/ELEX.12.20150062}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWYHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWZ15, author = {De Liu and Mingjiang Wang and Shikai Zuo}, title = {Delay-optimized floating point fused add-subtract unit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150642}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150642}, doi = {10.1587/ELEX.12.20150642}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuXMYZ15, author = {Yi Liu and Changqing Xu and Shuai Ma and Yintang Yang and Zhangming Zhu}, title = {Green phase difference coding with low switching activity for Network-on-Chip}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20150480}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150480}, doi = {10.1587/ELEX.12.20150480}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuXMYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuYKHW15, author = {Peng Liu and Zhiqiang You and Jishun Kuang and Zhipeng Hu and Weizheng Wang}, title = {Logic operation-based {DFT} method and 1R memristive crossbar March-like test algorithm}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150839}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150839}, doi = {10.1587/ELEX.12.20150839}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuYKHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Lopez-Delgadillo15, author = {Edgar L{\'{o}}pez{-}Delgadillo and Jos{\'{e}} Alejandro D{\'{\i}}az{-}M{\'{e}}ndez and Marco Antonio Gurrola{-}Navarro and Miguel Angel Garcia{-}Andrade and Ruben V{\'{a}}zquez{-}Medina}, title = {A digitally programmable active resistor in {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150247}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150247}, doi = {10.1587/ELEX.12.20150247}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Lopez-Delgadillo15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuLCF15, author = {Zheng Lu and Chao Li and Chao Chen and Guangyou Fang}, title = {Study of hybrid pre-distortion compensation approach for radar transmitter in Ku-band with high frequency modulation rate - modeling and experiment}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150446}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150446}, doi = {10.1587/ELEX.12.20150446}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LuLCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuPZ15, author = {Zhijian Lu and Xingpeng Pan and Jianjun Zhou}, title = {Integrated {CMOS} edge voltage quantizer for detection of low-frequency simple waveforms}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150898}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150898}, doi = {10.1587/ELEX.12.20150898}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuPZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LuoZNQZ15, author = {Bin Luo and Chenming Zhong and Feng Ning and Juntang Qin and Junjie Zhou}, title = {Three-dimensional multidirectional inductance coil owning environmental conformal feature for wireless power transfer}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150822}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150822}, doi = {10.1587/ELEX.12.20150822}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LuoZNQZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaYH15, author = {Xiaolong Ma and Huaxiang Yin and Peizhen Hong}, title = {Gate-All-Around Silicon Nanowire Transistors with channel-last process on bulk Si substrate}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150094}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150094}, doi = {10.1587/ELEX.12.20150094}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MaYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaroofSS15, author = {Naeem Maroof and Muhammad Sohail and Hyunchul Shin}, title = {Energy efficient low static-power voltage level shifter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {18}, pages = {20150633}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150633}, doi = {10.1587/ELEX.12.20150633}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MaroofSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsumotoN15, author = {Masayuki Matsumoto and Ryo Nishimura}, title = {Optical carrier extraction from carrier-less phase modulated optical signals}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150913}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150913}, doi = {10.1587/ELEX.12.20150913}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsumotoN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MiHHZSMLH15, author = {Minhan Mi and Yunlong He and Bin Hou and Meng Zhang and Zuo{-}Chen Shi and Xiaohua Ma and Peixian Li and Yue Hao}, title = {The characteristics of fluorinated gate dielectric AlGaN/GaN {MIS-HEMT}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150943}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150943}, doi = {10.1587/ELEX.12.20150943}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MiHHZSMLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MiaoLW15, author = {Xiyang Miao and Yun Liu and Cheng Wan}, title = {A novel dual-band power divider using symmetric stepped-impedance inverters}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150697}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150697}, doi = {10.1587/ELEX.12.20150697}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MiaoLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MiaoYIK15, author = {Lianghua Miao and Keita Yasutomi and Shoma Imanishi and Shoji Kawahito}, title = {A column-parallel clock skew self-calibration circuit for time-resolved {CMOS} image sensors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150911}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150911}, doi = {10.1587/ELEX.12.20150911}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MiaoYIK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoWLW15, author = {Shasha Mo and Yanfei Wang and Chang Liu and Xin Wu}, title = {A motion compensation method for airborne {SAR} imagery}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150143}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150143}, doi = {10.1587/ELEX.12.20150143}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MoWLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MoriyamaPR15, author = {Toshifumi Moriyama and Lorenzo Poli and Paolo Rocca}, title = {On the design of clustered planar phased arrays for wireless power transmission}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20150028}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150028}, doi = {10.1587/ELEX.12.20150028}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MoriyamaPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MuramatsuASTTOY15, author = {Kyosuke Muramatsu and Hideaki Asakura and Keijiro Suzuki and Ken Tanizawa and Munehiro Toyama and Minoru Ohtsuka and Nobuyuki Yokoyama and Kazuyuki Matsumaro and Miyoshi Seki and Keiji Koshino and Kazuhiro Ikeda and Shu Namiki and Hitoshi Kawashima and Hiroyuki Tsuda}, title = {Evaluation of the phase error in Si-wire arrayed-waveguide gratings fabricated by ArF-immersion photolithography}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150019}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150019}, doi = {10.1587/ELEX.12.20150019}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MuramatsuASTTOY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NagaokaCYNH15, author = {Kohei Nagaoka and Kentaro Chikamatsu and Atsushi Yamaguchi and Ken Nakahara and Takashi Hikihara}, title = {High-speed gate drive circuit for SiC {MOSFET} by GaN {HEMT}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150285}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150285}, doi = {10.1587/ELEX.12.20150285}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NagaokaCYNH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakuraMA15, author = {Toru Nakura and Hiroaki Matsui and Kunihiro Asada}, title = {Comparative study of {RF} energy harvesting rectifiers and proposal of output voltage universal curves for design guidline}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141114}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141114}, doi = {10.1587/ELEX.12.20141114}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakuraMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NguyenNHLP15, author = {Hong{-}Thu Nguyen and Xuan{-}Thuan Nguyen and Trong{-}Thuc Hoang and Duc{-}Hung Le and Cong{-}Kha Pham}, title = {Low-resource low-latency hybrid adaptive {CORDIC} with floating-point precision}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150258}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150258}, doi = {10.1587/ELEX.12.20150258}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NguyenNHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NingCW15, author = {Xi Ning and Shuming Chen and Lei Wang}, title = {Propagation-constant matching based broadband permittivity extraction from S-parameter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20150463}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150463}, doi = {10.1587/ELEX.12.20150463}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NingCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NohLY15, author = {Jinho Noh and Jisoo Lee and Changsik Yoo}, title = {An analog sigma-delta modulator with shared operational amplifier for low-power class-D audio amplifier}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150562}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150562}, doi = {10.1587/ELEX.12.20150562}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NohLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NumataHTMN15, author = {Goki Numata and Neisei Hayashi and Marie Tabaru and Yosuke Mizuno and Kentaro Nakamura}, title = {Strain and temperature sensing based on multimode interference in partially chlorinated polymer optical fibers}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141173}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141173}, doi = {10.1587/ELEX.12.20141173}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NumataHTMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OgasaharaNSTK15, author = {Yasuhiro Ogasahara and Tadashi Nakagawa and Toshihiro Sekigawa and Toshiyuki Tsutsumi and Hanpei Koike}, title = {Impacts of flexible \emph{V\({}_{\mbox{th}}\)} control, low process variability, and steep {SS} with low on-current of new structure transistors to ultra-low voltage designs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150460}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150460}, doi = {10.1587/ELEX.12.20150460}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OgasaharaNSTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhbaKMMFK15, author = {Takayuki Ohba and Young{-}Suk Kim and Yoriko Mizushima and Nobuhide Maeda and Koji Fujimoto and Shoichi Kodama}, title = {Review of wafer-level three-dimensional integration {(3DI)} using bumpless interconnects for tera-scale generation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20152002}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152002}, doi = {10.1587/ELEX.12.20152002}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhbaKMMFK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OhmiL15, author = {Shun'ichiro Ohmi and Yeyuan Liu}, title = {In-situ formation of Hf-based {MONOS} structures for non-volatile memory applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150969}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150969}, doi = {10.1587/ELEX.12.20150969}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OhmiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OkamotoAUFAUN15, author = {Daisuke Okamoto and Takeshi Akagawa and Tatsuya Usuki and Junichi Fujikata and Suguru Akiyama and Yutaka Urino and Takahiro Nakamura}, title = {Bit error rate analysis of a silicon optical interposer using its equivalent circuit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141084}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141084}, doi = {10.1587/ELEX.11.20141084}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OkamotoAUFAUN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Park15, author = {Sang Yoon Park}, title = {A low-cost {FPGA} implementation of multi-channel {FIR} filter with variable bandwidth}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150702}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150702}, doi = {10.1587/ELEX.12.20150702}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Park15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkAB15, author = {Yunjoo Park and Sun{-}Young Ahn and Hyokyung Bahn}, title = {Efficient management of PCM-based swap storage}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150614}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150614}, doi = {10.1587/ELEX.12.20150614}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkCHJPK15, author = {Se{-}Chun Park and Sung{-}Dae Choi and Hyeonseok Hwang and Byeonghak Jo and Seung{-}Baek Park and Soo{-}Won Kim}, title = {A 0.017 {\(\mathrm{\mu}\)}J/sample 313 {K} sample/sec clamped sensing-based time domain {CMOS} temperature sensor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20141133}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141133}, doi = {10.1587/ELEX.12.20141133}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkCHJPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkJKOE15, author = {Myung Chul Park and Won Il Jang and Sang Gyun Kim and Hyukjun Oh and Yun Seong Eo}, title = {An {IR-UWB} {RF} transceiver for high-rate {WBAN} applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150755}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150755}, doi = {10.1587/ELEX.12.20150755}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkJKOE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkLS15, author = {Seulki Park and Ju Han Lee and Changhwan Shin}, title = {Impact of the double-patterning technique on the LER-induced threshold voltage variation in symmetric tunnel field-effect transistor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150349}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150349}, doi = {10.1587/ELEX.12.20150349}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkMK15, author = {Jun{-}Hyeok Park and Zenebe Maregn and Young{-}Jin Kim}, title = {Color transformation-based dynamic voltage scaling for mobile {AMOLED} displays}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150239}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150239}, doi = {10.1587/ELEX.12.20150239}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkMK15a, author = {Jun{-}Hyeok Park and Zenebe Maregn and Young{-}Jin Kim}, title = {Erratum: Color transformation-based dynamic voltage scaling for mobile {AMOLED} displays {[IEICE} Electronics Express Vol 12 {(2015)} No 8 pp 20150239]}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20158004}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158004}, doi = {10.1587/ELEX.12.20158004}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkMK15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkPLK15, author = {Jae Young Park and Jong Kang Park and Chang{-}Ju Lee and Jong Tae Kim}, title = {Inverted driving technique for removing display noise in capacitive touch sensors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150683}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150683}, doi = {10.1587/ELEX.12.20150683}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkSPKS15, author = {Young{-}il Park and Reem Song and Myun{-}Joo Park and Byung{-}Jung Kim and Munkyo Seo}, title = {Four-way beam steering monopole array antenna with switched feeding network}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141091}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141091}, doi = {10.1587/ELEX.11.20141091}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkSPKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengJYJ15, author = {Hao Peng and Peng Jiang and Tao Yang and Haiyan Jin}, title = {Continuously tunable {SIW} phase shifter based on the buried varactors}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150165}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150165}, doi = {10.1587/ELEX.12.20150165}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengJYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengTLLJYC15, author = {Chunyu Peng and Youwu Tao and Wenjuan Lu and Zhengping Li and Xinchun Ji and Jinlong Yan and Junning Chen}, title = {A novel cascade control replica-bitline delay technique for reducing timing process-variation of {SRAM} sense amplifier}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150102}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150102}, doi = {10.1587/ELEX.12.20150102}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengTLLJYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengTLLJYC15a, author = {Chunyu Peng and Youwu Tao and Wenjuan Lu and Zhengping Li and Xinchun Ji and Jinlong Yan and Junning Chen}, title = {Erratum: {A} novel cascade control replica-bitline delay technique for reducing timing process-variation of {SRAM} sense amplifier {[IEICE} Electronics Express Vol 12 {(2015)} No 5 pp 20150102]}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20158001}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158001}, doi = {10.1587/ELEX.12.20158001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengTLLJYC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/QuYZU15, author = {Keqing Qu and Tiankai Ye and Jinbin Zhao and Shuichi Ushiki}, title = {A high step-up and low switches voltage stress boost converter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150199}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150199}, doi = {10.1587/ELEX.12.20150199}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/QuYZU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RadhapuramBJKM15, author = {Saichandrateja Radhapuram and Jung Nam Bae and Ikkyun Jo and Takao Kihara and Toshimasa Matsuoka}, title = {A low-power {CMOS} programmable frequency divider with novel retiming scheme}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20141233}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141233}, doi = {10.1587/ELEX.12.20141233}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RadhapuramBJKM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Rodriguez-Rodriguez15, author = {Juan Ramon Rodriguez{-}Rodriguez and Jacinto Torres{-}Jimenez and Luis Alberto Contreras{-}Aguilar and Nestor Gonzalez{-}Cabrera}, title = {Reactive current elimination in {DC/DC} {DAB} converters based on novel Equivalents Values Modulation {(EVM)}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150197}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150197}, doi = {10.1587/ELEX.12.20150197}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Rodriguez-Rodriguez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RohSS15, author = {Il Pyo Roh and Yun Heub Song and Jin Dong Song}, title = {Investigation of in-situ doping profile for {N+/P/N+} bidirectional switching device using Si\({}_{\mbox{1-x}}\)Ge\({}_{\mbox{x}}\)/Si/Si\({}_{\mbox{1-x}}\)Ge\({}_{\mbox{x}}\) structure}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150098}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150098}, doi = {10.1587/ELEX.12.20150098}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RohSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RoyRR15, author = {Sukanta Roy and Harikrishnan Ramiah and Ahmed Wasif Reza}, title = {Designing a new high gain {CMOS} amplifier towards a 17.22 MHz {MEMS} based Si oscillator for a cost effective clock generator {IC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150272}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150272}, doi = {10.1587/ELEX.12.20150272}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RoyRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SakamotoITSYIFS15, author = {Takuya Sakamoto and Ryohei Imasaka and Hirofumi Taki and Toru Sato and Mototaka Yoshioka and Kenichi Inoue and Takeshi Fukuda and Hiroyuki Sakai}, title = {Accurate heartbeat monitoring using ultra-wideband radar}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141197}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141197}, doi = {10.1587/ELEX.12.20141197}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SakamotoITSYIFS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SakamotoOITSYIF15, author = {Takuya Sakamoto and Shigeaki Okumura and Ryosuke Imanishi and Hirofumi Taki and Toru Sato and Mototaka Yoshioka and Kenichi Inoue and Takeshi Fukuda and Hiroyuki Sakai}, title = {Remote heartbeat monitoring from human soles using 60-GHz ultra-wideband radar}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150786}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150786}, doi = {10.1587/ELEX.12.20150786}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SakamotoOITSYIF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SarafiAAC15, author = {Sahar Sarafi and Abu Khari bin A'Ain and Javad Abbaszadeh and Amin Chegini}, title = {Pre-charge solution for low-power, area-efficient {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150546}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150546}, doi = {10.1587/ELEX.12.20150546}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/SarafiAAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SeoHUSR15, author = {Munkyo Seo and John Hacker and Miguel Urteaga and Anders Skalare and Mark J. W. Rodwell}, title = {A 529 GHz dynamic frequency divider in 130 nm InP {HBT} process}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141118}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141118}, doi = {10.1587/ELEX.12.20141118}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SeoHUSR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SeoNKPS15, author = {Kil{-}Soo Seo and Van Ha Nguyen and Nam{-}Tae Kim and Jusung Park and Han Jung Song}, title = {A novel on-chip step-dimmer for low cost AC-powered {HV-LED} driver}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150445}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150445}, doi = {10.1587/ELEX.12.20150445}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SeoNKPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SeoPK15, author = {Hyun{-}Seung Seo and Ji{-}Hoon Park and Bai{-}Sun Kong}, title = {Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150828}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150828}, doi = {10.1587/ELEX.12.20150828}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SeoPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShannanYSSA15, author = {Nahla Mohamed Abd Alrahim Shannan and Nor Zaihar Yahaya and Balbir Singh and Zainal Salam and Khalid Y. Ahmed}, title = {Two-diode model for parameters extraction of photovoltaic module under temperature variation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150492}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150492}, doi = {10.1587/ELEX.12.20150492}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShannanYSSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShenJO15, author = {Yong{-}Luo Shen and Seokjin Jung and Hyeong{-}Cheol Oh}, title = {Loeffler {DCT} accelerator for small portable devices}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150411}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150411}, doi = {10.1587/ELEX.12.20150411}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShenJO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShiX15, author = {Xiaomin Shi and Xiaoli Xi}, title = {Erratum: {A} compact dual-mode dual-band bandpass filter design with controllable first passband {[IEICE} Electronics Express Vol 11 {(2014)} No 23 pp 20140991]}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20158003}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158003}, doi = {10.1587/ELEX.12.20158003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShiX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShizukuHKNO15, author = {Yuzuru Shizuku and Tetsuya Hirose and Nobutaka Kuroki and Masahiro Numa and Mitsuji Okada}, title = {Energy-efficient {AES} SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141157}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141157}, doi = {10.1587/ELEX.12.20141157}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShizukuHKNO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SonJKK15, author = {HyeonUk Son and Jaewon Jang and Heetae Kim and Sungho Kang}, title = {Reduced-code test method using sub-histograms for pipelined ADCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150417}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150417}, doi = {10.1587/ELEX.12.20150417}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SonJKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SonK15, author = {Kyung{-}Sub Son and Jin{-}Ku Kang}, title = {On-chip jitter tolerance measurement technique with independent jitter frequency modulation from {VCO} in {CDR}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150570}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150570}, doi = {10.1587/ELEX.12.20150570}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SonK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongMX15, author = {Qiwei Song and Luhong Mao and Sheng Xie}, title = {Wideband SiGe BiCMOS transimpedance amplifier for 20 Gb/s optical links}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150419}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150419}, doi = {10.1587/ELEX.12.20150419}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongMX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SotnerJHV15, author = {Roman Sotner and Jan Jerabek and Norbert Herencsar and Kamil Vrba}, title = {Design of the simple oscillator with linear tuning and pi/4 phase shift based on emulator of the modified current differencing unit}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150557}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150557}, doi = {10.1587/ELEX.12.20150557}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SotnerJHV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Sritriratanarak15, author = {Warisa Sritriratanarak and Mongkol Ekpanyapong and Prabhas Chongstitvatana}, title = {Applying {SVM} to data bypass prediction in multi core last-level caches}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150736}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150736}, doi = {10.1587/ELEX.12.20150736}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Sritriratanarak15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuYR15, author = {Yuan Su and Fan Ye and Junyan Ren}, title = {A high power-efficient {LVDS} output driver with adjustable feed-forward capacitor compensation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150368}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150368}, doi = {10.1587/ELEX.12.20150368}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuYR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Suemitsu15, author = {Tetsuya Suemitsu}, title = {InP and GaN high electron mobility transistors for millimeter-wave applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20152005}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20152005}, doi = {10.1587/ELEX.12.20152005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Suemitsu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunXSL15, author = {Daying Sun and Shen Xu and Weifeng Sun and Shengli Lu}, title = {A new digital predictive control strategy for boost {PFC} converter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150726}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150726}, doi = {10.1587/ELEX.12.20150726}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunXSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakahashiSY15, author = {Yasuhiro Takahashi and Toshikazu Sekine and Michio Yokoyama}, title = {{SPICE} model of memristive device using Tukey window function}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150149}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150149}, doi = {10.1587/ELEX.12.20150149}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakahashiSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TangWXXS15, author = {Zhanghong Tang and Qun Wang and Xinxin Xu and Hong Xiao and Meiwu Shi}, title = {Design and optimize spherical particle absorber by Fe-rich hollow cenosphere of fly-ash for broadband electromagnetic wave absorbing wall}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150037}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150037}, doi = {10.1587/ELEX.12.20150037}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TangWXXS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ThangasamyKHHYB15, author = {Veeraiyah Thangasamy and Noor Ain Kamsani and Mohd Nizar Hamidon and Shaiful Jahari Hashim and Zubaida Binti Yusoff and Muhammad Faiz Bukhori}, title = {Low power 18T pass transistor logic ripple carry adder}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150176}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150176}, doi = {10.1587/ELEX.12.20150176}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ThangasamyKHHYB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ThontirawongWWE15, author = {Peera Thontirawong and Chundong Wang and Weng{-}Fai Wong and Mongkol Ekpanyapong and Prabhas Chongstitvatana}, title = {3DFTL: a three-level demand-based translation strategy for flash device}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150211}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150211}, doi = {10.1587/ELEX.12.20150211}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ThontirawongWWE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UgajinKT15, author = {Mamoru Ugajin and Yuta Kobayashi and Tsuneo Tsukahara}, title = {High-image-rejection wireless-receiver architecture with a 3-phase active {RC} complex filter}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150329}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150329}, doi = {10.1587/ELEX.12.20150329}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UgajinKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WakitaNKIYIN15, author = {Hitoshi Wakita and Munehiko Nagatani and Shigeru Kanazawa and Toshihiro Itoh and Eiichi Yamada and Hiroyuki Ishii and Hideyuki Nosaka}, title = {28 Gbaud 16-QAM modulation with compact driver module for InP {MZM}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150656}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150656}, doi = {10.1587/ELEX.12.20150656}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WakitaNKIYIN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangEWWC15, author = {Sheng Wang and Adrian Evans and Shi{-}Jie Wen and Rick Wong and GengSheng Chen}, title = {New insights into the impact of SEUs in {FPGA} CRAMs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150110}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150110}, doi = {10.1587/ELEX.12.20150110}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangEWWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangFPZ15, author = {Ke Wang and Chaojie Fan and Wenjie Pan and Jianjun Zhou}, title = {A 14-bit 100 MS/s SHA-less pipelined {ADC} with 89 dB {SFDR} and 74.5 dB {SNR}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150070}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150070}, doi = {10.1587/ELEX.12.20150070}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangFPZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangHWLS15, author = {Mingyu Wang and Yan Han and Rui Wang and Xiaopeng Liu and Jun Sun}, title = {A software-hardware cooperative method for multi-projector seamless tiled display system}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141104}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141104}, doi = {10.1587/ELEX.12.20141104}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangHWLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLCCWFHLS15, author = {Qian Wang and Xi Li and Houpeng Chen and Yifeng Chen and Yueqing Wang and Xi Fan and Jiajun Hu and Xiaoyun Li and Zhitang Song}, title = {Methods to speed up read operation in a 64 Mbit phase change memory chip}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150792}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150792}, doi = {10.1587/ELEX.12.20150792}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLCCWFHLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLHLCSMF15, author = {Zhi{-}Ming Wang and Xin Lv and Zhi{-}Fu Hu and Xiaobin Luo and Yu{-}Xing Cui and Xi{-}Guo Sun and Jiang{-}Hui Mo and Xing{-}Chang Fu}, title = {Realization of 70-nm T-gate InP-based {PHEMT} for {MMW} low noise applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141174}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141174}, doi = {10.1587/ELEX.12.20141174}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLHLCSMF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLHZH15, author = {Dongjun Wang and Ping Luo and Qing Hua and Shaowei Zhen and Yajuan He}, title = {A pulse skipping modulation with adaptive duty ratio in buck converter application}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150548}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150548}, doi = {10.1587/ELEX.12.20150548}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLHZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLZL15, author = {Zhi{-}Ming Wang and Xiaobin Luo and Zhuo{-}Bin Zhao and Xin Lv}, title = {A novel large-signal model for InP {MMIC} applications at 110 GHz}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {18}, pages = {20150686}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150686}, doi = {10.1587/ELEX.12.20150686}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWT15, author = {Xin Wang and Hsien{-}Shun Wu and Ching{-}Kuang C. Tzuang}, title = {A novel dual bandpass filter incorporating left-handed transmission line based dual-band resonator}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150797}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150797}, doi = {10.1587/ELEX.12.20150797}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWZ15, author = {Yaohua Wang and Dong Wang and Xu Zhou}, title = {{B-SCT:} Improve SpMV processing on {SIMD} architectures}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150170}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150170}, doi = {10.1587/ELEX.12.20150170}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXMX15, author = {Zhiping Wang and Yunxiang Xie and Yunshou Mao and Chi Xu}, title = {Analysis and control for matrix rectifier by circuit {DQ} transformation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150818}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150818}, doi = {10.1587/ELEX.12.20150818}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXMX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangY15, author = {Fengjuan Wang and Ningmei Yu}, title = {Study on thermal stress and keep-out zone induced by Cu and SiO\({}_{\mbox{2}}\) filled coaxial-annular through-silicon via}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150844}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150844}, doi = {10.1587/ELEX.12.20150844}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangYSW15, author = {Xinyu Wang and Zhigang Yu and Kele Shen and Moyuan Wang}, title = {An innovative routing scheme to reduce communication delay in DMesh networks}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150353}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150353}, doi = {10.1587/ELEX.12.20150353}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangYSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangYZYY15, author = {Fengjuan Wang and Ningmei Yu and Zhangming Zhu and Xiangkun Yin and Yintang Yang}, title = {Effects of coaxial through-silicon via on carrier mobility along {[100]} and {[110]} crystal directions of {(100)} silicon}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20150434}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150434}, doi = {10.1587/ELEX.12.20150434}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangYZYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZ15, author = {Hao Wang and Zhangming Zhu}, title = {Energy-efficient and area-efficient switching scheme based on multi-reference for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {4}, pages = {20141182}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141182}, doi = {10.1587/ELEX.12.20141182}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZ15a, author = {Hao Wang and Zhangming Zhu}, title = {Energy-efficient and reference-free monotonic capacitor switching scheme with fewest switches for {SAR} {ADC}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20141202}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141202}, doi = {10.1587/ELEX.12.20141202}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZ15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZJHSCFL15, author = {Zhi{-}Ming Wang and Zhuo{-}Bin Zhao and Jun Liu and Zhi{-}Fu Hu and Xi{-}Guo Sun and Yu{-}Xing Cui and Xing{-}Chang Fu and Xin Lv}, title = {An 85-120 GHz high-gain and wide-band InP {MMIC} amplifier}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {21}, pages = {20150760}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150760}, doi = {10.1587/ELEX.12.20150760}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZJHSCFL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZZLDH15, author = {Xiaofei Wang and Hong Zhang and Jianrong Zhang and Changyi Li and Xin Du and Yue Hao}, title = {A multi-cell battery pack monitoring chip based on 0.35-{\(\mathrm{\mu}\)}m {BCD} technology for electric vehicles}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150367}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150367}, doi = {10.1587/ELEX.12.20150367}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZZLDH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiCLGS15, author = {Jizeng Wei and Yisong Chang and Bingchao Li and Wei Guo and Jizhou Sun}, title = {A modified post-TnL vertex cache for the multi-shader embedded GPUs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150314}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150314}, doi = {10.1587/ELEX.12.20150314}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiCLGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeyWPGY15, author = {I{-}Chyn Wey and Bing{-}Chen Wu and Chien{-}Chang Peng and Cihun{-}Siyong Alex Gong and Changhong Yu}, title = {Robust C-element design for soft-error mitigation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150268}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150268}, doi = {10.1587/ELEX.12.20150268}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeyWPGY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuLC15, author = {Minshun Wu and Zhiqiang Liu and Degang Chen}, title = {Extracting random jitter and sinusoidal jitter in {ADC} output with a single frequency test}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150742}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150742}, doi = {10.1587/ELEX.12.20150742}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WuLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuWZG15, author = {Zhiping Wu and Ning Wu and Lei Zhou and Fen Ge}, title = {The Adaptive Thermal and Traffic-Balanced Routing algorithm based on temperature analysis and traffic statistics}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150101}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150101}, doi = {10.1587/ELEX.12.20150101}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WuWZG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiFLZ15, author = {Xiaoli Xi and Yun Fang and Jiangfan Liu and Zhongbo Zhu}, title = {An effective {CFS-PML} implementation for 2-D {WLP-FDTD} method}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150191}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150191}, doi = {10.1587/ELEX.12.20150191}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiFLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaZYWCYF15, author = {Zhenghuan Xia and Qunying Zhang and Shengbo Ye and Youcheng Wang and Chao Chen and Hejun Yin and Guangyou Fang}, title = {A novel low-frequency coded ground penetrating radar for deep detection}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150200}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150200}, doi = {10.1587/ELEX.12.20150200}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaZYWCYF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XianHL15, author = {Xian Zhang and Hhua Liu and Lei Li}, title = {A low jitter phase-locked loop based on self-biased techniques}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150597}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150597}, doi = {10.1587/ELEX.12.20150597}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XianHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuCHHSH15, author = {Jingyan Xu and Shuming Chen and Pengcheng Huang and Peipei Hao and Ruiqiang Song and Chunmei Hu}, title = {Single event transient propagation in dynamic complementary metal oxide semiconductor cascade circuits}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150849}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150849}, doi = {10.1587/ELEX.12.20150849}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuCHHSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuXCGHZXC15, author = {Tai{-}Long Xu and Feng Xue and Zhikuang Cai and Xian{-}He Gao and Xue{-}You Hu and Chang{-}Jun Zha and Yu{-}Feng Xu and Jun{-}Ning Chen}, title = {A wide-range and fast-locking all digital {SARDLL} for {DVFS} SoCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150284}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150284}, doi = {10.1587/ELEX.12.20150284}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuXCGHZXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YagiIKMKTUYTS15, author = {Hideki Yagi and Naoko Inoue and Takehiko Kikuchi and Ryuji Masuyama and Tomokazu Katsuyama and Yoshihiro Tateiwa and Katsumi Uesaka and Yoshihiro Yoneda and Masaru Takechi and Hajime Shoji}, title = {High receiver responsivity and low dark current of InP-based pin-photodiode array monolithically integrated with 90{\textdegree} hybrid and spot-size converter using selective embedding regrowth}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141018}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141018}, doi = {10.1587/ELEX.11.20141018}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YagiIKMKTUYTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanLZ15, author = {Hai Yan and Lei Li and Qyu Zhang}, title = {A high speed modulo (2\emph{\({}^{\mbox{n}}\)} - 2\emph{\({}^{\mbox{p}}\)} + 1) multiplier design}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150870}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150870}, doi = {10.1587/ELEX.12.20150870}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YanLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangC15, author = {Zong{-}Yi Yang and Roger Yubtzuan Chen}, title = {High-performance cost-efficient dual-band {CMOS} {LC} {VCO}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150118}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150118}, doi = {10.1587/ELEX.12.20150118}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangDNWLOM15, author = {Dongsheng Yang and Wei Deng and Aravind Tharayil Narayanan and Rui Wu and Bangan Liu and Kenichi Okada and Akira Matsuzawa}, title = {A fully synthesizable injection-locked {PLL} with feedback current output {DAC} in 28 nm {FDSOI}}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150531}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150531}, doi = {10.1587/ELEX.12.20150531}, timestamp = {Wed, 07 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangDNWLOM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLDYJ15, author = {Ziqiang Yang and Bangyu Luo and Jun Dong and Tao Yang and Haiyan Jin}, title = {Low phase noise oscillator based on quarter mode substrate integrated waveguide technique}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150046}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150046}, doi = {10.1587/ELEX.12.20150046}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLDYJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLWL15, author = {Zhen Yang and Chuanzeng Liang and Jian Wang and Jinmei Lai}, title = {A new automatic method for testing interconnect resources in FPGAs based on general routing matrix}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {20}, pages = {20150747}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150747}, doi = {10.1587/ELEX.12.20150747}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWZZ15, author = {Qingxi Yang and Qingguo Wang and Xing Zhou and Min Zhao}, title = {Fast transient analysis method for lossy nonuniform transmission line with nonlinear terminations}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {11}, pages = {20150362}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150362}, doi = {10.1587/ELEX.12.20150362}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWZZC15, author = {Qingxi Yang and Qingguo Wang and Xing Zhou and Min Zhao and T. C. Edwin Cheng}, title = {Equivalent circuit of external electromagnetic fields coupling to a transmission line above a lossy ground}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {19}, pages = {20150474}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150474}, doi = {10.1587/ELEX.12.20150474}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWZZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangYLJ15, author = {Ziqiang Yang and Tao Yang and Yu Liu and Haiyan Jin}, title = {Broadband in-line transition from suspended stripline to rectangular waveguide}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150833}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150833}, doi = {10.1587/ELEX.12.20150833}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangYLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YaoFZGZW15, author = {Chaoyun Yao and Chaochao Feng and Minxuan Zhang and Wei Guo and Shouzhong Zhu and Shaojun Wei}, title = {Exploring partitioning methods for multicast in 3D bufferless Network on Chip}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150802}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150802}, doi = {10.1587/ELEX.12.20150802}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YaoFZGZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YaoZWY15, author = {Jiaojiao Yao and Zhangming Zhu and Yutao Wang and Yintang Yang}, title = {Variable resolution {SAR} {ADC} architecture with 99.6{\%} reduction in switching energy over conventional scheme}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150099}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150099}, doi = {10.1587/ELEX.12.20150099}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YaoZWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YiK15, author = {Joonhwan Yi and Jong{-}Gyu Kim}, title = {Power modeling for digital circuits with clock gating}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {24}, pages = {20150817}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150817}, doi = {10.1587/ELEX.12.20150817}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YiK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YiXXL15, author = {Wei Yi and Hui Xu and Qiyou Xie and Nan Li}, title = {A Flash-aware Intra-disk Redundancy scheme for high reliable All Flash Array}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {13}, pages = {20150295}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150295}, doi = {10.1587/ELEX.12.20150295}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YiXXL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YokogawaKMASA15, author = {Tomoyuki Yokogawa and Masafumi Kondo and Hisashi Miyazaki and Sousuke Amasaki and Yoichiro Sato and Kazutami Arimoto}, title = {Bounded model checking of Time Petri Nets using {SAT} solver}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141112}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141112}, doi = {10.1587/ELEX.11.20141112}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YokogawaKMASA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yoo15, author = {Seehwan Yoo}, title = {An empirical validation of power-performance scaling: {DVFS} vs. multi-core scaling in big.LITTLE processor}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150236}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150236}, doi = {10.1587/ELEX.12.20150236}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yoo15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Yoshizawa15, author = {Hirokazu Yoshizawa}, title = {An improved figure-of-merit equation for op-amp evaluation}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {15}, pages = {20150533}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150533}, doi = {10.1587/ELEX.12.20150533}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Yoshizawa15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuFGL15, author = {Zhenxing Yu and Jun Feng and Yu Guo and Zhiqun Li}, title = {Analysis and design of a V-band low-noise amplifier in 90 nm {CMOS} for 60 GHz applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {1}, pages = {20141097}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141097}, doi = {10.1587/ELEX.11.20141097}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuFGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuWLCO15, author = {Yifei Yu and Chenghua Wang and Weiqiang Liu and Yijun Cui and M{\'{a}}ire O'Neill}, title = {Improving {RO} {PUF} design using frequency distribution characteristics}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141043}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141043}, doi = {10.1587/ELEX.12.20141043}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuWLCO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangGZLW15, author = {Huajun Zhang and Huotao Gao and Lin Zhou and Hao Li and Fan Wang}, title = {{HF} superdirective smart antenna system for interference suppression}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141165}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141165}, doi = {10.1587/ELEX.12.20141165}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangGZLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLGYGM15, author = {Tongfeng Zhang and Shouliang Li and Rong{-}Jun Ge and Min Yuan and Guan Gui and Yide Ma}, title = {A chaotic pulse sequence generator based on the tent map}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {16}, pages = {20150530}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150530}, doi = {10.1587/ELEX.12.20150530}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLGYGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLW15, author = {Pingjuan Zhang and Minquan Li and Jun Wang}, title = {Miniaturized lowpass filter with ultra-wide stopband using dual-plane defected structures}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141010}, year = {2015}, url = {https://doi.org/10.1587/elex.11.20141010}, doi = {10.1587/ELEX.11.20141010}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangQNJ15, author = {Zhengmin Zhang and Yingan Qin and Zhenqiu Ning and Xing Jin}, title = {A high electromagnetic immunity voltage regulator circuit applied in vehicle}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {9}, pages = {20150038}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150038}, doi = {10.1587/ELEX.12.20150038}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangQNJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWZZ15, author = {Taotao Zhang and Ning Wu and Lei Zhou and Fang Zhou}, title = {BTorus: {A} novel thermal-traffic balanced NoC topology}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150234}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150234}, doi = {10.1587/ELEX.12.20150234}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangXWWZY15, author = {De{-}Ping Zhang and Shao{-}Yi Xie and Chao Wang and Wei{-}wei Wu and Chang Zhu and Nai{-}Chang Yuan}, title = {An ultra-high ramp rate arbitrary waveform generator for communication and radar applications}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141163}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141163}, doi = {10.1587/ELEX.12.20141163}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangXWWZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZLC15, author = {Guohe Zhang and Yunlin Zeng and Feng Liang and Kebin Chen}, title = {A novel {SEU} tolerant {SRAM} data cell design}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {17}, pages = {20150504}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150504}, doi = {10.1587/ELEX.12.20150504}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoDYZ15, author = {Yingbo Zhao and Gang Dong and Yintang Yang and Junping Zheng}, title = {Analysis and evaluation of coupling between adjacent TSVs with considering the discharging path}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {5}, pages = {20150089}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150089}, doi = {10.1587/ELEX.12.20150089}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoDYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoLWWFWC15, author = {Dongdong Zhao and Hongxia Liu and Shulong Wang and Qianqiong Wang and Chenxi Fei and Xing Wang and Shupeng Chen}, title = {Molecular dynamics simulation of latent track formation in bilayer graphene}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {22}, pages = {20150771}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150771}, doi = {10.1587/ELEX.12.20150771}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoLWWFWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoMLZHZZH15, author = {Bochao Zhao and Xiaohua Ma and Yang Lu and Jiaxin Zheng and Wenzhe Han and Honghe Zhang and Yanlong Zhang and Yue Hao}, title = {A 5-8 GHz wideband 100 {W} internally matched GaN power amplifier}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {6}, pages = {20150172}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150172}, doi = {10.1587/ELEX.12.20150172}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoMLZHZZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengDYZF15, author = {Junping Zheng and Gang Dong and Yintang Yang and Yingbo Zhao and Qingyang Fan}, title = {Electrical analysis of {TSV} step change in radius with compensation structure}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150400}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150400}, doi = {10.1587/ELEX.12.20150400}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengDYZF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengWYGZ15, author = {Jintao Zheng and Ning Wu and Gaizhen Yan and Fen Ge and Lei Zhou}, title = {Dynamically reconfigurable simulation platform for 3D NoC based on multi-FPGA}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150065}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150065}, doi = {10.1587/ELEX.12.20150065}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengWYGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhongLNL15, author = {Chenming Zhong and Bin Luo and Feng Ning and Wan Liu}, title = {Reactance compensation method to eliminate cross coupling for two-receiver wireless power transfer system}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150016}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150016}, doi = {10.1587/ELEX.12.20150016}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhongLNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouGZLZW15, author = {Lin Zhou and Huotao Gao and Huajun Zhang and Hao Li and Huaqiao Zhao and Fan Wang}, title = {Design and implementation of a smart antenna system for {UHF} vehicle-mounted communication}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {7}, pages = {20150057}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150057}, doi = {10.1587/ELEX.12.20150057}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouGZLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouWLJ15, author = {Yihong Zhou and Haiyang Wang and Jiayin Li and Haiyan Jin}, title = {A wideband four-way power divider/combiner based on substrate integrated waveguide and double-layer finline}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {23}, pages = {20150861}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150861}, doi = {10.1587/ELEX.12.20150861}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouWLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenCW15, title = {Design of ultra low noise amplifier for noise measurement in inverter fault diagnosis}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {3}, pages = {20141183}, year = {2015}, note = {Withdrawn.}, url = {https://doi.org/10.1587/elex.12.20141183}, doi = {10.1587/ELEX.12.20141183}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.