Search dblp for Publications

export results for "toc:db/conf/slip/slip2004.bht:"

 download as .bib file

@inproceedings{DBLP:conf/slip/AhonenSBN04,
  author       = {Tapani Ahonen and
                  David A. Sig{\"{u}}enza{-}Tortosa and
                  Hong Bin and
                  Jari Nurmi},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Topology optimization for application-specific networks-on-chip},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {53--60},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966758},
  doi          = {10.1145/966747.966758},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/AhonenSBN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/BamalGSM04,
  author       = {Mandeep Bamal and
                  Evelyn Grossar and
                  Michele Stucchi and
                  Karen Maex},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Interconnect width selection for deep submicron designs using the
                  table lookup method},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {41--44},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966756},
  doi          = {10.1145/966747.966756},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/BamalGSM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Bergamaschi04,
  author       = {Reinaldo A. Bergamaschi},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Early and accurate analysis of SoCs: oxymoron or real?},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {3--6},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966749},
  doi          = {10.1145/966747.966749},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Bergamaschi04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/ChandraXS04,
  author       = {Vikas Chandra and
                  Anthony Xu and
                  Herman Schmit},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {A low power approach to system level pipelined interconnect design},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {45--52},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966757},
  doi          = {10.1145/966747.966757},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/ChandraXS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Chklovskii04,
  author       = {Dmitri B. Chklovskii},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Evolution as the blind engineer: wiring minimization in the brain},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {63},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966760},
  doi          = {10.1145/966747.966760},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Chklovskii04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/GuptaKKS04,
  author       = {Puneet Gupta and
                  Andrew B. Kahng and
                  Youngmin Kim and
                  Dennis Sylvester},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Investigation of performance metrics for interconnect stack architectures},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {23--29},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966753},
  doi          = {10.1145/966747.966753},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/GuptaKKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/JoshiD04,
  author       = {Ajay Joshi and
                  Jeffrey A. Davis},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {A 2-slot time-division multiplexing {(TDM)} interconnect network for
                  gigascale integration {(GSI)}},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {64--68},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966761},
  doi          = {10.1145/966747.966761},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/JoshiD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/KumarT04,
  author       = {Arvind Kumar and
                  Sandip Tiwari},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Defect tolerance for nanocomputer architecture},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {89--96},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966765},
  doi          = {10.1145/966747.966765},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/KumarT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/MagenKWS04,
  author       = {Nir Magen and
                  Avinoam Kolodny and
                  Uri C. Weiser and
                  Nachum Shamir},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Interconnect-power dissipation in a microprocessor},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {7--13},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966750},
  doi          = {10.1145/966747.966750},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/MagenKWS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/MartinaM04,
  author       = {Maurizio Martina and
                  Guido Masera},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {A statistical model for estimating the effect of process variations
                  on crosstalk noise},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966769},
  doi          = {10.1145/966747.966769},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/MartinaM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/OConnor04,
  author       = {Ian O'Connor},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Optical solutions for system-level interconnect},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {79--88},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966764},
  doi          = {10.1145/966747.966764},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/OConnor04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/OngSYMDL04,
  author       = {Beng Hwee Ong and
                  Choon Beng Sia and
                  Kiat Seng Yeo and
                  Jianguo Ma and
                  Manh Anh Do and
                  Erping Li},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Investigating the frequency dependence elements of {CMOS} {RFIC} interconnects
                  for physical modeling},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {31--38},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966754},
  doi          = {10.1145/966747.966754},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/OngSYMDL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/RajendranKSFP04,
  author       = {Bipin Rajendran and
                  Pawan Kapur and
                  Krishna Saraswat and
                  R. Fabian W. Pease},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Self-consistent power/performance/reliability analysis for copper
                  interconnects},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {17--22},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966752},
  doi          = {10.1145/966747.966752},
  timestamp    = {Mon, 29 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/RajendranKSFP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/VenkatramanLJKZB04,
  author       = {Vishak Venkatraman and
                  Andrew Laffely and
                  Jinwook Jang and
                  Hempraveen Kukkamalla and
                  Zhi Zhu and
                  Wayne P. Burleson},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {NoCIC: a spice-based interconnect planning tool emphasizing aggressive
                  on-chip interconnect circuit methods},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {69--75},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966762},
  doi          = {10.1145/966747.966762},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/VenkatramanLJKZB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/WanC04,
  author       = {Tao Wan and
                  Malgorzata Chrzanowska{-}Jeske},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Prediction of interconnect net-degree distribution based on Rent's
                  rule},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {107--114},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966768},
  doi          = {10.1145/966747.966768},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/WanC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Zarkesh-HaDLB04,
  author       = {Payman Zarkesh{-}Ha and
                  Ken Doniger and
                  William Loh and
                  Peter Bendix},
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {Prediction of interconnect adjacency distribution: derivation, validation,
                  and applications},
  booktitle    = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  pages        = {99--106},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/966747.966767},
  doi          = {10.1145/966747.966767},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Zarkesh-HaDLB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/slip/2004,
  editor       = {Louis Scheffer and
                  Igor L. Markov},
  title        = {The Sixth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2004), Paris, France, February 14-15, 2004, Proceedings},
  publisher    = {{ACM}},
  year         = {2004},
  isbn         = {1-58113-818-0},
  timestamp    = {Fri, 03 Sep 2004 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics