Search dblp for Publications

export results for "toc:db/conf/islped/islped2020.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/0007HK20,
  author       = {Taehwan Kim and
                  Gyoung{-}Hwan Hyun and
                  Taewhan Kim},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Steady state driven power gating for lightening always-on state retention
                  storage},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406556},
  doi          = {10.1145/3370748.3406556},
  timestamp    = {Tue, 05 Jul 2022 15:18:16 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/0007HK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AgarwalaWTLMC20,
  author       = {Rishika Agarwala and
                  Peng Wang and
                  Akhilesh Tanneeru and
                  Bongmook Lee and
                  Veena Misra and
                  Benton H. Calhoun},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {An 88.6nW ozone pollutant sensing interface {IC} with a 159 dB dynamic
                  range},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {31--36},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406579},
  doi          = {10.1145/3370748.3406579},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AgarwalaWTLMC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AliA020,
  author       = {Mustafa Fayez Ali and
                  Amogh Agrawal and
                  Kaushik Roy},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{RAMANN:} in-SRAM differentiable memory computations for memory-augmented
                  neural networks},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406574},
  doi          = {10.1145/3370748.3406574},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AliA020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Ash-SakiAG20,
  author       = {Abdullah Ash{-}Saki and
                  Mahabubul Alam and
                  Swaroop Ghosh},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Analysis of crosstalk in {NISQ} devices and security implications
                  in multi-programming regime},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {25--30},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406570},
  doi          = {10.1145/3370748.3406570},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Ash-SakiAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BhardwajH0BHW20,
  author       = {Kshitij Bhardwaj and
                  Marton Havasi and
                  Yuan Yao and
                  David M. Brooks and
                  Jos{\'{e}} Miguel Hern{\'{a}}ndez{-}Lobato and
                  Gu{-}Yeon Wei},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {A comprehensive methodology to determine optimal coherence interfaces
                  for many-accelerator SoCs},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {145--150},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406564},
  doi          = {10.1145/3370748.3406564},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BhardwajH0BHW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BruinSHC20,
  author       = {Barry de Bruin and
                  Kamlesh Singh and
                  Jos Huisken and
                  Henk Corporaal},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {BrainWave: an energy-efficient {EEG} monitoring system - evaluation
                  and trade-offs},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {181--186},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406571},
  doi          = {10.1145/3370748.3406571},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BruinSHC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CeruttiACFMB20,
  author       = {Gianmarco Cerutti and
                  Renzo Andri and
                  Lukas Cavigelli and
                  Elisabetta Farella and
                  Michele Magno and
                  Luca Benini},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Sound event detection with binary neural networks on tightly power-constrained
                  IoT devices},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {19--24},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406588},
  doi          = {10.1145/3370748.3406588},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/CeruttiACFMB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenBBC20,
  author       = {Zhe Chen and
                  Garrett J. Blair and
                  Hugh T. Blair and
                  Jason Cong},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{BLINK:} bit-sparse {LSTM} inference kernel enabling efficient calcium
                  trace extraction for neurofeedback devices},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {217--222},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406552},
  doi          = {10.1145/3370748.3406552},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenBBC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenCYC20,
  author       = {Tseng{-}Yi Chen and
                  Yuan{-}Hao Chang and
                  Ming{-}Chang Yang and
                  Huang{-}Wei Chen},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {How to cultivate a green decision tree without loss of accuracy?},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406566},
  doi          = {10.1145/3370748.3406566},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenCYC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FayyaziEP20,
  author       = {Arash Fayyazi and
                  Amirhossein Esmaili and
                  Massoud Pedram},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{HIPE-MAGIC:} a technology-aware synthesis and mapping flow for highly
                  parallel execution of memristor-aided LoGIC},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {235--240},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406557},
  doi          = {10.1145/3370748.3406557},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/FayyaziEP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GauchiEKNGVMC20,
  author       = {Roman Gauchi and
                  Valentin Egloff and
                  Maha Kooli and
                  Jean{-}Philippe No{\"{e}}l and
                  Bastien Giraud and
                  Pascal Vivet and
                  Subhasish Mitra and
                  Henri{-}Pierre Charles},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Reconfigurable tiles of computing-in-memory {SRAM} architecture for
                  scalable vectorization},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {121--126},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406550},
  doi          = {10.1145/3370748.3406550},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GauchiEKNGVMC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GhoshRR20,
  author       = {Soumendu Kumar Ghosh and
                  Arnab Raha and
                  Vijay Raghunathan},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Approximate inference systems (AxIS): end-to-end approximations for
                  energy-efficient inference at the edge},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {7--12},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406575},
  doi          = {10.1145/3370748.3406575},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GhoshRR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GoelTAGGTL20,
  author       = {Abhinav Goel and
                  Caleb Tung and
                  Sarah Aghajanzadeh and
                  Isha Ghodgaonkar and
                  Shreya Ghosh and
                  George K. Thiruvathukal and
                  Yung{-}Hsiang Lu},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Low-power object counting with hierarchical neural networks},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {163--168},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406569},
  doi          = {10.1145/3370748.3406569},
  timestamp    = {Mon, 28 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GoelTAGGTL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GouxCPB20,
  author       = {Nicolas Goux and
                  Jean{-}Baptiste Casanova and
                  Ga{\"{e}}l Pillonnet and
                  Franck Badets},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {A 640pW 32kHz switched-capacitor {ILO} analog-to-time converter for
                  wake-up sensor applications},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406582},
  doi          = {10.1145/3370748.3406582},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GouxCPB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuptaIZWZR20,
  author       = {Saransh Gupta and
                  Mohsen Imani and
                  Hengyu Zhao and
                  Fan Wu and
                  Jishen Zhao and
                  Tajana Simunic Rosing},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Implementing binary neural networks in memory with approximate accumulation},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {247--252},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406562},
  doi          = {10.1145/3370748.3406562},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GuptaIZWZR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HerscheRMBR20,
  author       = {Michael Hersche and
                  Edoardo Mello Rella and
                  Alfio Di Mauro and
                  Luca Benini and
                  Abbas Rahimi},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Integrating event-based dynamic vision sensors with sparse hyperdimensional
                  computing: a low-power accelerator with online learning capability},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {169--174},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406560},
  doi          = {10.1145/3370748.3406560},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/HerscheRMBR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HossainS20,
  author       = {Md Shazzad Hossain and
                  Ioannis Savidis},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Dynamic idle core management and leakage current reuse in MPSoC platforms},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406558},
  doi          = {10.1145/3370748.3406558},
  timestamp    = {Tue, 21 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HossainS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhaleghiSTAKR20,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Anthony Thomas and
                  Fatemeh Asgarinejad and
                  Yeseong Kim and
                  Tajana Rosing},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {SHEAR\emph{er}: highly-efficient hyperdimensional computing by software-hardware
                  enabled multifold approximation},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {241--246},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406587},
  doi          = {10.1145/3370748.3406587},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KhaleghiSTAKR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KohKS20,
  author       = {Sunwha Koh and
                  Yonghwi Kwon and
                  Youngsoo Shin},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Pre-layout clock tree estimation and optimization using artificial
                  neural network},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {193--198},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406584},
  doi          = {10.1145/3370748.3406584},
  timestamp    = {Fri, 16 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KohKS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KuL20,
  author       = {Bon Woong Ku and
                  Sung Kyu Lim},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Pin-in-the-middle: an efficient block pin assignment methodology for
                  block-level monolithic 3D ICs},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {85--90},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406580},
  doi          = {10.1145/3370748.3406580},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KuL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KumarCM20,
  author       = {Harshit Kumar and
                  Nikhil Chawla and
                  Saibal Mukhopadhyay},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {BiasP: a {DVFS} based exploit to undermine resource allocation fairness
                  in linux platforms},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {223--228},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406549},
  doi          = {10.1145/3370748.3406549},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KumarCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeTXWM0LFNYL20,
  author       = {Mingyen Lee and
                  Wenjun Tang and
                  Bowen Xue and
                  Juejian Wu and
                  Mingyuan Ma and
                  Yu Wang and
                  Yongpan Liu and
                  Deliang Fan and
                  Vijaykrishnan Narayanan and
                  Huazhong Yang and
                  Xueqing Li},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {FeFET-based low-power bitwise logic-in-memory with direct write-back
                  and data-adaptive dynamic sensing interface},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {127--132},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406572},
  doi          = {10.1145/3370748.3406572},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeTXWM0LFNYL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeVBKD20,
  author       = {Tao{-}Yi Lee and
                  Khuong Vo and
                  Wongi Baek and
                  Michelle Khine and
                  Nikil D. Dutt},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{STINT:} selective transmission for low-energy physiological monitoring},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406563},
  doi          = {10.1145/3370748.3406563},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeVBKD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiLLLQWY20,
  author       = {Qin Li and
                  Sheng Lin and
                  Changlu Liu and
                  Yidong Liu and
                  Fei Qiao and
                  Yanzhi Wang and
                  Huazhong Yang},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{NS-KWS:} joint optimization of near-sensor processing architecture
                  and low-precision {GRU} for always-on keyword spotting},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {97--102},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3407001},
  doi          = {10.1145/3370748.3407001},
  timestamp    = {Tue, 21 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiLLLQWY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiPFL0CXWLD20,
  author       = {Bingbing Li and
                  Santosh Pandey and
                  Haowen Fang and
                  Yanjun Lyv and
                  Ji Li and
                  Jieyang Chen and
                  Mimi Xie and
                  Lipeng Wan and
                  Hang Liu and
                  Caiwen Ding},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{FTRANS:} energy-efficient acceleration of transformers using {FPGA}},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {175--180},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406567},
  doi          = {10.1145/3370748.3406567},
  timestamp    = {Tue, 28 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiPFL0CXWLD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuYQC20,
  author       = {Chenchen Liu and
                  Fuxun Yu and
                  Zhuwei Qin and
                  Xiang Chen},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Enabling efficient ReRAM-based neural network computing via crossbar
                  structure adaptive optimization},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {133--138},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406581},
  doi          = {10.1145/3370748.3406581},
  timestamp    = {Fri, 28 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiuYQC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LouGFNHJ20,
  author       = {Qiuwen Lou and
                  Tianqi Gao and
                  Patrick Faley and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu and
                  Siddharth Joshi},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Embedding error correction into crossbars for reliable matrix vector
                  multiplication using emerging devices},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {139--144},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406583},
  doi          = {10.1145/3370748.3406583},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LouGFNHJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NathK20,
  author       = {Arijit Nath and
                  Hemangee K. Kapoor},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{WELCOMF:} wear leveling assisted compression using frequent words
                  in non-volatile main memories},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {157--162},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406559},
  doi          = {10.1145/3370748.3406559},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NathK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Panda20,
  author       = {Priyadarshini Panda},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{QUANOS:} adversarial noise sensitivity driven hybrid quantization
                  of neural networks},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {187--192},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406585},
  doi          = {10.1145/3370748.3406585},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Panda20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkKAKK20,
  author       = {Naebeom Park and
                  Yulhwa Kim and
                  Daehyun Ahn and
                  Taesu Kim and
                  Jae{-}Joon Kim},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Time-step interleaved weight reuse for {LSTM} neural network computing},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {13--18},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406561},
  doi          = {10.1145/3370748.3406561},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkKAKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkKKKK20,
  author       = {Yoonho Park and
                  Yesung Kang and
                  Sunghoon Kim and
                  Eunji Kwon and
                  Seokhyeong Kang},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{GRLC:} grid-based run-length compression for energy-efficient {CNN}
                  accelerator},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {91--96},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406576},
  doi          = {10.1145/3370748.3406576},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkKKKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PasandiPHNP20,
  author       = {Ghasem Pasandi and
                  Mackenzie Peterson and
                  Mois{\'{e}}s Herrera and
                  Shahin Nazarian and
                  Massoud Pedram},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Deep-PowerX: a deep learning-based framework for low-power approximate
                  logic synthesis},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406555},
  doi          = {10.1145/3370748.3406555},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PasandiPHNP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/QiuAAG20,
  author       = {Ling Qiu and
                  Mahabubul Alam and
                  Abdullah Ash{-}Saki and
                  Swaroop Ghosh},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Resiliency analysis and improvement of variational quantum factoring
                  in superconducting qubit},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {229--234},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406586},
  doi          = {10.1145/3370748.3406586},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/QiuAAG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RajaeiLSNH20,
  author       = {Ramin Rajaei and
                  Yen{-}Kai Lin and
                  Sayeef S. Salahuddin and
                  Michael T. Niemier and
                  Xiaobo Sharon Hu},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {GC-eDRAM design using hybrid FinFET/NC-FinFET},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {199--204},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406568},
  doi          = {10.1145/3370748.3406568},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RajaeiLSNH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaniAK20,
  author       = {Khushboo Rani and
                  Sukarn Agarwal and
                  Hemangee K. Kapoor},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {DidaSel: dirty data based selection of {VC} for effective utilization
                  of {NVM} buffers in on-chip interconnects},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {151--156},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406565},
  doi          = {10.1145/3370748.3406565},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RaniAK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SchumacherSFP20,
  author       = {Tim Schumacher and
                  Markus Stadelmayer and
                  Thomas Faseth and
                  Harald Pretl},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {A 1.2-V, 1.8-GHz low-power {PLL} using a class-F {VCO} for driving
                  900-MHz {SRD} band SC-circuits},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406551},
  doi          = {10.1145/3370748.3406551},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SchumacherSFP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SimCL20,
  author       = {Hyeonuk Sim and
                  Jooyeon Choi and
                  Jongeun Lee},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {SparTANN: sparse training accelerator for neural networks with threshold-based
                  sparsification},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {211--216},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406554},
  doi          = {10.1145/3370748.3406554},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SimCL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TripathyZSBS20,
  author       = {Devashree Tripathy and
                  Hadi Zamani and
                  Debiprasanna Sahoo and
                  Laxmi N. Bhuyan and
                  Manoranjan Satpathy},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Slumber: static-power management for {GPGPU} register files},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {109--114},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406577},
  doi          = {10.1145/3370748.3406577},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/TripathyZSBS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TuncelBKMO20,
  author       = {Yigit Tuncel and
                  Shiva Bandyopadhyay and
                  Shambhavi V. Kulshrestha and
                  Audrey Mendez and
                  {\"{U}}mit Y. Ogras},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Towards wearable piezoelectric energy harvesting: modeling and experimental
                  validation},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406578},
  doi          = {10.1145/3370748.3406578},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TuncelBKMO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangYSCYYL20,
  author       = {Yixiong Yang and
                  Zhe Yuan and
                  Fang Su and
                  Fanyang Cheng and
                  Zhuqing Yuan and
                  Huazhong Yang and
                  Yongpan Liu},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Multi-channel precision-sparsity-adapted inter-frame differential
                  data codec for video neural network processor},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {103--108},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3407002},
  doi          = {10.1145/3370748.3407002},
  timestamp    = {Tue, 11 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YangYSCYYL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZamaniTBC20,
  author       = {Hadi Zamani and
                  Devashree Tripathy and
                  Laxmi N. Bhuyan and
                  Zizhong Chen},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{SAOU:} safe adaptive overclocking and undervolting for energy-efficient
                  {GPU} computing},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {205--210},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406553},
  doi          = {10.1145/3370748.3406553},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZamaniTBC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhouBR20,
  author       = {Liang Zhou and
                  Laxmi N. Bhuyan and
                  K. K. Ramakrishnan},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {Swan: a two-step power management for distributed search engines},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406573},
  doi          = {10.1145/3370748.3406573},
  timestamp    = {Tue, 07 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhouBR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2020,
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748},
  doi          = {10.1145/3370748},
  isbn         = {978-1-4503-7053-0},
  timestamp    = {Tue, 05 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics