Search dblp for Publications

export results for "toc:db/conf/islped/islped2017.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AmarnathFPARD17,
  author       = {Aporva Amarnath and
                  Siying Feng and
                  Subhankar Pal and
                  Tutu Ajayi and
                  Austin Rovinski and
                  Ronald G. Dreslinski},
  title        = {A carbon nanotube transistor based {RISC-V} processor using pass transistor
                  logic},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009156},
  doi          = {10.1109/ISLPED.2017.8009156},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AmarnathFPARD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Austin17,
  author       = {Todd M. Austin},
  title        = {Keynote: Peering into the post Moore's Law world},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009150},
  doi          = {10.1109/ISLPED.2017.8009150},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Austin17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AzimR17,
  author       = {Zubair Azim and
                  Kaushik Roy},
  title        = {Spin-torque sensors with differential signaling for fast and energy
                  efficient global interconnects},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009155},
  doi          = {10.1109/ISLPED.2017.8009155},
  timestamp    = {Sun, 05 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AzimR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BaekDLSKLWC17,
  author       = {Donkyu Baek and
                  Caiwen Ding and
                  Sheng Lin and
                  Donghwa Shin and
                  Jaemin Kim and
                  Xue Lin and
                  Yanzhi Wang and
                  Naehyuck Chang},
  title        = {Reconfigurable thermoelectric generators for vehicle radiators energy
                  harvesting},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009166},
  doi          = {10.1109/ISLPED.2017.8009166},
  timestamp    = {Tue, 21 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BaekDLSKLWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BantockTAM17,
  author       = {James R. B. Bantock and
                  Vasileios Tenentes and
                  Bashir M. Al{-}Hashimi and
                  Geoff V. Merrett},
  title        = {Online tuning of Dynamic Power Management for efficient execution
                  of interactive workloads},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009195},
  doi          = {10.1109/ISLPED.2017.8009195},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BantockTAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BoseB17,
  author       = {Pradip Bose and
                  Alper Buyuktosunoglu},
  title        = {Invited paper: Resilient and energy-secure power management},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009187},
  doi          = {10.1109/ISLPED.2017.8009187},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/BoseB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangDSCYL17,
  author       = {Kyungwook Chang and
                  Shidhartha Das and
                  Saurabh Sinha and
                  Brian Cline and
                  Greg Yeric and
                  Sung Kyu Lim},
  title        = {Frequency and time domain analysis of power delivery network for monolithic
                  3D ICs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009180},
  doi          = {10.1109/ISLPED.2017.8009180},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChangDSCYL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangKCSL17,
  author       = {Kyungwook Chang and
                  Deepak Kadetotad and
                  Yu Cao and
                  Jae{-}sun Seo and
                  Sung Kyu Lim},
  title        = {Monolithic 3D {IC} designs for low-power deep neural networks targeting
                  speech recognition},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009175},
  doi          = {10.1109/ISLPED.2017.8009175},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChangKCSL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenMP17,
  author       = {Yukai Chen and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Workload-driven frequency-aware battery sizing},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009196},
  doi          = {10.1109/ISLPED.2017.8009196},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChienCTSWTW17,
  author       = {Tsai{-}Kan Chien and
                  Lih{-}Yih Chiou and
                  Yi{-}Sung Tsou and
                  Shyh{-}Shyuan Sheu and
                  Pei{-}Hua Wang and
                  Ming{-}Jinn Tsai and
                  Chih{-}I Wu},
  title        = {Write-energy-saving ReRAM-based nonvolatile {SRAM} with redundant
                  bit-write-aware controller for last-level caches},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009153},
  doi          = {10.1109/ISLPED.2017.8009153},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChienCTSWTW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChienLGC17,
  author       = {Ming{-}Hung Chien and
                  Yen{-}Long Lee and
                  Jih Ren Goh and
                  Soon{-}Jyh Chang},
  title        = {A low power duobinary voltage mode transmitter},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009205},
  doi          = {10.1109/ISLPED.2017.8009205},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChienLGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiCSK17,
  author       = {Myung{-}Hoon Choi and
                  Seungkyu Choi and
                  Jaehyeong Sim and
                  Lee{-}Sup Kim},
  title        = {{SENIN:} An energy-efficient sparse neuromorphic system with on-chip
                  learning},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009174},
  doi          = {10.1109/ISLPED.2017.8009174},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiCSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiCSP17,
  author       = {Kyungrak Choi and
                  Woong Choi and
                  Kyungho Shin and
                  Jongsun Park},
  title        = {Bit-width reduction and customized register for low cost convolutional
                  neural network accelerator},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009164},
  doi          = {10.1109/ISLPED.2017.8009164},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiCSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChundiZKKS17,
  author       = {Pavan Kumar Chundi and
                  Yini Zhou and
                  Martha A. Kim and
                  Eren Kursun and
                  Mingoo Seok},
  title        = {Hotspot monitoring and Temperature Estimation with miniature on-chip
                  temperature sensors},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009191},
  doi          = {10.1109/ISLPED.2017.8009191},
  timestamp    = {Thu, 19 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChundiZKKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DhanuskodiH17,
  author       = {Siva Nishok Dhanuskodi and
                  Daniel E. Holcomb},
  title        = {An improved clocking methodology for energy efficient low area {AES}
                  architectures using register renaming},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009193},
  doi          = {10.1109/ISLPED.2017.8009193},
  timestamp    = {Fri, 07 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/DhanuskodiH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GarrettY17,
  author       = {David Garrett and
                  Chia{-}Lin Yang},
  title        = {Message from the general co-chairs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009140},
  doi          = {10.1109/ISLPED.2017.8009140},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GarrettY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Gu0P17,
  author       = {Hongxiang Gu and
                  Teng Xu and
                  Miodrag Potkonjak},
  title        = {A low-power APUF-based environmental abnormality detection framework},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009194},
  doi          = {10.1109/ISLPED.2017.8009194},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Gu0P17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GunnaBHS17,
  author       = {Bhoopal Gunna and
                  Lakshmi Bhamidipati and
                  Houman Homayoun and
                  Avesta Sasan},
  title        = {Spatial and temporal scheduling of clock arrival times for {IR} hot-spot
                  mitigation, reformulation of peak current reduction},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009179},
  doi          = {10.1109/ISLPED.2017.8009179},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GunnaBHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Hwu17,
  author       = {Wen{-}Mei W. Hwu},
  title        = {Keynote: Architecture and software for emerging low-power systems},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009151},
  doi          = {10.1109/ISLPED.2017.8009151},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Hwu17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ImaniGAR17,
  author       = {Mohsen Imani and
                  Saransh Gupta and
                  Atl Arredondo and
                  Tajana Rosing},
  title        = {Efficient query processing in crossbar memory},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009204},
  doi          = {10.1109/ISLPED.2017.8009204},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ImaniGAR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JiangKWW17,
  author       = {Lei Jiang and
                  Minje Kim and
                  Wujie Wen and
                  Danghui Wang},
  title        = {{XNOR-POP:} {A} processing-in-memory architecture for binary Convolutional
                  Neural Networks in Wide-IO2 DRAMs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009163},
  doi          = {10.1109/ISLPED.2017.8009163},
  timestamp    = {Thu, 12 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JiangKWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KarSMRDM17,
  author       = {Monodeep Kar and
                  Arvind Singh and
                  Sanu Mathew and
                  Anand Rajan and
                  Vivek De and
                  Saibal Mukhopadhyay},
  title        = {Invited paper: Low power requirements and side-channel protection
                  of encryption engines: Challenges and opportunities},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009186},
  doi          = {10.1109/ISLPED.2017.8009186},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KarSMRDM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimBRR17,
  author       = {Younghyun Kim and
                  Setareh Behroozi and
                  Vijay Raghunathan and
                  Anand Raghunathan},
  title        = {{AXSERBUS:} {A} quality-configurable approximate serial bus for energy-efficient
                  sensing},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009172},
  doi          = {10.1109/ISLPED.2017.8009172},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimBRR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimC17,
  author       = {Young Geun Kim and
                  Sung Woo Chung},
  title        = {Signal strength-aware adaptive offloading for energy efficient mobile
                  devices},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009182},
  doi          = {10.1109/ISLPED.2017.8009182},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKS17,
  author       = {Sung Justin Kim and
                  Doyun Kim and
                  Mingoo Seok},
  title        = {Comparative study and optimization of synchronous and asynchronous
                  comparators at near-threshold voltages},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009169},
  doi          = {10.1109/ISLPED.2017.8009169},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KongGC17,
  author       = {Joonho Kong and
                  Young{-}Ho Gong and
                  Sung Woo Chung},
  title        = {Architecting large-scale {SRAM} arrays with monolithic 3D integration},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009157},
  doi          = {10.1109/ISLPED.2017.8009157},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KongGC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KuSNL17,
  author       = {Bon Woong Ku and
                  Taigon Song and
                  Arthur Nieuwoudt and
                  Sung Kyu Lim},
  title        = {Transistor-level monolithic 3D standard cell layout optimization for
                  full-chip static power integrity},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009189},
  doi          = {10.1109/ISLPED.2017.8009189},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KuSNL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KulkarniW17,
  author       = {Jaydeep Kulkarni and
                  Thomas F. Wenisch},
  title        = {Message from the program co-chairs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009141},
  doi          = {10.1109/ISLPED.2017.8009141},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KulkarniW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeKS17,
  author       = {Youngmoon Lee and
                  Eugene Kim and
                  Kang G. Shin},
  title        = {Efficient thermoelectric cooling for mobile devices},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009199},
  doi          = {10.1109/ISLPED.2017.8009199},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiKCNR17,
  author       = {William Y. Li and
                  Hyung Seok Kim and
                  Kailash Chandrashekar and
                  Khoa Minh Nguyen and
                  Ashoke Ravi},
  title        = {A 32nm, 0.65-10GHz, 0.9/0.3 ps/{\(\sigma\)} {TX/RX} jitter single
                  inductor digital fractional-n clock generator for reconfigurable serial
                  {I/O}},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009160},
  doi          = {10.1109/ISLPED.2017.8009160},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiKCNR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiWWYH17,
  author       = {Li Li and
                  Jun Wang and
                  Xiaorui Wang and
                  Handong Ye and
                  Ziang Hu},
  title        = {SceneMan: Bridging mobile apps with system energy manager via scenario
                  notification},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009209},
  doi          = {10.1109/ISLPED.2017.8009209},
  timestamp    = {Mon, 27 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiWWYH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinHK17,
  author       = {Han{-}Yi Lin and
                  Pi{-}Cheng Hsiu and
                  Tei{-}Wei Kuo},
  title        = {ShiftMask: Dynamic {OLED} power shifting based on visual acuity for
                  interactive mobile applications},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009181},
  doi          = {10.1109/ISLPED.2017.8009181},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LinHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Liu17,
  author       = {Nicky Liu},
  title        = {Keynote: {A} new Silicon Age 4.0: Generating semiconductor-intelligence
                  paradigm with a Virtual Moore's Law Economics and Heterogeneous technologies},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009149},
  doi          = {10.1109/ISLPED.2017.8009149},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Liu17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuJL17,
  author       = {Yu Liu and
                  Yingyezhe Jin and
                  Peng Li},
  title        = {Exploring sparsity of firing activities and clock gating for energy-efficient
                  recurrent spiking neural processors},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009197},
  doi          = {10.1109/ISLPED.2017.8009197},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuZTPK17,
  author       = {Muqing Liu and
                  Chen Zhou and
                  Qianying Tang and
                  Keshab K. Parhi and
                  Chris H. Kim},
  title        = {A data remanence based approach to generate 100{\%} stable keys from
                  an {SRAM} physical unclonable function},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009192},
  doi          = {10.1109/ISLPED.2017.8009192},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiuZTPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MaityDJS17,
  author       = {Shovan Maity and
                  Debayan Das and
                  Xinyi Jiang and
                  Shreyas Sen},
  title        = {Secure Human-Internet using dynamic Human Body Communication},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009190},
  doi          = {10.1109/ISLPED.2017.8009190},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MaityDJS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MathewSSK17,
  author       = {Sanu Mathew and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Ram Krishnamurthy},
  title        = {Invited paper: Ultra-low energy security circuit primitives for IoT
                  platforms},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009185},
  doi          = {10.1109/ISLPED.2017.8009185},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MathewSSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MishkinKL17,
  author       = {Michael Mishkin and
                  Nam Sung Kim and
                  Mikko H. Lipasti},
  title        = {Temporal codes in on-chip interconnects},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009158},
  doi          = {10.1109/ISLPED.2017.8009158},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MishkinKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MonazzahSMRD17,
  author       = {Amir Mahdi Hosseini Monazzah and
                  Majid Shoushtari and
                  Seyed Ghassem Miremadi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {QuARK: Quality-configurable approximate {STT-MRAM} cache by fine-grained
                  tuning of reliability-energy knobs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009198},
  doi          = {10.1109/ISLPED.2017.8009198},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MonazzahSMRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MondalS17,
  author       = {Ankit Mondal and
                  Ankur Srivastava},
  title        = {Power optimizations in MTJ-based Neural Networks through Stochastic
                  Computing},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009167},
  doi          = {10.1109/ISLPED.2017.8009167},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MondalS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NautiyalSSBDGD17,
  author       = {Vivek Nautiyal and
                  Gaurav Singla and
                  Satinderjit Singh and
                  Fakhruddin Ali Bohra and
                  Jitendra Dasani and
                  Lalit Gupta and
                  Sagar Dwivedi},
  title        = {Charge recycled low power {SRAM} with integrated write and read assist,
                  for wearable electronics, designed in 7nm FinFET},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009154},
  doi          = {10.1109/ISLPED.2017.8009154},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NautiyalSSBDGD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NiLSYYWW17,
  author       = {Leibin Ni and
                  Zichuan Liu and
                  Wenhao Song and
                  J. Joshua Yang and
                  Hao Yu and
                  Kanwen Wang and
                  Yuangang Wang},
  title        = {An energy-efficient and high-throughput bitwise {CNN} on sneak-path-free
                  digital ReRAM crossbar},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009177},
  doi          = {10.1109/ISLPED.2017.8009177},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NiLSYYWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkHKCK17,
  author       = {Jihwan Park and
                  Gi{-}Moon Hong and
                  Mino Kim and
                  Joo{-}Hyung Chae and
                  Suhwan Kim},
  title        = {A 0.13pJ/bit, referenceless transceiver with clock edge modulation
                  for a wired intra-BAN communication},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009159},
  doi          = {10.1109/ISLPED.2017.8009159},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkHKCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkZC17,
  author       = {Sangyoung Park and
                  Licong Zhang and
                  Samarjit Chakraborty},
  title        = {Battery assignment and scheduling for drone delivery businesses},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009165},
  doi          = {10.1109/ISLPED.2017.8009165},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkZC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParveenAHF17,
  author       = {Farhana Parveen and
                  Shaahin Angizi and
                  Zhezhi He and
                  Deliang Fan},
  title        = {Low power in-memory computing based on dual-mode {SOT-MRAM}},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009200},
  doi          = {10.1109/ISLPED.2017.8009200},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParveenAHF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RanjanRRR17,
  author       = {Ashish Ranjan and
                  Arnab Raha and
                  Vijay Raghunathan and
                  Anand Raghunathan},
  title        = {Approximate memory compression for energy-efficiency},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009173},
  doi          = {10.1109/ISLPED.2017.8009173},
  timestamp    = {Thu, 20 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RanjanRRR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ReagenHAGWWB17,
  author       = {Brandon Reagen and
                  Jos{\'{e}} Miguel Hern{\'{a}}ndez{-}Lobato and
                  Robert Adolf and
                  Michael A. Gelbart and
                  Paul N. Whatmough and
                  Gu{-}Yeon Wei and
                  David M. Brooks},
  title        = {A case for efficient accelerator design space exploration via Bayesian
                  optimization},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009208},
  doi          = {10.1109/ISLPED.2017.8009208},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ReagenHAGWWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Rincon-Mora17,
  author       = {Gabriel A. Rinc{\'{o}}n{-}Mora},
  title        = {Tutorial: Tiny light-harvesting photovoltaic charger-supplies},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009152},
  doi          = {10.1109/ISLPED.2017.8009152},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Rincon-Mora17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RoyMMY17,
  author       = {Subhendu Roy and
                  Yuzhe Ma and
                  Jin Miao and
                  Bei Yu},
  title        = {A learning bridge from architectural synthesis to physical design
                  for exploring power efficient high-performance adders},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009168},
  doi          = {10.1109/ISLPED.2017.8009168},
  timestamp    = {Mon, 01 Oct 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RoyMMY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RoyVGSVR17,
  author       = {Arnab Roy and
                  Swagath Venkataramani and
                  Neel Gala and
                  Sanchari Sen and
                  Kamakoti Veezhinathan and
                  Anand Raghunathan},
  title        = {A Programmable Event-driven Architecture for Evaluating Spiking Neural
                  Networks},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009176},
  doi          = {10.1109/ISLPED.2017.8009176},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RoyVGSVR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RyuKK17,
  author       = {Sungju Ryu and
                  Jongeun Koo and
                  Jae{-}Joon Kim},
  title        = {Low design overhead timing error correction scheme for elastic clock
                  methodology},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009203},
  doi          = {10.1109/ISLPED.2017.8009203},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RyuKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SamalKKSHL17,
  author       = {Sandeep Kumar Samal and
                  Sourabh Khandelwal and
                  Asif Islam Khan and
                  Sayeef S. Salahuddin and
                  Chenming Hu and
                  Sung Kyu Lim},
  title        = {Full chip power benefits with negative capacitance FETs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009170},
  doi          = {10.1109/ISLPED.2017.8009170},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SamalKKSHL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SarwarP017,
  author       = {Syed Shakib Sarwar and
                  Priyadarshini Panda and
                  Kaushik Roy},
  title        = {Gabor filter assisted energy efficient fast learning Convolutional
                  Neural Networks},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009202},
  doi          = {10.1109/ISLPED.2017.8009202},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SarwarP017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SenH17,
  author       = {Rathijit Sen and
                  Alan Halverson},
  title        = {Frequency governors for cloud database {OLTP} workloads},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009183},
  doi          = {10.1109/ISLPED.2017.8009183},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SenH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShenL17,
  author       = {Minghua Shen and
                  Guojie Luo},
  title        = {Tiguan: Energy-aware collision-free control for large-scale connected
                  vehicles},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009184},
  doi          = {10.1109/ISLPED.2017.8009184},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShenL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VegaBB17,
  author       = {Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose},
  title        = {Invited paper: Secure swarm intelligence: {A} new approach to many-core
                  power management},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009188},
  doi          = {10.1109/ISLPED.2017.8009188},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/VegaBB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangGSC17,
  author       = {Chengke Wang and
                  Yao Guo and
                  Peng Shen and
                  Xiangqun Chen},
  title        = {E-Spector: Online energy inspection for Android applications},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009207},
  doi          = {10.1109/ISLPED.2017.8009207},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangGSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangW17,
  author       = {Yong Wang and
                  Hui Wu},
  title        = {Design high bandwidth-density, low latency and energy efficient on-chip
                  interconnect},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009171},
  doi          = {10.1109/ISLPED.2017.8009171},
  timestamp    = {Fri, 18 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuSH17,
  author       = {Wenbin Xu and
                  Sachin S. Sapatnekar and
                  Jiang Hu},
  title        = {A simple yet efficient accuracy configurable adder design},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009206},
  doi          = {10.1109/ISLPED.2017.8009206},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/XuSH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YeLXLFSZP17,
  author       = {Wei Ye and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Wuxi Li and
                  Yiwei Fu and
                  Yongsheng Sun and
                  Canhui Zhan and
                  David Z. Pan},
  title        = {Placement mitigation techniques for power grid electromigration},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009178},
  doi          = {10.1109/ISLPED.2017.8009178},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YeLXLFSZP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YuanLYLY17,
  author       = {Zhe Yuan and
                  Yongpan Liu and
                  Jinshan Yue and
                  Jinyang Li and
                  Huazhong Yang},
  title        = {{CORAL:} Coarse-grained reconfigurable architecture for Convolutional
                  Neural Networks},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009162},
  doi          = {10.1109/ISLPED.2017.8009162},
  timestamp    = {Sat, 24 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YuanLYLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZainiHTMAM17,
  author       = {Jennifer Zaini and
                  Fr{\'{e}}d{\'{e}}ric Hameau and
                  Thierry Taris and
                  Dominique Morche and
                  Patrick Audebert and
                  Eric Mercier},
  title        = {A tunable Ultra Low Power inductorless Low Noise Amplifier exploiting
                  body biasing of 28 nm {FDSOI} technology},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009161},
  doi          = {10.1109/ISLPED.2017.8009161},
  timestamp    = {Thu, 17 Aug 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZainiHTMAM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangG17,
  author       = {Chao Zhang and
                  Xiaochen Guo},
  title        = {Enabling efficient fine-grained {DRAM} activations with interleaved
                  {I/O}},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009201},
  doi          = {10.1109/ISLPED.2017.8009201},
  timestamp    = {Tue, 23 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2017,
  title        = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8002794/proceeding},
  isbn         = {978-1-5090-6023-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics