Search dblp for Publications

export results for "toc:db/conf/islped/islped2015.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/0001WHAM15,
  author       = {Anup Das and
                  Matthew J. Walker and
                  Andreas Hansson and
                  Bashir M. Al{-}Hashimi and
                  Geoff V. Merrett},
  title        = {Hardware-software interaction for run-time power optimization: {A}
                  case study of embedded Linux on multicore smartphones},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {165--170},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273508},
  doi          = {10.1109/ISLPED.2015.7273508},
  timestamp    = {Thu, 04 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/0001WHAM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AmrouchH15,
  author       = {Hussam Amrouch and
                  J{\"{o}}rg Henkel},
  title        = {Lucid infrared thermography of thermally-constrained processors},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {347--352},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273538},
  doi          = {10.1109/ISLPED.2015.7273538},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AmrouchH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AzizSDG15,
  author       = {Ahmedullah Aziz and
                  Nikhil Shukla and
                  Suman Datta and
                  Sumeet Kumar Gupta},
  title        = {{COAST:} Correlated material assisted {STT} MRAMs for optimized read
                  operation},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273481},
  doi          = {10.1109/ISLPED.2015.7273481},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AzizSDG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BeniniMO15,
  author       = {Luca Benini and
                  Renu Mehra and
                  Mauro Olivieri},
  title        = {Message from the general chairs},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273473},
  doi          = {10.1109/ISLPED.2015.7273473},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BeniniMO15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CakirHLM15,
  author       = {Cagla Cakir and
                  Ron Ho and
                  Jon K. Lexau and
                  Ken Mai},
  title        = {High-efficiency crossbar switches using capacitively coupled signaling},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {98--103},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273497},
  doi          = {10.1109/ISLPED.2015.7273497},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/CakirHLM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangASCYL15,
  author       = {Kyungwook Chang and
                  Kartik Acharya and
                  Saurabh Sinha and
                  Brian Cline and
                  Greg Yeric and
                  Sung Kyu Lim},
  title        = {Power benefit study of monolithic 3D {IC} at the 7nm technology node},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {201--206},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273514},
  doi          = {10.1109/ISLPED.2015.7273514},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChangASCYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenC15,
  author       = {Yu{-}Ting Chen and
                  Jason Cong},
  title        = {Interconnect synthesis of heterogeneous accelerators in a shared memory
                  architecture},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {359--364},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273540},
  doi          = {10.1109/ISLPED.2015.7273540},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoySKHVC15,
  author       = {Jun{-}Ho Choy and
                  Valeriy Sukharev and
                  Armen Kteyan and
                  Henrik Hovsepyan and
                  Ramnath Venkatraman and
                  Ruggero Castagnetti},
  title        = {Post placement leakage reduction with stress-enhanced filler cells},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {303--308},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273531},
  doi          = {10.1109/ISLPED.2015.7273531},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChoySKHVC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DasWB15,
  author       = {Shidhartha Das and
                  Paul N. Whatmough and
                  David M. Bull},
  title        = {Modeling and characterization of the system-level Power Delivery Network
                  for a dual-core {ARM} Cortex-A57 cluster in 28nm {CMOS}},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {146--151},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273505},
  doi          = {10.1109/ISLPED.2015.7273505},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/DasWB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DoustiGNP15,
  author       = {Mohammad Javad Dousti and
                  Majid Ghasemi{-}Gol and
                  Mahdi Nazemi and
                  Massoud Pedram},
  title        = {ThermTap: An online power analyzer and thermal simulator for Android
                  devices},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {341--346},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273537},
  doi          = {10.1109/ISLPED.2015.7273537},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/DoustiGNP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GovindarajG15,
  author       = {Rekha Govindaraj and
                  Swaroop Ghosh},
  title        = {Design and analysis of 6-T 2-MTJ ternary Content Addressable Memory},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {309--314},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273532},
  doi          = {10.1109/ISLPED.2015.7273532},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GovindarajG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeWH15,
  author       = {Hao He and
                  Jiafan Wang and
                  Jiang Hu},
  title        = {Collaborative gate implementation selection and adaptivity assignment
                  for robust combinational circuits},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273501},
  doi          = {10.1109/ISLPED.2015.7273501},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/HeWH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IranfarSKA15,
  author       = {Arman Iranfar and
                  Soheil Nazar Shahsavani and
                  Mehdi Kamal and
                  Ali Afzali{-}Kusha},
  title        = {A heuristic machine learning-based algorithm for power and thermal
                  management of heterogeneous MPSoCs},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {291--296},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273529},
  doi          = {10.1109/ISLPED.2015.7273529},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/IranfarSKA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JainNOG15,
  author       = {Sankalp Jain and
                  Harshad Navale and
                  {\"{U}}mit Y. Ogras and
                  Siddharth Garg},
  title        = {Energy efficient scheduling for web search on heterogeneous microservers},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {177--182},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273510},
  doi          = {10.1109/ISLPED.2015.7273510},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JainNOG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JayakumarR15,
  author       = {Sriram Jayakumar and
                  Sherief Reda},
  title        = {Making sense of thermoelectrics for processor thermal management and
                  energy harvesting},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273486},
  doi          = {10.1109/ISLPED.2015.7273486},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JayakumarR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JingCJJLL15,
  author       = {Naifeng Jing and
                  Shuang Chen and
                  Shunning Jiang and
                  Li Jiang and
                  Chao Li and
                  Xiaoyao Liang},
  title        = {Bank stealing for conflict mitigation in {GPGPU} Register File},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {55--60},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273490},
  doi          = {10.1109/ISLPED.2015.7273490},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JingCJJLL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JosephHLPRC15,
  author       = {Arun Joseph and
                  Anand Haridass and
                  Charles Lefurgy and
                  Sreekanth Pai and
                  Spandana Rachamalla and
                  Francesco Campisano},
  title        = {FreqLeak: {A} frequency step based method for efficient leakage power
                  characterization in a system},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {195--200},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273513},
  doi          = {10.1109/ISLPED.2015.7273513},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/JosephHLPRC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Jyvez15,
  author       = {Jose Pineda de Jyvez},
  title        = {Opportunities in system power management for high performance mixed
                  signal platforms},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273479},
  doi          = {10.1109/ISLPED.2015.7273479},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Jyvez15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KangLH15,
  author       = {Chih{-}Kai Kang and
                  Chun{-}Han Lin and
                  Pi{-}Cheng Hsiu},
  title        = {A win-win camera: Quality-enhanced power-saving images on mobile {OLED}
                  displays},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {267--272},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273525},
  doi          = {10.1109/ISLPED.2015.7273525},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KangLH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KaplanC15,
  author       = {Fulya Kaplan and
                  Ayse K. Coskun},
  title        = {Adaptive sprinting: How to get the most out of Phase Change based
                  passive cooling},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {37--42},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273487},
  doi          = {10.1109/ISLPED.2015.7273487},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KaplanC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhanSH15,
  author       = {Muhammad Usman Karim Khan and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {Hierarchical power budgeting for Dark Silicon chips},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {213--218},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273516},
  doi          = {10.1109/ISLPED.2015.7273516},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KhanSH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KungKM15,
  author       = {Jaeha Kung and
                  Duckhwan Kim and
                  Saibal Mukhopadhyay},
  title        = {A power-aware digital feedforward neural network platform with backpropagation
                  driven approximate synapses},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {85--90},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273495},
  doi          = {10.1109/ISLPED.2015.7273495},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KungKM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LaiYYL15,
  author       = {Chun{-}Hao Lai and
                  Shun{-}Chih Yu and
                  Chia{-}Lin Yang and
                  Hsiang{-}Pang Li},
  title        = {Fine-grained write scheduling for {PCM} performance improvement under
                  write power budget},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {19--24},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273484},
  doi          = {10.1109/ISLPED.2015.7273484},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LaiYYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeKRSGJ15,
  author       = {Wooseok Lee and
                  Youngchun Kim and
                  Jee Ho Ryoo and
                  Dam Sunwoo and
                  Andreas Gerstlauer and
                  Lizy K. John},
  title        = {PowerTrain: {A} learning-based calibration of McPAT power models},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {189--194},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273512},
  doi          = {10.1109/ISLPED.2015.7273512},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeKRSGJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeWSNP15,
  author       = {Woojoo Lee and
                  Yanzhi Wang and
                  Donghwa Shin and
                  Shahin Nazarian and
                  Massoud Pedram},
  title        = {Design and optimization of a reconfigurable power delivery network
                  for large-area, DVS-enabled {OLED} displays},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {159--164},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273507},
  doi          = {10.1109/ISLPED.2015.7273507},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeWSNP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiA15,
  author       = {Cheng Li and
                  Paul Ampadu},
  title        = {A compact low-power eDRAM-based NoC buffer},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {116--121},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273500},
  doi          = {10.1109/ISLPED.2015.7273500},
  timestamp    = {Wed, 09 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiGKC15,
  author       = {Yuanchun Li and
                  Yao Guo and
                  Junjun Kong and
                  Xiangqun Chen},
  title        = {Fixing sensor-related energy bugs through automated sensing policy
                  instrumentation},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {321--326},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273534},
  doi          = {10.1109/ISLPED.2015.7273534},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiGKC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiLZLLSWYX15,
  author       = {Shuangchen Li and
                  Ang Li and
                  Yuan Zhe and
                  Yongpan Liu and
                  Peng Li and
                  Guangyu Sun and
                  Yu Wang and
                  Huazhong Yang and
                  Yuan Xie},
  title        = {Leveraging emerging nonvolatile memory in high-level synthesis with
                  loop transformations},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {61--66},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273491},
  doi          = {10.1109/ISLPED.2015.7273491},
  timestamp    = {Sat, 27 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiLZLLSWYX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiangYZYW15,
  author       = {Yuan Liang and
                  Hao Yu and
                  Junfeng Zhao and
                  Wei Yang and
                  Yuangang Wang},
  title        = {An energy efficient and low cross-talk {CMOS} sub-THz {I/O} with surface-wave
                  modulator and interconnect},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {110--115},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273499},
  doi          = {10.1109/ISLPED.2015.7273499},
  timestamp    = {Tue, 25 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiangYZYW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinCHC15,
  author       = {Chi{-}Hsuan Lin and
                  Yu{-}Ming Chang and
                  Pi{-}Cheng Hsiu and
                  Yuan{-}Hao Chang},
  title        = {Energy stealing - an exploration into unperceived activities on mobile
                  systems},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {261--266},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273524},
  doi          = {10.1109/ISLPED.2015.7273524},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LinCHC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LuanLC15,
  author       = {Jun Luan and
                  Seung Jae Lee and
                  Pai H. Chou},
  title        = {Low-power detection of sternocleidomastoid muscle contraction for
                  asthma assessment and control},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {183--188},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273511},
  doi          = {10.1109/ISLPED.2015.7273511},
  timestamp    = {Wed, 04 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LuanLC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MammoPB15,
  author       = {Biruk Mammo and
                  Ritesh Parikh and
                  Valeria Bertacco},
  title        = {ReDEEM: {A} heterogeneous distributed microarchitecture for energy-efficient
                  reliability},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {297--302},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273530},
  doi          = {10.1109/ISLPED.2015.7273530},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MammoPB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MayS15,
  author       = {David May and
                  Walter Stechele},
  title        = {Design of fine-grained sequential approximate circuits using probability-aware
                  fault emulation},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {73--78},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273493},
  doi          = {10.1109/ISLPED.2015.7273493},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MayS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MiMSBS15,
  author       = {Xiaoyang Mi and
                  Debashis Mandal and
                  Visvesh S. Sathe and
                  Bertan Bakkaloglu and
                  Jae{-}sun Seo},
  title        = {Fully-integrated switched-capacitor voltage regulator with on-chip
                  current-sensing and workload optimization in 32nm {SOI} {CMOS}},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {140--145},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273504},
  doi          = {10.1109/ISLPED.2015.7273504},
  timestamp    = {Thu, 28 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MiMSBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MoonsV15,
  author       = {Bert Moons and
                  Marian Verhelst},
  title        = {{DVAS:} Dynamic Voltage Accuracy Scaling for increased energy-efficiency
                  in approximate computing},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {237--242},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273520},
  doi          = {10.1109/ISLPED.2015.7273520},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MoonsV15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MotamanGK15,
  author       = {Seyedhamidreza Motaman and
                  Swaroop Ghosh and
                  Jaydeep P. Kulkarni},
  title        = {A novel slope detection technique for robust {STTRAM} sensing},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273482},
  doi          = {10.1109/ISLPED.2015.7273482},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MotamanGK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/OkuharaKFUA15,
  author       = {Hayate Okuhara and
                  Kuniaki Kitamori and
                  Yu Fujita and
                  Kimiyoshi Usami and
                  Hideharu Amano},
  title        = {An optimal power supply and body bias voltage for a ultra low power
                  micro-controller with silicon on thin box {MOSFET}},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {207--212},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273515},
  doi          = {10.1109/ISLPED.2015.7273515},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/OkuharaKFUA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PathaniaPSH15,
  author       = {Anuj Pathania and
                  Santiago Pagani and
                  Muhammad Shafique and
                  J{\"{o}}rg Henkel},
  title        = {Power management for mobile games on asymmetric multi-cores},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {243--248},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273521},
  doi          = {10.1109/ISLPED.2015.7273521},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PathaniaPSH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PuriR15,
  author       = {Ruchir Puri and
                  Vijay Raghunathan},
  title        = {Message from the program chairs},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273474},
  doi          = {10.1109/ISLPED.2015.7273474},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PuriR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RahmaniHKWLPJT15,
  author       = {Amir{-}Mohammad Rahmani and
                  Mohammad Hashem Haghbayan and
                  Anil Kanduri and
                  Awet Yemane Weldezion and
                  Pasi Liljeberg and
                  Juha Plosila and
                  Axel Jantsch and
                  Hannu Tenhunen},
  title        = {Dynamic power management for many-core platforms in the dark silicon
                  era: {A} multi-objective control approach},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {219--224},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273517},
  doi          = {10.1109/ISLPED.2015.7273517},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RahmaniHKWLPJT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RomaniCBT15,
  author       = {Aldo Romani and
                  Antonio Camarda and
                  Alessio Baldazzi and
                  Marco Tartagni},
  title        = {A micropower energy harvesting circuit with piezoelectric transformer-based
                  ultra-low voltage start-up},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {279--284},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273527},
  doi          = {10.1109/ISLPED.2015.7273527},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RomaniCBT15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SadrosadatiMAS15,
  author       = {Mohammad Sadrosadati and
                  Amirhossein Mirhosseini and
                  Homa Aghilinasab and
                  Hamid Sarbazi{-}Azad},
  title        = {An efficient {DVS} scheme for on-chip networks using reconfigurable
                  Virtual Channel allocators},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {249--254},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273522},
  doi          = {10.1109/ISLPED.2015.7273522},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SadrosadatiMAS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SalehiTRKSEH15,
  author       = {Mohammad Salehi and
                  Mohammad Khavari Tavana and
                  Semeen Rehman and
                  Florian Kriebel and
                  Muhammad Shafique and
                  Alireza Ejlali and
                  J{\"{o}}rg Henkel},
  title        = {{DRVS:} Power-efficient reliability management through Dynamic Redundancy
                  and Voltage Scaling under variations},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {225--230},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273518},
  doi          = {10.1109/ISLPED.2015.7273518},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SalehiTRKSEH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Sangiovanni-Vincentelli15,
  author       = {Alberto L. Sangiovanni{-}Vincentelli},
  title        = {Let's get physical: Adding physical dimensions to cyber systems},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273478},
  doi          = {10.1109/ISLPED.2015.7273478},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Sangiovanni-Vincentelli15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SassolasSGMVBP15,
  author       = {Tanguy Sassolas and
                  Chiara Sandionigi and
                  Alexandre Guerre and
                  Julien Mottin and
                  Pascal Vivet and
                  Hela Boussetta and
                  Nicolas Peltier},
  title        = {A simulation framework for rapid prototyping and evaluation of thermal
                  mitigation techniques in many-core architectures},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273485},
  doi          = {10.1109/ISLPED.2015.7273485},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SassolasSGMVBP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SatheS15,
  author       = {Visvesh S. Sathe and
                  Jae{-}sun Seo},
  title        = {Analysis and optimization of {CMOS} switched-capacitor converters},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {327--334},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273535},
  doi          = {10.1109/ISLPED.2015.7273535},
  timestamp    = {Thu, 28 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SatheS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Shanbhag15,
  author       = {Naresh R. Shanbhag},
  title        = {Statistical information processing: Computing for the nanoscale era},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273480},
  doi          = {10.1109/ISLPED.2015.7273480},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Shanbhag15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShinCWP15,
  author       = {Donghwa Shin and
                  Naehyuck Chang and
                  Yanzhi Wang and
                  Massoud Pedram},
  title        = {Reconfigurable three dimensional photovoltaic panel architecture for
                  solar-powered time extension},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {273--278},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273526},
  doi          = {10.1109/ISLPED.2015.7273526},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShinCWP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShrideviACR15,
  author       = {Rajesh Jayashankara Shridevi and
                  Dean Michael Ancajas and
                  Koushik Chakraborty and
                  Sanghamitra Roy},
  title        = {Tackling voltage emergencies in NoC through timing error resilience},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {104--109},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273498},
  doi          = {10.1109/ISLPED.2015.7273498},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ShrideviACR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SinghKKM15,
  author       = {Arvind Singh and
                  Monodeep Kar and
                  Jong Hwan Ko and
                  Saibal Mukhopadhyay},
  title        = {Exploring power attack protection of resource constrained encryption
                  engines using integrated low-drop-out regulators},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {134--139},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273503},
  doi          = {10.1109/ISLPED.2015.7273503},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SinghKKM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SongNJKK15,
  author       = {Byungkyu Song and
                  Taehui Na and
                  Seong{-}Ook Jung and
                  Jung Pill Kim and
                  Seung{-}Hyuk Kang},
  title        = {Reference-circuit analysis for high-bandwidth spin transfer torque
                  random access memory},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {365--370},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273541},
  doi          = {10.1109/ISLPED.2015.7273541},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SongNJKK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VarmaBCGGKS15,
  author       = {Ankush Varma and
                  William J. Bowhill and
                  Jason Crop and
                  Corey Gough and
                  Brian Griffith and
                  Dan Kingsley and
                  Krishna Sistla},
  title        = {Power management in the Intel Xeon {E5} v3},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {371--376},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273542},
  doi          = {10.1109/ISLPED.2015.7273542},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/VarmaBCGGKS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VatanparvarWF15,
  author       = {Korosh Vatanparvar and
                  Jiang Wan and
                  Mohammad Abdullah Al Faruque},
  title        = {Battery-aware energy-optimal Electric Vehicle driving management},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {353--358},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273539},
  doi          = {10.1109/ISLPED.2015.7273539},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VatanparvarWF15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangLYNYWZ15,
  author       = {Yuhao Wang and
                  Xin Li and
                  Hao Yu and
                  Leibin Ni and
                  Wei Yang and
                  Chuliang Weng and
                  Junfeng Zhao},
  title        = {Optimizing Boolean embedding matrix for compressive sensing in {RRAM}
                  crossbar},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {13--18},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273483},
  doi          = {10.1109/ISLPED.2015.7273483},
  timestamp    = {Sat, 18 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangLYNYWZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangSBI15,
  author       = {Shibo Wang and
                  Yanwei Song and
                  Mahdi Nazm Bojnordi and
                  Engin Ipek},
  title        = {Enabling energy efficient Hybrid Memory Cube systems with erasure
                  codes},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {67--72},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273492},
  doi          = {10.1109/ISLPED.2015.7273492},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WangSBI15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangVBBS15,
  author       = {Liang Wang and
                  Augusto Vega and
                  Alper Buyuktosunoglu and
                  Pradip Bose and
                  Kevin Skadron},
  title        = {Power-efficient embedded processing with resilience and real-time
                  constraints},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {231--236},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273519},
  doi          = {10.1109/ISLPED.2015.7273519},
  timestamp    = {Thu, 04 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangVBBS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WhatmoughDB15,
  author       = {Paul N. Whatmough and
                  Shidhartha Das and
                  David M. Bull},
  title        = {Analysis of adaptive clocking technique for resonant supply voltage
                  noise mitigation},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {128--133},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273502},
  doi          = {10.1109/ISLPED.2015.7273502},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WhatmoughDB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WonGSH15,
  author       = {Jae{-}Yeon Won and
                  Paul Gratz and
                  Srinivas Shakkottai and
                  Jiang Hu},
  title        = {Having your cake and eating it too: Energy savings without performance
                  loss through resource sharing driven power management},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {255--260},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273523},
  doi          = {10.1109/ISLPED.2015.7273523},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WonGSH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WuXJL15,
  author       = {Xiangyu Wu and
                  Yuanfang Xia and
                  Naifeng Jing and
                  Xiaoyao Liang},
  title        = {CGSharing: Efficient content sharing in GPU-based cloud gaming},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {171--176},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273509},
  doi          = {10.1109/ISLPED.2015.7273509},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WuXJL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XiaoL0C15,
  author       = {Mengbai Xiao and
                  Yao Liu and
                  Lei Guo and
                  Songqing Chen},
  title        = {Reducing display power consumption for real-time video calls on mobile
                  devices},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {285--290},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273528},
  doi          = {10.1109/ISLPED.2015.7273528},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XiaoL0C15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XuP15,
  author       = {Teng Xu and
                  Miodrag Potkonjak},
  title        = {The digital bidirectional function as a hardware security primitive:
                  Architecture and applications},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {335--340},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273536},
  doi          = {10.1109/ISLPED.2015.7273536},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XuP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangH15,
  author       = {Hoeseok Yang and
                  Soonhoi Ha},
  title        = {Modeling and power optimization of cyber-physical systems with energy-workload
                  tradeoff},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {315--320},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273533},
  doi          = {10.1109/ISLPED.2015.7273533},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YangH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YuehWJM15,
  author       = {Wen Yueh and
                  Zhimin Wan and
                  Yogendra Joshi and
                  Saibal Mukhopadhyay},
  title        = {Experimental characterization of in-package microfluidic cooling on
                  a System-on-Chip},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {43--48},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273488},
  doi          = {10.1109/ISLPED.2015.7273488},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/YuehWJM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZervakisXTSP15,
  author       = {Georgios Zervakis and
                  Sotirios Xydis and
                  Kostas Tsoumanis and
                  Dimitrios Soudris and
                  Kiamal Z. Pekmestzi},
  title        = {Hybrid approximate multiplier architectures for improved power-accuracy
                  trade-offs},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {79--84},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273494},
  doi          = {10.1109/ISLPED.2015.7273494},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZervakisXTSP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangJWSS15,
  author       = {Beinuo Zhang and
                  Zhewei Jiang and
                  Qi Wang and
                  Jae{-}sun Seo and
                  Mingoo Seok},
  title        = {A neuromorphic neural spike clustering processor for deep-brain sensing
                  and stimulation systems},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {91--97},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273496},
  doi          = {10.1109/ISLPED.2015.7273496},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangJWSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangMMWSS15,
  author       = {Runjie Zhang and
                  Kaushik Mazumdar and
                  Brett H. Meyer and
                  Ke Wang and
                  Kevin Skadron and
                  Mircea R. Stan},
  title        = {Transient voltage noise in charge-recycled power delivery networks
                  for many-layer 3D-IC},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {152--158},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273506},
  doi          = {10.1109/ISLPED.2015.7273506},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangMMWSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangZL15,
  author       = {Wei Zhang and
                  Hang Zhang and
                  John C. Lach},
  title        = {Reducing dynamic energy of set-associative {L1} instruction cache
                  by early tag lookup},
  booktitle    = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  pages        = {49--54},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISLPED.2015.7273489},
  doi          = {10.1109/ISLPED.2015.7273489},
  timestamp    = {Tue, 17 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangZL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2015,
  title        = {{IEEE/ACM} International Symposium on Low Power Electronics and Design,
                  {ISLPED} 2015, Rome, Italy, July 22-24, 2015},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7257319/proceeding},
  isbn         = {978-1-4673-8009-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2015.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics