Search dblp for Publications

export results for "toc:db/conf/iscas/iscas2016.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iscas/0002SK16,
  author       = {Osamu Watanabe and
                  Ryo Suzuki and
                  Hitoshi Kiya},
  title        = {A structure of {JPEG} {XT} encoder considering effect of quantization
                  error},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {810--813},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527364},
  doi          = {10.1109/ISCAS.2016.7527364},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/0002SK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/0016PC16,
  author       = {Yan Liu and
                  Jo{\~{a}}o L. Pereira and
                  Timothy G. Constandinou},
  title        = {Clockless continuous-time neural spike sorting: Method, implementation
                  and evaluation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {538--541},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527296},
  doi          = {10.1109/ISCAS.2016.7527296},
  timestamp    = {Mon, 21 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/0016PC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Abdel-GalilHI16,
  author       = {Manar Abdel{-}Galil and
                  Hazem Hegazy and
                  Yehea Ismail},
  title        = {RC-In-RC-Out model order reduction via node merging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {269--272},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527222},
  doi          = {10.1109/ISCAS.2016.7527222},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Abdel-GalilHI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdullahOIM16,
  author       = {Ayub Chin Abdullah and
                  Chia Yee Ooi and
                  Nordinah Bt Ismail and
                  Nurita Binti Mohammad},
  title        = {Power-aware through-silicon-via minimization by partitioning finite
                  state machine with datapath},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1942--1945},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538954},
  doi          = {10.1109/ISCAS.2016.7538954},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdullahOIM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdulslamAEI16,
  author       = {Abdullah Abdulslam and
                  S. H. Amer and
                  Ahmed S. Emara and
                  Yehea Ismail},
  title        = {Evaluation of multi-level buck converters for low-power applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {794--797},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527360},
  doi          = {10.1109/ISCAS.2016.7527360},
  timestamp    = {Wed, 15 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdulslamAEI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbdulslamEI16,
  author       = {Abdullah Abdulslam and
                  Farid El{-}Sehrawy and
                  Yehea I. Ismail},
  title        = {Five-level hybrid {DC-DC} converter with enhanced light-load efficiency},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527209},
  doi          = {10.1109/ISCAS.2016.7527209},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbdulslamEI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbkenarA16,
  author       = {Masoumeh Rezaei Abkenar and
                  M. Omair Ahmad},
  title        = {Superpixel-based salient region detection using the wavelet transform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2719--2722},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539154},
  doi          = {10.1109/ISCAS.2016.7539154},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbkenarA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AbunahlaNHMJ16,
  author       = {Heba Abunahla and
                  Nadeen El Nachar and
                  Dirar Homouz and
                  Baker Mohammad and
                  Maguy Abi Jaoude},
  title        = {Physics model of memristor devices with varying active materials},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1590--1593},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538868},
  doi          = {10.1109/ISCAS.2016.7538868},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AbunahlaNHMJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AdnanKY16,
  author       = {Nurul Ain Binti Adnan and
                  Kouhei Kushida and
                  Shigeru Yamashita},
  title        = {A pre-optimization technique to generate initial reversible circuits
                  with low quantum cost},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2298--2301},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539043},
  doi          = {10.1109/ISCAS.2016.7539043},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AdnanKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AgustinL16,
  author       = {Javier Agustin and
                  Marisa Luisa L{\'{o}}pez{-}Vallejo},
  title        = {A temperature-independent {PUF} with a configurable duty cycle of
                  {CMOS} ring oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2471--2474},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539093},
  doi          = {10.1109/ISCAS.2016.7539093},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AgustinL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhangarAL16,
  author       = {Atif Iqbal Ahangar and
                  Rajat Agarwal and
                  Kartik Lakhotia},
  title        = {Real time low complexity {VLSI} decoder for prefix coded images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1694--1697},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538893},
  doi          = {10.1109/ISCAS.2016.7538893},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhangarAL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AhmadiSNOPM16,
  author       = {Ali Ahmadi and
                  Haralampos{-}G. D. Stratigopoulos and
                  Amit Nahar and
                  Bob Orr and
                  Michael Pas and
                  Yiorgos Makris},
  title        = {Harnessing fabrication process signature for predicting yield across
                  designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {898--901},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527386},
  doi          = {10.1109/ISCAS.2016.7527386},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AhmadiSNOPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Al-EbraheemyCAA16,
  author       = {Omer M. S. Al{-}Ebraheemy and
                  Anas Chaaban and
                  Tareq Y. Al{-}Naffouri and
                  Mohamed{-}Slim Alouini},
  title        = {Capacity bounds for the 2-user Gaussian {IM-DD} optical multiple-access
                  channel},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2823--2826},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539180},
  doi          = {10.1109/ISCAS.2016.7539180},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Al-EbraheemyCAA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlMarashliAO16,
  author       = {Ahmad AlMarashli and
                  Jens Anders and
                  Maurits Ortmanns},
  title        = {A hybrid comparator for high resolution {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1050--1053},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527424},
  doi          = {10.1109/ISCAS.2016.7527424},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlMarashliAO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlamgirBCVJ16,
  author       = {Zahiruddin Alamgir and
                  Karsten Beckmann and
                  Nathaniel C. Cady and
                  Alvaro Velasquez and
                  Sumit Kumar Jha},
  title        = {Flow-based computing on nanoscale crossbars: Design and implementation
                  of full adders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1870--1873},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538936},
  doi          = {10.1109/ISCAS.2016.7538936},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlamgirBCVJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlawiehW016,
  author       = {Mohamed Baker Alawieh and
                  Fa Wang and
                  Xin Li},
  title        = {Identifying systematic spatial failure patterns through wafer clustering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {910--913},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527389},
  doi          = {10.1109/ISCAS.2016.7527389},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlawiehW016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlazzawiC16,
  author       = {Yarub Alazzawi and
                  Shantanu Chakrabartty},
  title        = {Design of {CMOS} telemetry circuits for in-vivo wireless sonomicrometry},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2022--2025},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538974},
  doi          = {10.1109/ISCAS.2016.7538974},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlazzawiC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlhawariKMSI16,
  author       = {Mohammad Alhawari and
                  Dima Kilani and
                  Baker Mohammad and
                  Hani H. Saleh and
                  Mohammed Ismail},
  title        = {An efficient thermal energy harvesting and power management for {\(\mu\)}Watt
                  wearable BioChips},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2258--2261},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539033},
  doi          = {10.1109/ISCAS.2016.7539033},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlhawariKMSI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AliSSZ16,
  author       = {Mohamed Ali and
                  Mohamad Sawan and
                  Heba A. Shawkey and
                  Abdelhalim Zekry},
  title        = {{FM-UWB} transmitter for wireless body area networks: Implementation
                  and simulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2395--2398},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539074},
  doi          = {10.1109/ISCAS.2016.7539074},
  timestamp    = {Thu, 28 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AliSSZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlrudainyMDY16,
  author       = {Haider Alrudainy and
                  Andrey Mokhov and
                  Nizar S. Dahir and
                  Alex Yakovlev},
  title        = {MEMS-based power delivery control for bursty applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {790--793},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527359},
  doi          = {10.1109/ISCAS.2016.7527359},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AlrudainyMDY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AltafZRY16,
  author       = {Muhammad Awais Bin Altaf and
                  Chen Zhang and
                  Ljubomir Radakovic and
                  Jerald Yoo},
  title        = {Design of energy-efficient on-chip {EEG} classification and recording
                  processors for wearable environments},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1126--1129},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527443},
  doi          = {10.1109/ISCAS.2016.7527443},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AltafZRY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AluthwalaWALP16,
  author       = {Pasindu Aluthwala and
                  Neil Weste and
                  Andrew Adams and
                  Torsten Lehmann and
                  Sri Parameswaran},
  title        = {The effect of amplitude resolution and mismatch on a digital-to-analog
                  converter used for digital harmonic-cancelling sine-wave synthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2018--2021},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538973},
  doi          = {10.1109/ISCAS.2016.7538973},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AluthwalaWALP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AlvesSLCUPG16,
  author       = {Denise C. Alves and
                  Gabriel S. da Silva and
                  Eduardo R. de Lima and
                  Cesar G. Chaves and
                  Daniel Urdaneta and
                  Tiago D. Perez and
                  Maique C. Garcia},
  title        = {Architecture design and implementation of key components of an {OFDM}
                  transceiver for {IEEE} 802.15.4g},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {550--553},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527299},
  doi          = {10.1109/ISCAS.2016.7527299},
  timestamp    = {Mon, 19 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AlvesSLCUPG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmayrehLM16,
  author       = {Mohammad Amayreh and
                  Joachim Leicht and
                  Yiannos Manoli},
  title        = {A 200ns settling time fully integrated low power {LDO} regulator with
                  comparators as transient enhancement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {494--497},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527285},
  doi          = {10.1109/ISCAS.2016.7527285},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AmayrehLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmerIR16,
  author       = {Aya G. Amer and
                  Sameh A. Ibrahim and
                  Hani Fikry Ragai},
  title        = {A novel current steering charge pump with low current mismatch and
                  variation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1666--1669},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538887},
  doi          = {10.1109/ISCAS.2016.7538887},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AmerIR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AmirsoleimaniAT16,
  author       = {Amirali Amirsoleimani and
                  Majid Ahmadi and
                  Mehri Teimoory and
                  Arash Ahmadi},
  title        = {Memristor-based 4: 2 compressor cells design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1242--1245},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527472},
  doi          = {10.1109/ISCAS.2016.7527472},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AmirsoleimaniAT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnZCM16,
  author       = {Fengwei An and
                  Xiangyu Zhang and
                  Lei Chen and
                  Hans J{\"{u}}rgen Mattausch},
  title        = {Dynamically reconfigurable system for LVQ-based on-chip learning and
                  recognition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1338--1341},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527496},
  doi          = {10.1109/ISCAS.2016.7527496},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnZCM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnabtawiFH16,
  author       = {Nijad Anabtawi and
                  Rony Ferzli and
                  Haidar M. Harmanani},
  title        = {An all-digital fast tracking switching converter with a programmable
                  order loop controller for envelope tracking {RF} power amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1690--1693},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538892},
  doi          = {10.1109/ISCAS.2016.7538892},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AnabtawiFH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnabtawiFH16a,
  author       = {Nijad Anabtawi and
                  Rony Ferzli and
                  Haidar M. Harmanani},
  title        = {A single switcher combined series parallel hybrid envelope tracking
                  amplifier for wideband {RF} power amplifier applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2366--2369},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539060},
  doi          = {10.1109/ISCAS.2016.7539060},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AnabtawiFH16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnabtawiFH16b,
  author       = {Nijad Anabtawi and
                  Rony Ferzli and
                  Haidar M. Harmanani},
  title        = {An enhanced light-load efficiency step down regulator with fine step
                  frequency scaling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2695--2698},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539149},
  doi          = {10.1109/ISCAS.2016.7539149},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AnabtawiFH16b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AndreouDFGMOCMA16,
  author       = {Andreas G. Andreou and
                  Andrew A. Dykman and
                  Kate D. Fischl and
                  Guillaume Garreau and
                  Daniel R. Mendat and
                  Garrick Orchard and
                  Andrew S. Cassidy and
                  Paul Merolla and
                  John V. Arthur and
                  Rodrigo Alvarez{-}Icaza and
                  Bryan L. Jackson and
                  Dharmendra S. Modha},
  title        = {Real-time sensory information processing using the TrueNorth Neurosynaptic
                  System},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2911},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539214},
  doi          = {10.1109/ISCAS.2016.7539214},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AndreouDFGMOCMA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AnneseMCV16,
  author       = {Valerio F. Annese and
                  Christopher Martin and
                  David R. S. Cumming and
                  Daniela De Venuto},
  title        = {Wireless capsule technology: Remotely powered improved high-sensitive
                  barometric endoradiosonde},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1370--1373},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527504},
  doi          = {10.1109/ISCAS.2016.7527504},
  timestamp    = {Thu, 16 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AnneseMCV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AonoLFC16,
  author       = {Kenji Aono and
                  Nizar Lajnef and
                  Fred Faridazar and
                  Shantanu Chakrabartty},
  title        = {Infrastructural health monitoring using self-powered Internet-of-Things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2058--2061},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538983},
  doi          = {10.1109/ISCAS.2016.7538983},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AonoLFC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ApselMYYTEMB16,
  author       = {Alyssa B. Apsel and
                  Alyosha C. Molnar and
                  Dong Yang and
                  Hazal Y{\"{u}}ksel and
                  Thomas Tapen and
                  Emory Enroth and
                  Mashrur Mohiuddin and
                  Zachariah Boynton},
  title        = {Challenges and approaches to software defined duplexing radio},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2779--2782},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539169},
  doi          = {10.1109/ISCAS.2016.7539169},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ApselMYYTEMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Aroudi16,
  author       = {Abdelali El Aroudi},
  title        = {Prediction of subharmonic oscillation in switching regulators with
                  integrative feedback loops},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {441--444},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527265},
  doi          = {10.1109/ISCAS.2016.7527265},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Aroudi16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AscoliSTDSS16,
  author       = {Alon Ascoli and
                  Vanessa Senger and
                  Ronald Tetzlaff and
                  Nan Du and
                  Oliver G. Schmidt and
                  Heidemarie Schmidt},
  title        = {BiFeO3 memristor-based encryption of medical data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1602--1605},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538871},
  doi          = {10.1109/ISCAS.2016.7538871},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AscoliSTDSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AscoliTC16,
  author       = {Alon Ascoli and
                  Ronald Tetzlaff and
                  Leon O. Chua},
  title        = {The first ever real bistable memristor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2896},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539199},
  doi          = {10.1109/ISCAS.2016.7539199},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AscoliTC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AshenafiEC16,
  author       = {Emeshaw Ashenafi and
                  Azzedin D. Es{-}Sakhi and
                  Masud H. Chowdhury},
  title        = {Detection of biochemical molecules using {CM-SOFFET} based biosensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2831--2834},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539182},
  doi          = {10.1109/ISCAS.2016.7539182},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AshenafiEC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AtefAA16,
  author       = {Mohamed Atef and
                  Ahmed Atef and
                  Mohamed Abbas},
  title        = {Low-power transimpedance amplifier for near infrared spectroscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2423--2426},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539081},
  doi          = {10.1109/ISCAS.2016.7539081},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AtefAA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AthalyeJKDD16,
  author       = {Akshay Athalye and
                  Jinghui Jian and
                  Yasha Karimi and
                  Samir R. Das and
                  Petar M. Djuric},
  title        = {Analog front end design for tags in backscatter-based tag-to-tag communication
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2054--2057},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538982},
  doi          = {10.1109/ISCAS.2016.7538982},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AthalyeJKDD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AtighechiECJ16,
  author       = {Hamid Atighechi and
                  Seyyedmilad Ebrahimi and
                  Sina Chiniforoosh and
                  Juri Jatskevich},
  title        = {Parametric average-value modeling of diode rectifier circuits in nodal
                  analysis EMTP-type solution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2150--2153},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539006},
  doi          = {10.1109/ISCAS.2016.7539006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AtighechiECJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AvakhKisomiMBML16,
  author       = {Alireza Avakh Kisomi and
                  Amine Miled and
                  Mounir Boukadoum and
                  Martin Morissette and
                  Francois Lellouche and
                  Benoit Gosselin},
  title        = {A novel wireless ring-shaped multi-site pulse oximeter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2451--2454},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539088},
  doi          = {10.1109/ISCAS.2016.7539088},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/AvakhKisomiMBML16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/AzzouzE16,
  author       = {Maher A. Azzouz and
                  Ehab F. El{-}Saadany},
  title        = {Multivariable {DG} impedance modeling for the microgrid stability
                  assessment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1642--1645},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538881},
  doi          = {10.1109/ISCAS.2016.7538881},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/AzzouzE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BadamiPV16,
  author       = {Komail M. H. Badami and
                  V. Rajesh Pamula and
                  Marian Verhelst},
  title        = {A switched-capacitor degenerated, scalable gm-C filter-bank for acoustic
                  front-ends},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {818--821},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527366},
  doi          = {10.1109/ISCAS.2016.7527366},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BadamiPV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaeJJ16,
  author       = {Woo{-}Rham Bae and
                  Gyu{-}Seob Jeong and
                  Deog{-}Kyoon Jeong},
  title        = {A fully integrated 1-pJ/bit 10-Gb/s/ch forwarded-clock transmitter
                  with a resistive feedback inverter based driver in 65-nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2906},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539209},
  doi          = {10.1109/ISCAS.2016.7539209},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaeJJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BaghakiAS16,
  author       = {Ali Baghaki and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {A new two-stage method for single-microphone speech dereverberation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {778--781},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527356},
  doi          = {10.1109/ISCAS.2016.7527356},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BaghakiAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BagheriyeST16,
  author       = {Leila Bagheriye and
                  Roghayeh Saeidi and
                  Siroos Toofan},
  title        = {Low power and roboust FinFET {SRAM} cell using independent gate control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527167},
  doi          = {10.1109/ISCAS.2016.7527167},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BagheriyeST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BahubalindruniT16,
  author       = {Pydi Ganga Bahubalindruni and
                  V{\'{\i}}tor Grade Tavares and
                  Elvira Fortunato and
                  Rodrigo Martins and
                  Pedro Barquinha},
  title        = {Novel linear analog-adder using a-IGZO TFTs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2098--2101},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538993},
  doi          = {10.1109/ISCAS.2016.7538993},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BahubalindruniT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Balasa016,
  author       = {Florin Balasa and
                  Hongwei Zhu},
  title        = {System-level exploration of hierarchical storage organizations for
                  embedded data-intensive applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {638--641},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527321},
  doi          = {10.1109/ISCAS.2016.7527321},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Balasa016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BarsakciogluC16,
  author       = {Deren Y. Barsakcioglu and
                  Timothy G. Constandinou},
  title        = {A 32-channel MCU-based feature extraction and classification for scalable
                  on-node spike sorting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1310--1313},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527489},
  doi          = {10.1109/ISCAS.2016.7527489},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BarsakciogluC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BasakP16,
  author       = {Debajit Basak and
                  Kong{-}Pang Pun},
  title        = {Gm-cell nonlinearity compensation technique using single-bit quantiser
                  and {FIR} {DAC} in Gm-C based delta-sigma modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1510--1513},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527545},
  doi          = {10.1109/ISCAS.2016.7527545},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BasakP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BasfordSCMH16,
  author       = {David A. Basford and
                  Jared M. Smith and
                  R. Joseph Connor and
                  Bruce J. MacLennan and
                  Jeremy Holleman},
  title        = {The impact of analog computational error on an analog boolean satisfiability
                  solver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2503--2506},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539101},
  doi          = {10.1109/ISCAS.2016.7539101},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BasfordSCMH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BasuMCSC16,
  author       = {Saikat Basu and
                  Sudhindu Bikash Mandal and
                  Amlan Chakrabarti and
                  Susmita Sur{-}Kolay},
  title        = {An efficient synthesis method for ternary reversible logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2306--2309},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539045},
  doi          = {10.1109/ISCAS.2016.7539045},
  timestamp    = {Mon, 25 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BasuMCSC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BayramEWSMC16,
  author       = {Ismail Bayram and
                  Enes Eken and
                  Xue Wang and
                  Xiao Sun and
                  T. P. Ma and
                  Yiran Chen},
  title        = {Adaptive refreshing and read voltage control scheme for FeDRAM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1154--1157},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527450},
  doi          = {10.1109/ISCAS.2016.7527450},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BayramEWSMC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BeaulieuAMN16,
  author       = {Philippe{-}Olivier Beaulieu and
                  Abdul Hafiz Alameh and
                  Micha{\"{e}}l M{\'{e}}nard and
                  Frederic Nabki},
  title        = {A 360 {V} high voltage reconfigurable charge pump in 0.8 {\(\mu\)}m
                  {CMOS} for optical {MEMS} applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1630--1633},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538878},
  doi          = {10.1109/ISCAS.2016.7538878},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BeaulieuAMN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BeckG16,
  author       = {Christoph Beck and
                  Julius Georgiou},
  title        = {A wearable, multimodal, vitals acquisition unit for intelligent field
                  triage},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1530--1533},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538853},
  doi          = {10.1109/ISCAS.2016.7538853},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BeckG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerdanSKPP16,
  author       = {Radu Berdan and
                  Alexantrou Serb and
                  Ali Khiat and
                  Christos Papavassiliou and
                  Themistoklis Prodromakis},
  title        = {Live demonstration: Characterization of {RRAM} crossbar arrays at
                  a click of a button},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1443},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527526},
  doi          = {10.1109/ISCAS.2016.7527526},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerdanSKPP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BerkovichBA16,
  author       = {Andrew Berkovich and
                  Geoffrey L. Barrows and
                  Pamela Abshire},
  title        = {Benchmarking photon-limited performance of optic flow processing algorithms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1766--1769},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538910},
  doi          = {10.1109/ISCAS.2016.7538910},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BerkovichBA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BertoniSPMRASS16,
  author       = {Nicola Bertoni and
                  Bathiya Senevirathna and
                  Fabio Pareschi and
                  Mauro Mangia and
                  Riccardo Rovatti and
                  Pamela Abshire and
                  Jonathan Z. Simon and
                  Gianluca Setti},
  title        = {Low-power {EEG} monitor based on compressed sensing with compressed
                  domain noise rejection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {522--525},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527292},
  doi          = {10.1109/ISCAS.2016.7527292},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BertoniSPMRASS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhaduriYB16,
  author       = {Aritra Bhaduri and
                  Enyi Yao and
                  Arindam Basu},
  title        = {Pulse-based feature extraction for hardware-efficient neural recording
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1842--1845},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538929},
  doi          = {10.1109/ISCAS.2016.7538929},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BhaduriYB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BhatK16,
  author       = {Abhishek Bhat and
                  Nagendra Krishnapura},
  title        = {A tail-resonance calibration technique for wide tuning range {LC}
                  VCOs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2070--2073},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538986},
  doi          = {10.1109/ISCAS.2016.7538986},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BhatK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BidajBHDR16,
  author       = {Klodjan Bidaj and
                  Jean{-}Baptiste B{\'{e}}gueret and
                  Nabil Houdali and
                  Jerome Deroo and
                  S{\'{e}}bastien Rieubon},
  title        = {Time-domain {PLL} modeling and {RJ/DJ} jitter decomposition},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {185--188},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527201},
  doi          = {10.1109/ISCAS.2016.7527201},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BidajBHDR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BinasIP16,
  author       = {Jonathan Binas and
                  Giacomo Indiveri and
                  Michael Pfeiffer},
  title        = {Spiking analog {VLSI} neuron assemblies as constraint satisfaction
                  problem solvers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2094--2097},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538992},
  doi          = {10.1109/ISCAS.2016.7538992},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BinasIP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiolekBVBK16,
  author       = {Zdenek Biolek and
                  Dalibor Biolek and
                  Jiri Vavra and
                  Viera Biolkov{\'{a}} and
                  Zdenek Kolka},
  title        = {The simplest memristor in the world},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1854--1857},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538932},
  doi          = {10.1109/ISCAS.2016.7538932},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BiolekBVBK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BiolekKBB16,
  author       = {Dalibor Biolek and
                  Zdenek Kolka and
                  Viera Biolkov{\'{a}} and
                  Zdenek Biolek},
  title        = {Memristor models for {SPICE} simulation of extremely large memristive
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {389--392},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527252},
  doi          = {10.1109/ISCAS.2016.7527252},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BiolekKBB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BocanS16,
  author       = {Kara Bocan and
                  Ervin Sejdic},
  title        = {Transmission mechanisms with variable tissue properties in a paired
                  electrode system for transcutaneous power},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2739--2742},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539159},
  doi          = {10.1109/ISCAS.2016.7539159},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BocanS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BolteSKHH16,
  author       = {Benjamin Bolte and
                  Sahil Shah and
                  Sihwan Kim and
                  Philip Hwang and
                  Jennifer Hasler},
  title        = {Live demonstration: {FPAA} Demonstration Controlled through Android-Based
                  Device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1442},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527525},
  doi          = {10.1109/ISCAS.2016.7527525},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BolteSKHH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BozorgzadehM16,
  author       = {Bardia Bozorgzadeh and
                  Pedram Mohseni},
  title        = {Integrated systems for high-fidelity sensing and manipulation of brain
                  neurochemistry},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1830--1833},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538926},
  doi          = {10.1109/ISCAS.2016.7538926},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/BozorgzadehM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BrunettiC16,
  author       = {Alessandro Michel Brunetti and
                  Bhaskar Choubey},
  title        = {A low dark current wide dynamic range {CMOS} pixel},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2523--2526},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539106},
  doi          = {10.1109/ISCAS.2016.7539106},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BrunettiC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/BuckelTKMWWU16,
  author       = {Tobias Buckel and
                  Stefan Tertinek and
                  Ram Sunil Kanumalli and
                  Thomas Mayer and
                  Christian Wicpalek and
                  Robert Weigel and
                  Thomas Ussmueller},
  title        = {Digitally controlled oscillator gain estimation for RF-DPLLs in 4G
                  {LTE} polar transmitters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2130--2133},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539001},
  doi          = {10.1109/ISCAS.2016.7539001},
  timestamp    = {Fri, 11 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/BuckelTKMWWU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaiFS16,
  author       = {Mengye Cai and
                  Kyle Fricke and
                  Robert Sobot},
  title        = {Embedded {RF} switch for implantable telemetry systems designed in
                  130nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2735--2738},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539158},
  doi          = {10.1109/ISCAS.2016.7539158},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaiFS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Callegari16,
  author       = {Sergio Callegari},
  title        = {True random number generators as configware for mixed mode programmable
                  systems on chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1850--1853},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538931},
  doi          = {10.1109/ISCAS.2016.7538931},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Callegari16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CampiA16,
  author       = {Fabio Campi and
                  Josh Ancill},
  title        = {Introducing {IC} reliability elements in digital circuits and systems
                  design education},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527189},
  doi          = {10.1109/ISCAS.2016.7527189},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CampiA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CandraX16,
  author       = {Panglijen Candra and
                  Tian Xia},
  title        = {SiGe {HBT} X-band and Ka-band switchable dual-band low noise amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {722--725},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527342},
  doi          = {10.1109/ISCAS.2016.7527342},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CandraX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoL16,
  author       = {Jiuwen Cao and
                  Xiaoping Lai},
  title        = {{MVDR} beamformer analysis of acoustic vector sensor with single directional
                  interference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {774--777},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527355},
  doi          = {10.1109/ISCAS.2016.7527355},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoMTY16,
  author       = {Jinzhou Cao and
                  Xin Meng and
                  Gabor C. Temes and
                  Wenhuan Yu},
  title        = {Power-on digital calibration method for delta-Sigma ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2002--2005},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538969},
  doi          = {10.1109/ISCAS.2016.7538969},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoMTY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoSDLWZ16,
  author       = {Shan Cao and
                  Zoran Salcic and
                  Yingtao Ding and
                  Zhaolin Li and
                  Shaojun Wei and
                  Xianli Zhao},
  title        = {Temperature-aware task scheduling heuristics on Network-on-Chips},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2603--2606},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539126},
  doi          = {10.1109/ISCAS.2016.7539126},
  timestamp    = {Sat, 24 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoSDLWZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CaoYZD16,
  author       = {Yuan Cao and
                  Wenbin Ye and
                  Xiaojin Zhao and
                  Peigang Deng},
  title        = {An energy-efficient subthreshold level shifter with a wide input voltage
                  range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {726--729},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527343},
  doi          = {10.1109/ISCAS.2016.7527343},
  timestamp    = {Fri, 30 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CaoYZD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CastanedaGS16,
  author       = {Oscar Casta{\~{n}}eda and
                  Tom Goldstein and
                  Christoph Studer},
  title        = {{FPGA} design of approximate semidefinite relaxation for data detection
                  in large {MIMO} wireless systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2659--2662},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539140},
  doi          = {10.1109/ISCAS.2016.7539140},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CastanedaGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CavigliaPVB16,
  author       = {Stefano Caviglia and
                  Luigi Pinna and
                  Maurizio Valle and
                  Chiara Bartolozzi},
  title        = {An event-driven {POSFET} taxel for sustained and transient sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {349--352},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527242},
  doi          = {10.1109/ISCAS.2016.7527242},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CavigliaPVB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CelinG16,
  author       = {Alberto Celin and
                  Andrea Gerosa},
  title        = {A reduced hardware complexity data-weighted averaging algorithm with
                  no tonal behavior},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {702--705},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527337},
  doi          = {10.1109/ISCAS.2016.7527337},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CelinG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChakrabortyJXLC16,
  author       = {Somnath Chakraborty and
                  Saumil Joshi and
                  Qiangfei Xia and
                  Hai Li and
                  Yiran Chen and
                  Hao Jiang and
                  Qing Wu and
                  Mark Barnell and
                  J. Joshua Yang},
  title        = {Built-in selectors self-assembled into memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527200},
  doi          = {10.1109/ISCAS.2016.7527200},
  timestamp    = {Fri, 05 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChakrabortyJXLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangCCSKCSI16,
  author       = {Meng{-}Fan Chang and
                  Ching{-}Hao Chuang and
                  Yen{-}Ning Chiang and
                  Shyh{-}Shyuan Sheu and
                  Chia{-}Chen Kuo and
                  Hsiang{-}Yun Cheng and
                  John Sampson and
                  Mary Jane Irwin},
  title        = {Designs of emerging memory based non-volatile {TCAM} for Internet-of-Things
                  (IoT) and big-data processing: {A} 5T2R universal cell},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1142--1145},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527447},
  doi          = {10.1109/ISCAS.2016.7527447},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangCCSKCSI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangCSW16,
  author       = {Robert Chen{-}Hao Chang and
                  Wei{-}Chih Chen and
                  Chi{-}Hua Siao and
                  Hong{-}Wen Wu},
  title        = {Low-complexity {SIMO} buck-boost {DC-DC} converter for gigascale systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {614--617},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527315},
  doi          = {10.1109/ISCAS.2016.7527315},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangCSW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangKO16,
  author       = {Doohwang Chang and
                  Jennifer Kitchen and
                  Sule Ozev},
  title        = {Post-production adaptation of {RF} circuits for application-specific
                  performance metrics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2775--2778},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539168},
  doi          = {10.1109/ISCAS.2016.7539168},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangKO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangSCH16,
  author       = {Robert Chen{-}Hao Chang and
                  Chi{-}Hua Siao and
                  Wei{-}Chih Chen and
                  Wei{-}Min Hong},
  title        = {3D {IC} design of a fully integrated four-phase buck converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {618--621},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527316},
  doi          = {10.1109/ISCAS.2016.7527316},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangSCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChangW16,
  author       = {Chih{-}Min Chang and
                  Jieh{-}Tsorng Wu},
  title        = {A computationally-efficient {PWM} technique for digital class-D amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1946--1949},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538955},
  doi          = {10.1109/ISCAS.2016.7538955},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChangW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChaoCC16,
  author       = {Wen{-}Wei Chao and
                  Yen{-}Yu Chen and
                  Shao{-}Yi Chien},
  title        = {Perceptual {HEVC/H.265} system with local just-noticeable-difference
                  model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2679--2682},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539145},
  doi          = {10.1109/ISCAS.2016.7539145},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChaoCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChattopadhyayB16,
  author       = {Anupam Chattopadhyay and
                  Anubhab Baksi},
  title        = {Low-quantum cost circuit constructions for adder and symmetric Boolean
                  functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2294--2297},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539042},
  doi          = {10.1109/ISCAS.2016.7539042},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChattopadhyayB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CheXC16,
  author       = {Tiben Che and
                  Jingwei Xu and
                  Gwan S. Choi},
  title        = {Overlapped list successive cancellation approach for hardware efficient
                  polar code decoder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2463--2466},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539091},
  doi          = {10.1109/ISCAS.2016.7539091},
  timestamp    = {Thu, 29 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CheXC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenAD16,
  author       = {Yu Christine Chen and
                  Abdullah Al{-}Digs and
                  Sairaj V. Dhople},
  title        = {Mapping nodal power injections to branch flows in connected {LTI}
                  electrical networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2146--2149},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539005},
  doi          = {10.1109/ISCAS.2016.7539005},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenAD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCFYYHHZ16,
  author       = {Chengying Chen and
                  Liming Chen and
                  Jun Fan and
                  Zenghui Yu and
                  Jun Yang and
                  Xiaoyu Hu and
                  Yong Hei and
                  Feng Zhang},
  title        = {A 1V, 1.1mW mixed-signal hearing aid SoC in 0.13{\(\mu\)}m {CMOS}
                  process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527211},
  doi          = {10.1109/ISCAS.2016.7527211},
  timestamp    = {Tue, 03 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCFYYHHZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenCZQ16,
  author       = {Zhuwei Chen and
                  Yici Cai and
                  Qiang Zhou and
                  Gang Qu},
  title        = {An efficient framework for configurable {RO} {PUF}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {742--745},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527347},
  doi          = {10.1109/ISCAS.2016.7527347},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenCZQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenGZW16,
  author       = {Jun Chen and
                  Benqing Guo and
                  Boyang Zhang and
                  Guangjun Wen},
  title        = {A 4 dBm IP1dB 20.8 dBm {UP3} wideband complementary {SF} feedback
                  {LNTA} with derivative superposition method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2122--2125},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538999},
  doi          = {10.1109/ISCAS.2016.7538999},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenGZW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenH16,
  author       = {Run Chen and
                  Hossein Hashemi},
  title        = {Analysis and synthesis of passive coupled-switched-capacitor-resonator-based
                  {RF} filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2771--2774},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539167},
  doi          = {10.1109/ISCAS.2016.7539167},
  timestamp    = {Sat, 28 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenHH16,
  author       = {Li{-}De Chen and
                  Yu{-}Ling Hsiao and
                  Chao{-}Tsung Huang},
  title        = {{VLSI} architecture design of weighted mode filter for Full-HD depth
                  map upsampling at 30fps},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1578--1581},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538865},
  doi          = {10.1109/ISCAS.2016.7538865},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenHH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenHLI16,
  author       = {Manxin Chen and
                  Jiefeng Hu and
                  Kerui Li and
                  Adrian Ioinovici},
  title        = {A new switched-capacitor based hybrid converter with large step-up
                  {DC} gain and low voltage on its semiconductors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1190--1193},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527459},
  doi          = {10.1109/ISCAS.2016.7527459},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenHLI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenHYWS16,
  author       = {Chun{-}Wei Chen and
                  Fang{-}Kai Hsu and
                  Der{-}Wei Yang and
                  Jonas Wang and
                  Ming{-}Der Shieh},
  title        = {Fast model searching and combining for example learning-based super-resolution},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1994--1997},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538967},
  doi          = {10.1109/ISCAS.2016.7538967},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenHYWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenL16,
  author       = {Xiaoming Chen and
                  Xin Li},
  title        = {Virtual temperature measurement for smart buildings via Bayesian model
                  fusion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {950--953},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527399},
  doi          = {10.1109/ISCAS.2016.7527399},
  timestamp    = {Thu, 22 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLTLWGZ16,
  author       = {Bo Chen and
                  Liheng Lou and
                  Kai Tang and
                  Supeng Liu and
                  Yong Wang and
                  Jianjun Gao and
                  Yuanjin Zheng},
  title        = {Design considerations of Ku-band high gain wideband {CMOS} power amplifier
                  for {FMCW} radar application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1562--1565},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538861},
  doi          = {10.1109/ISCAS.2016.7538861},
  timestamp    = {Tue, 11 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLTLWGZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenLZ16,
  author       = {Wu{-}Hua Chen and
                  Shixian Luo and
                  Wei Xing Zheng},
  title        = {Impulsive stabilization of periodic solutions of recurrent neural
                  networks with discrete and distributed delays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2286--2289},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539040},
  doi          = {10.1109/ISCAS.2016.7539040},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenPBBF16,
  author       = {Jiaqi Chen and
                  Xiaofang Pan and
                  Farid Boussa{\"{\i}}d and
                  Amine Bermak and
                  Zhiyong Fan},
  title        = {A hierarchical ZnO nanostructure gas sensor for human breath-level
                  acetone detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1866--1869},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538935},
  doi          = {10.1109/ISCAS.2016.7538935},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenPBBF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenSHC16,
  author       = {Wen{-}Tze Chen and
                  Ya{-}Ting Shyu and
                  Chun{-}Po Huang and
                  Soon{-}Jyh Chang},
  title        = {A pipeline {ADC} with latched-based ring amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {85--88},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527176},
  doi          = {10.1109/ISCAS.2016.7527176},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenSHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenSMTS16,
  author       = {Long Chen and
                  Arindam Sanyal and
                  Ji Ma and
                  Xiyuan Tang and
                  Nan Sun},
  title        = {Comparator common-mode variation effects analysis and its application
                  in {SAR} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2014--2017},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538972},
  doi          = {10.1109/ISCAS.2016.7538972},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenSMTS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenSWWC16,
  author       = {Zipeng Chen and
                  Zheng Song and
                  Meng Wei and
                  Zhihua Wang and
                  Baoyong Chi},
  title        = {A reconfigurable {IF} receiver supporting intra-band non-contiguous
                  carrier aggregation in 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1554--1557},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538859},
  doi          = {10.1109/ISCAS.2016.7538859},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenSWWC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenT16,
  author       = {Yu Chen and
                  Yannis P. Tsividis},
  title        = {Design considerations for variable-rate digital signal processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2479--2482},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539095},
  doi          = {10.1109/ISCAS.2016.7539095},
  timestamp    = {Tue, 20 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenW16,
  author       = {Shuai Chen and
                  Lei Wang},
  title        = {Reverse engineering resistant {ROM} design using transformable via-programming
                  structure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2627--2630},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539132},
  doi          = {10.1109/ISCAS.2016.7539132},
  timestamp    = {Fri, 16 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenY16,
  author       = {Pai{-}Yu Chen and
                  Shimeng Yu},
  title        = {Partition {SRAM} and {RRAM} based synaptic arrays for neuro-inspired
                  computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2310--2313},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539046},
  doi          = {10.1109/ISCAS.2016.7539046},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenZHT16,
  author       = {Chia{-}Hung Chen and
                  Yi Zhang and
                  Tao He and
                  Gabor C. Temes},
  title        = {An incremental analog-to-digital converter with multi-step extended
                  counting for sensor interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527174},
  doi          = {10.1109/ISCAS.2016.7527174},
  timestamp    = {Wed, 10 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenZHT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChengZXG16,
  author       = {Qi Cheng and
                  Hong Zhang and
                  Lizhong Xue and
                  Jianping Guo},
  title        = {A 1.2-V 43.2-{\(\mu\)}W three-stage amplifier with cascode miller-compensation
                  and Q-reduction for driving large capacitive load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {458--461},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527276},
  doi          = {10.1109/ISCAS.2016.7527276},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChengZXG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChhabraSGDTGBS16,
  author       = {Amit Chhabra and
                  Mudit Srivastava and
                  Prakhar Raj Gupta and
                  Kedar Janardan Dhori and
                  Philippe Triolet and
                  Thierry Di Gilio and
                  Nitin Bansal and
                  B. Sujatha},
  title        = {Temperature-based adaptive memory sub-system in 28nm {UTBB} {FDSOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1018--1021},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527416},
  doi          = {10.1109/ISCAS.2016.7527416},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChhabraSGDTGBS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChienCCSLWKTW16,
  author       = {Tsai{-}Kan Chien and
                  Lih{-}Yih Chiou and
                  Yao{-}Chun Chuang and
                  Shyh{-}Shyuan Sheu and
                  Heng{-}Yuan Li and
                  Pei{-}Hua Wang and
                  Tzu{-}Kun Ku and
                  Ming{-}Jinn Tsai and
                  Chih{-}I Wu},
  title        = {A low store energy and robust ReRAM-based flip-flop for normally off
                  microprocessors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2803--2806},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539175},
  doi          = {10.1109/ISCAS.2016.7539175},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChienCCSLWKTW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChiuHZJC16,
  author       = {Yi{-}Wei Chiu and
                  Yu{-}Hao Hu and
                  Jun{-}Kai Zhao and
                  Shyh{-}Jye Jou and
                  Ching{-}Te Chuang},
  title        = {A subthreshold {SRAM} with embedded data-aware write-assist and adaptive
                  data-aware keeper},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1014--1017},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527415},
  doi          = {10.1109/ISCAS.2016.7527415},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChiuHZJC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiNJKK16,
  author       = {Sara Choi and
                  Taehui Na and
                  Seong{-}Ook Jung and
                  Jung Pill Kim and
                  Seung{-}Hyuk Kang},
  title        = {Area-optimal sensing circuit designs in deep submicrometer {STT-RAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1246--1249},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527473},
  doi          = {10.1109/ISCAS.2016.7527473},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiNJKK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChopraC16,
  author       = {Anubhuti Chopra and
                  Shouri Chatterjee},
  title        = {A 24 mW, 80 dB SNR, 50 MHz multi-bit continuous time {\(\Sigma\)}{\(\Delta\)}
                  {ADC} in 28 nm {FD-SOI}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527171},
  doi          = {10.1109/ISCAS.2016.7527171},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChopraC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChotardLP16,
  author       = {Ludovic Chotard and
                  Xavier Lagorce and
                  Christoph Posch},
  title        = {Ultra-low bandwidth video streaming using a neuromorphic, scene-driven
                  image sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {455},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527273},
  doi          = {10.1109/ISCAS.2016.7527273},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChotardLP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChouY16,
  author       = {Yang{-}Ting Chou and
                  Jar{-}Ferr Yang},
  title        = {Partially-occluded face recognition using weighted module linear regression
                  classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {578--581},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527306},
  doi          = {10.1109/ISCAS.2016.7527306},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChouY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChungY16,
  author       = {Yung{-}Hui Chung and
                  Chia{-}Wei Yen},
  title        = {A PVT-tracking metastability detector for asynchronous ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1462--1465},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527533},
  doi          = {10.1109/ISCAS.2016.7527533},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChungY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Cid-FuentesNBCC16,
  author       = {Raul Gomez Cid{-}Fuentes and
                  M. Yousof Naderi and
                  Stefano Basagni and
                  Kaushik R. Chowdhury and
                  Albert Cabellos{-}Aparicio and
                  Eduard Alarc{\'{o}}n},
  title        = {An all-digital receiver for low power, low bit-rate applications using
                  simultaneous wireless information and power transmission},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1414--1417},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527515},
  doi          = {10.1109/ISCAS.2016.7527515},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Cid-FuentesNBCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CiprutF16,
  author       = {Albert Ciprut and
                  Eby G. Friedman},
  title        = {Design models of resistive crossbar arrays with selector devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1250--1253},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527474},
  doi          = {10.1109/ISCAS.2016.7527474},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CiprutF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CochetCNSCAR16,
  author       = {Martin Cochet and
                  Sylvain Clerc and
                  Mehdi Naceur and
                  Pierre Schamberger and
                  Damien Croain and
                  Jean{-}Luc Autran and
                  Philippe Roche},
  title        = {A 28nm {FD-SOI} standard cell 0.6-1.2V open-loop frequency multiplier
                  for low power SoC clocking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1206--1209},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527463},
  doi          = {10.1109/ISCAS.2016.7527463},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CochetCNSCAR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CorreaAC16,
  author       = {Guilherme Corr{\^{e}}a and
                  Luciano Volcan Agostini and
                  Lu{\'{\i}}s Alberto da Silva Cruz},
  title        = {Fast {H.264/AVC} to {HEVC} transcoder based on data mining and decision
                  trees},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2539--2542},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539110},
  doi          = {10.1109/ISCAS.2016.7539110},
  timestamp    = {Wed, 23 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CorreaAC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CostaGPCF16,
  author       = {Tiago L. Costa and
                  Jos{\'{e}} A. Germano and
                  Mois{\'{e}}s Sim{\~{o}}es Piedade and
                  Filipe Arroyo Cardoso and
                  Paulo P. Freitas},
  title        = {Design and optimization of a {CMOS} front-end for magnetoresistive
                  sensor based biomolecular recognition detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2859--2862},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539189},
  doi          = {10.1109/ISCAS.2016.7539189},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CostaGPCF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CostaKB16,
  author       = {Arthur Liraneto Torres Costa and
                  Hamilton Klimach and
                  Sergio Bampi},
  title        = {Ultra-low voltage wideband inductorless balun {LNA} with high gain
                  and high {IP2} for sub-GHz applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527227},
  doi          = {10.1109/ISCAS.2016.7527227},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CostaKB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CoviBSPFS16,
  author       = {Erika Covi and
                  Stefano Brivio and
                  Alexantrou Serb and
                  Themistoklis Prodromakis and
                  M. Fanciulli and
                  Sabina Spiga},
  title        = {HfO2-based memristors for neuromorphic applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {393--396},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527253},
  doi          = {10.1109/ISCAS.2016.7527253},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CoviBSPFS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CruzA16,
  author       = {Jorge V. de la Cruz and
                  Andr{\'{e}} Luiz Aita},
  title        = {A 1-V {PTAT} current reference circuit with 0.05{\%}/V current sensitivity
                  to {VDD}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {502--505},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527287},
  doi          = {10.1109/ISCAS.2016.7527287},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CruzA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiQ16,
  author       = {Jianwei Cui and
                  Qinru Qiu},
  title        = {Towards memristor based accelerator for sparse matrix vector multiplication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527185},
  doi          = {10.1109/ISCAS.2016.7527185},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiWLO16,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  M{\'{a}}ire O'Neill},
  title        = {Live demonstration: An automatic evaluation platform for physical
                  unclonable function test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2377},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539068},
  doi          = {10.1109/ISCAS.2016.7539068},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiWLO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiWLYOL16,
  author       = {Yijun Cui and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Yifei Yu and
                  M{\'{a}}ire O'Neill and
                  Fabrizio Lombardi},
  title        = {Low-cost configurable ring oscillator {PUF} with improved uniqueness},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {558--561},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527301},
  doi          = {10.1109/ISCAS.2016.7527301},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiWLYOL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CuiYMMGMAG16,
  author       = {Nan Cui and
                  Timothy York and
                  Radoslav Marinov and
                  Suman Mondal and
                  Shengkui Gao and
                  Julie Margenthaler and
                  Samuel Achilefu and
                  Viktor Gruev},
  title        = {A 110 {\texttimes} 64 150 mW 28 frames/s integrated visible/near-infrared
                  {CMOS} image sensor with dual exposure times for image guided surgery},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527180},
  doi          = {10.1109/ISCAS.2016.7527180},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CuiYMMGMAG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/CunninghamHK16,
  author       = {Michael L. Cunningham and
                  Dong S. Ha and
                  Kwang{-}Jin Koh},
  title        = {A high temperature wideband low noise amplifier for downhole applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {938--941},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527396},
  doi          = {10.1109/ISCAS.2016.7527396},
  timestamp    = {Wed, 09 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/CunninghamHK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DAngeloS16,
  author       = {Robert D'Angelo and
                  Sameer R. Sonkusale},
  title        = {Precise time mode multiplier using digital primitives and passive
                  components},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1802--1805},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538919},
  doi          = {10.1109/ISCAS.2016.7538919},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DAngeloS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DabholkarSB16,
  author       = {Prashant Dabholkar and
                  Renuka Sovani and
                  Paul Beckett},
  title        = {A low latency asynchronous Jenkins hash engine for {IP} lookup},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2663--2666},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539141},
  doi          = {10.1109/ISCAS.2016.7539141},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DabholkarSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DaiGKK16,
  author       = {Zhongjie Dai and
                  Sanket Gupta and
                  Sarthak Kalani and
                  Peter R. Kinget},
  title        = {3.7{\(\mu\)}W 0.8V VCO-integrator-based high-efficiency capacitor-free
                  low-dropout voltage regulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {498--501},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527286},
  doi          = {10.1109/ISCAS.2016.7527286},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DaiGKK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DamsehA16,
  author       = {Rafat R. Damseh and
                  M. Omair Ahmad},
  title        = {A low-complexity {MMSE} Bayesian estimator for suppression of speckle
                  in {SAR} images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1002--1005},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527412},
  doi          = {10.1109/ISCAS.2016.7527412},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DamsehA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Danesh16,
  author       = {Mina Danesh},
  title        = {Flexible printed organic photovoltaic antennas for the Internet of
                  Things},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {858--861},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527376},
  doi          = {10.1109/ISCAS.2016.7527376},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Danesh16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasC16,
  author       = {Bijit Kumar Das and
                  Mrityunjoy Chakraborty},
  title        = {A new diffusion sparse {RLS} algorithm with improved convergence characteristics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2651--2654},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539138},
  doi          = {10.1109/ISCAS.2016.7539138},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DasXLZ16,
  author       = {Sanjeev Das and
                  Hao Xiao and
                  Yang Liu and
                  Wei Zhang},
  title        = {Online malware defense using attack behavior model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1322--1325},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527492},
  doi          = {10.1109/ISCAS.2016.7527492},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DasXLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DattaPM16,
  author       = {Suman Datta and
                  Rahul Pandey and
                  Saurabh Mookerjea},
  title        = {Opportunties and challenges of tunnel FETs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {397--400},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527254},
  doi          = {10.1109/ISCAS.2016.7527254},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DattaPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DeepuZWL16,
  author       = {Chacko John Deepu and
                  X. Y. Zhang and
                  D. L. T. Wong and
                  Yong Lian},
  title        = {An ECG-on-chip with joint {QRS} detection {\&} data compression
                  for wearable sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2908},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539211},
  doi          = {10.1109/ISCAS.2016.7539211},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DeepuZWL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DegnanH16,
  author       = {Brian P. Degnan and
                  Jennifer Hasler},
  title        = {On the temperature dependence of subthreshold currents in {MOS} electron
                  inversion layers, revisited},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2074--2077},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538987},
  doi          = {10.1109/ISCAS.2016.7538987},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DegnanH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Desset16,
  author       = {Claude Desset},
  title        = {Signal, noise and interference power analysis in MRT-based Massive
                  {MIMO} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {546--549},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527298},
  doi          = {10.1109/ISCAS.2016.7527298},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Desset16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Diaz-ArangoHSV16,
  author       = {G. Diaz{-}Arango and
                  Luis Hern{\'{a}}ndez{-}Mart{\'{\i}}nez and
                  Arturo Sarmiento{-}Reyes and
                  H{\'{e}}ctor V{\'{a}}zquez{-}Leal},
  title        = {Fast and robust homotopy path planning method for mobile robotics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2579--2582},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539120},
  doi          = {10.1109/ISCAS.2016.7539120},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Diaz-ArangoHSV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DibboBJ16,
  author       = {Sayanton Vhaduri Dibbo and
                  Hafiz Md. Hasan Babu and
                  Lafifa Jamal},
  title        = {An efficient design technique of a quantum divider circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2102--2105},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538994},
  doi          = {10.1109/ISCAS.2016.7538994},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DibboBJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingHWLH16,
  author       = {Caiwen Ding and
                  Soroush Heidari and
                  Yanzhi Wang and
                  Yongpan Liu and
                  Jingtong Hu},
  title        = {Multi-source in-door energy harvesting for non-volatile processors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527198},
  doi          = {10.1109/ISCAS.2016.7527198},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DingHWLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DitzeESRH16,
  author       = {Stefan Ditze and
                  Achim Endruschat and
                  Thomas Schriefer and
                  Andreas Rosskopf and
                  Thomas Heckel},
  title        = {Inductive power transfer system with a rotary transformer for contactless
                  energy transfer on rotating applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1622--1625},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538876},
  doi          = {10.1109/ISCAS.2016.7538876},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DitzeESRH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DjekicOFA16,
  author       = {Denis Djekic and
                  Maurits Ortmanns and
                  Georg E. Fantner and
                  Jens Anders},
  title        = {A tunable, robust pseudo-resistor with enhanced linearity for scanning
                  ion-conductance microscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {842--845},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527372},
  doi          = {10.1109/ISCAS.2016.7527372},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DjekicOFA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DofeFY16,
  author       = {Jaya Dofe and
                  Jonathan Frey and
                  Qiaoyan Yu},
  title        = {Hardware security assurance in emerging IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2050--2053},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538981},
  doi          = {10.1109/ISCAS.2016.7538981},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DofeFY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DongZ16,
  author       = {Xuan Dong and
                  Lihong Zhang},
  title        = {Advanced nanometer technology analog layout retargeting for lithography
                  friendly design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1262--1265},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527477},
  doi          = {10.1109/ISCAS.2016.7527477},
  timestamp    = {Wed, 04 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DongZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DoshiHLC16,
  author       = {Rohan Doshi and
                  Kwok{-}Wai Hung and
                  Luhong Liang and
                  King Hung Chiu},
  title        = {Deep learning neural networks optimization using hardware cost penalty},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1954--1957},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538957},
  doi          = {10.1109/ISCAS.2016.7538957},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DoshiHLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuB16,
  author       = {Chaohui Du and
                  Guoqiang Bai},
  title        = {Efficient polynomial multiplier architecture for Ring-LWE based public
                  key cryptosystems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1162--1165},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527452},
  doi          = {10.1109/ISCAS.2016.7527452},
  timestamp    = {Sat, 03 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DuB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuB16a,
  author       = {Chaohui Du and
                  Guoqiang Bai},
  title        = {Towards efficient polynomial multiplication for lattice-based cryptography},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1178--1181},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527456},
  doi          = {10.1109/ISCAS.2016.7527456},
  timestamp    = {Sat, 03 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DuB16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuanCC16,
  author       = {Yan Duan and
                  Tao Chen and
                  Degang Chen},
  title        = {Low-cost dithering generator for accurate {ADC} linearity test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1474--1477},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527536},
  doi          = {10.1109/ISCAS.2016.7527536},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuanCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DumesnilBB16,
  author       = {Etienne Dumesnil and
                  Philippe{-}Olivier Beaulieu and
                  Mounir Boukadoum},
  title        = {{FPGA} minimal components {SKAN} model for classical and operant conditioning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2499--2502},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539100},
  doi          = {10.1109/ISCAS.2016.7539100},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DumesnilBB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DuvvuriAP16,
  author       = {Divya Duvvuri and
                  Somanshu Agarwal and
                  Vijaya Sankara Rao Pasupureddi},
  title        = {A new hybrid circuit topology for simultaneous bidirectional signaling
                  over on-chip interconnects},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2342--2345},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539054},
  doi          = {10.1109/ISCAS.2016.7539054},
  timestamp    = {Sat, 31 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/DuvvuriAP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EbrahimiPR16,
  author       = {Elnaz Ebrahimi and
                  Rafael Trapani Possignolo and
                  Jose Renau},
  title        = {{SRAM} voltage stacking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1634--1637},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538879},
  doi          = {10.1109/ISCAS.2016.7538879},
  timestamp    = {Wed, 10 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EbrahimiPR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EdstromCWGVMG16,
  author       = {Jonathon Edstrom and
                  Dongliang Chen and
                  Jinhui Wang and
                  Huan Gu and
                  Enrique Alvarez Vazquez and
                  Mark E. McCourt and
                  Na Gong},
  title        = {Luminance-adaptive smart video storage system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {734--737},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527345},
  doi          = {10.1109/ISCAS.2016.7527345},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EdstromCWGVMG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-AassarER16,
  author       = {Omar El{-}Aassar and
                  Mohamed El{-}Nozahi and
                  Hani Fikry Ragai},
  title        = {Phase aligned mm-wave injection locked power amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1566--1569},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538862},
  doi          = {10.1109/ISCAS.2016.7538862},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-AassarER16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-MadanyHG16,
  author       = {Nour El{-}Din El{-}Madany and
                  Yifeng He and
                  Ling Guan},
  title        = {Multiview emotion recognition via multi-set locality preserving canonical
                  correlation analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {590--593},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527309},
  doi          = {10.1109/ISCAS.2016.7527309},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-MadanyHG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EliaLC16,
  author       = {Marios Elia and
                  Lieuwe B. Leene and
                  Timothy G. Constandinou},
  title        = {Continuous-time micropower interface for neural recording applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {534--537},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527295},
  doi          = {10.1109/ISCAS.2016.7527295},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EliaLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EnzingerFV16,
  author       = {Harald Enzinger and
                  Karl Freiberger and
                  Christian Vogel},
  title        = {A joint linearity-efficiency model of radio frequency power amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527225},
  doi          = {10.1109/ISCAS.2016.7527225},
  timestamp    = {Fri, 15 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/EnzingerFV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ErfaniMMM16,
  author       = {Reza Erfani and
                  Fatemeh Marefat and
                  Soumyajit Mandal and
                  Pedram Mohseni},
  title        = {A 1.3mA biphasic current stimulator {IC} with active charge balancing
                  for nerve interfacing applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1090--1093},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527434},
  doi          = {10.1109/ISCAS.2016.7527434},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ErfaniMMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EshraghianIFYL16,
  author       = {Jason Kamran Eshraghian and
                  Herbert H. C. Iu and
                  Tyrone Fernando and
                  Dongsheng Yu and
                  Zhen Li},
  title        = {Modelling and characterization of dynamic behavior of coupled memristor
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {690--693},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527334},
  doi          = {10.1109/ISCAS.2016.7527334},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EshraghianIFYL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/EspositoCCNPS16,
  author       = {Darjn Esposito and
                  Gerardo Castellano and
                  Davide De Caro and
                  Ettore Napoli and
                  Nicola Petra and
                  Antonio G. M. Strollo},
  title        = {Approximate adder with output correction for error tolerant applications
                  and Gaussian distributed inputs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1970--1973},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538961},
  doi          = {10.1109/ISCAS.2016.7538961},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/EspositoCCNPS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FahsCH16,
  author       = {Bassem Fahs and
                  Asif Chowdhury and
                  Mona Mostafa Hella},
  title        = {A 1.8 Gb/s fully integrated optical receiver for {OOK} visible light
                  communication in 0.35 {\(\mu\)}m {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {934--937},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527395},
  doi          = {10.1109/ISCAS.2016.7527395},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FahsCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FanAB16,
  author       = {Wentao Fan and
                  Faisal R. Al{-}Osaimi and
                  Nizar Bouguila},
  title        = {A novel 3D model recognition approach using Pitman-Yor process mixtures
                  of Beta-Liouville Distributions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1986--1989},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538965},
  doi          = {10.1109/ISCAS.2016.7538965},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FanAB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FangCC16,
  author       = {Han{-}Chiou Fang and
                  Hung{-}Cheng Chen and
                  Tian{-}Sheuan Chang},
  title        = {Fast intra prediction algorithm and design for high efficiency video
                  coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1770--1773},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538911},
  doi          = {10.1109/ISCAS.2016.7538911},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FangCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FatemiKG16,
  author       = {Adel Fatemi and
                  Heinrich Klar and
                  Friedel Gerfers},
  title        = {Implementation and design investigation of 40 Gbps driver {IC} for
                  silicon photonics ring-modulator in SiGe 130-nm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2387--2390},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539072},
  doi          = {10.1109/ISCAS.2016.7539072},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FatemiKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fattaruso16,
  author       = {John W. Fattaruso},
  title        = {Visualizing the Laplace domain},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1258--1261},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527476},
  doi          = {10.1109/ISCAS.2016.7527476},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fattaruso16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fernandes16,
  author       = {Jorge R. Fernandes},
  title        = {An analog integrated systems course proposal for a 2nd cycle of studies
                  of the bologna process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527188},
  doi          = {10.1109/ISCAS.2016.7527188},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Fernandes16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FernandesOG16,
  author       = {Miguel D. Fernandes and
                  Lu{\'{\i}}s B. Oliveira and
                  Jo{\~{a}}o Goes},
  title        = {Wideband noise cancelling balun {LNA} with feedback biasing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527226},
  doi          = {10.1109/ISCAS.2016.7527226},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FernandesOG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fernandez-Berni16,
  author       = {Jorge Fern{\'{a}}ndez{-}Berni and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Live demonstration: Single-exposure {HDR} image acquisition based
                  on tunable balance between local and global adaptation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {453},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527271},
  doi          = {10.1109/ISCAS.2016.7527271},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fernandez-Berni16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerreiraLDM16,
  author       = {Tadeu N. Ferreira and
                  Markus V. S. Lima and
                  Paulo S. R. Diniz and
                  Wallace Alves Martins},
  title        = {Low-complexity proportionate algorithms with sparsity-promoting penalties},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527218},
  doi          = {10.1109/ISCAS.2016.7527218},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerreiraLDM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerreiraSSCWMM16,
  author       = {Joao Marcelo Ferreira and
                  Jarbas Silveira and
                  Jardel Silveira and
                  Rodrigo Cataldo and
                  Thais Webber and
                  Fernando Gehm Moraes and
                  C{\'{e}}sar A. M. Marcon},
  title        = {Efficient traffic balancing for NoC routing latency minimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2599--2602},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539125},
  doi          = {10.1109/ISCAS.2016.7539125},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FerreiraSSCWMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FerroBLC16,
  author       = {Esteban Ferro and
                  V{\'{\i}}ctor M. Brea and
                  Paula L{\'{o}}pez and
                  Diego Cabello},
  title        = {Dynamic model of on-chip inverting capacitive charge pumps with charge
                  reusing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1626--1629},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538877},
  doi          = {10.1109/ISCAS.2016.7538877},
  timestamp    = {Tue, 31 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FerroBLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FiglioliaJTA16,
  author       = {Tomas Figliolia and
                  Pedro Juli{\'{a}}n and
                  Gaspar Tognetti and
                  Andreas G. Andreou},
  title        = {A true Random Number Generator using {RTN} noise and a sigma delta
                  converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527159},
  doi          = {10.1109/ISCAS.2016.7527159},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FiglioliaJTA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FigueiredoRA16,
  author       = {Monica Figueiredo and
                  Carlos Ribeiro and
                  Lu{\'{\i}}s Nero Alves},
  title        = {Live demonstration: 150Mbps+ {DCO-OFDM} {VLC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {457},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527275},
  doi          = {10.1109/ISCAS.2016.7527275},
  timestamp    = {Mon, 27 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FigueiredoRA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Filanovsky16,
  author       = {Igor M. Filanovsky},
  title        = {Property of rational functions related to band-pass transformation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1794--1797},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538917},
  doi          = {10.1109/ISCAS.2016.7538917},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Filanovsky16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FilanovskyO16,
  author       = {Igor M. Filanovsky and
                  Lu{\'{\i}}s B. Oliveira},
  title        = {Using "reconciliation" model for calculation of harmonics in a {MOS}
                  transistor stage operating in moderate inversion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {474--477},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527280},
  doi          = {10.1109/ISCAS.2016.7527280},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FilanovskyO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FilippiniT16,
  author       = {Leo Filippini and
                  Baris Taskin},
  title        = {Charge recovery logic for thermal harvesting applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {542--545},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527297},
  doi          = {10.1109/ISCAS.2016.7527297},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FilippiniT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FiorentinoSTG16,
  author       = {Micka{\"{e}}l Fiorentino and
                  Yvon Savaria and
                  Claude Thibeault and
                  Pascal Gervais},
  title        = {A practical design method for prototyping self-timed processors using
                  FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1754--1757},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538907},
  doi          = {10.1109/ISCAS.2016.7538907},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FiorentinoSTG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FirdauziXMM16,
  author       = {Anugerah Firdauzi and
                  Zule Xu and
                  Masaya Miyahara and
                  Akira Matsuzawa},
  title        = {A 74.9 dB {SNDR} 1 MHz bandwidth 0.9 mW delta-sigma time-to-digital
                  converter using charge pump and {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527169},
  doi          = {10.1109/ISCAS.2016.7527169},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/FirdauziXMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Fu0PWHL16,
  author       = {Yuxiang Fu and
                  Li Li and
                  Hongbing Pan and
                  Kun Wang and
                  Feng Han and
                  Jun Lin},
  title        = {Accurate runtime thermal prediction scheme for 3D NoC systems with
                  noisy thermal sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1198--1201},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527461},
  doi          = {10.1109/ISCAS.2016.7527461},
  timestamp    = {Fri, 21 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Fu0PWHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/FuTLWBP16,
  author       = {Zhongyi Fu and
                  Xian Tang and
                  Daxiang Li and
                  Jiangpeng Wang and
                  Debajit Basak and
                  Kong{-}Pang Pun},
  title        = {A 10-bit 2 MS/s {SAR} {ADC} using reverse VCM-based switching scheme},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1030--1033},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527419},
  doi          = {10.1109/ISCAS.2016.7527419},
  timestamp    = {Tue, 21 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/FuTLWBP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaalenTNIPH16,
  author       = {Jolien B. van Gaalen and
                  Ana Luisa Trejos and
                  Hristo N. Nikolov and
                  Todor G. Ivanov and
                  Steven I. Pollmann and
                  David W. Holdsworth},
  title        = {Versatile smart hip implant technology using 3D metal printing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2731--2734},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539157},
  doi          = {10.1109/ISCAS.2016.7539157},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaalenTNIPH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaggaturDB16,
  author       = {Javed S. Gaggatur and
                  Pradeep K. Dixena and
                  Gaurab Banerjee},
  title        = {A 3.2 mW 0.13 {\(\mu\)}m high sensitivity frequency-domain {CMOS}
                  capacitance interface},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1070--1073},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527429},
  doi          = {10.1109/ISCAS.2016.7527429},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaggaturDB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Gagnon-Turcotte16,
  author       = {Gabriel Gagnon{-}Turcotte and
                  Yoan LeChasseur and
                  Cyril Bories and
                  Yves De Koninck and
                  Benoit Gosselin},
  title        = {An optimized adaptive spike detector for behavioural experiments},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1098--1101},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527436},
  doi          = {10.1109/ISCAS.2016.7527436},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Gagnon-Turcotte16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaillardonHSAWR16,
  author       = {Pierre{-}Emmanuel Gaillardon and
                  Mehdi Hasan and
                  Anirban Saha and
                  Luca Gaetano Amar{\`{u}} and
                  Ross Walker and
                  Berardi Sensale Rodriguez},
  title        = {Digital, analog and {RF} design opportunities of three-independent-gate
                  transistors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {405--408},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527256},
  doi          = {10.1109/ISCAS.2016.7527256},
  timestamp    = {Thu, 09 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GaillardonHSAWR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GalLJ16,
  author       = {Bertrand Le Gal and
                  Camille Leroux and
                  Christophe J{\'{e}}go},
  title        = {A scalable 3-phase polar decoder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {417--420},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527259},
  doi          = {10.1109/ISCAS.2016.7527259},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GalLJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Galias16,
  author       = {Zbigniew Galias},
  title        = {On the existence of chaos in the Chua's circuit with a smooth nonlinearity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1106--1109},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527438},
  doi          = {10.1109/ISCAS.2016.7527438},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Galias16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaliasM16,
  author       = {Zbigniew Galias and
                  Szczepan Moskwa},
  title        = {On the modeling of blackouts in power networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {890--893},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527384},
  doi          = {10.1109/ISCAS.2016.7527384},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaliasM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GanganathCT16,
  author       = {Nuwan Ganganath and
                  Chi{-}Tsun Cheng and
                  Chi K. Tse},
  title        = {Multiobjective path planning on uneven terrains based on {NAMOA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1846--1849},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538930},
  doi          = {10.1109/ISCAS.2016.7538930},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GanganathCT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GaoZL16,
  author       = {Yanbo Gao and
                  Ce Zhu and
                  Shuai Li},
  title        = {Hierarchical temporal dependent rate-distortion optimization for low-delay
                  coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {570--573},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527304},
  doi          = {10.1109/ISCAS.2016.7527304},
  timestamp    = {Fri, 11 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GaoZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Garcia-BosqueSC16,
  author       = {Miguel Garcia{-}Bosque and
                  Carlos S{\'{a}}nchez{-}Azqueta and
                  Santiago Celma},
  title        = {Secure communication system based on a logistic map and a linear feedback
                  shift register},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1170--1173},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527454},
  doi          = {10.1109/ISCAS.2016.7527454},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Garcia-BosqueSC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Garcia-LestaFBL16,
  author       = {Daniel Garc{\'{\i}}a{-}Lesta and
                  Esteban Ferro and
                  V{\'{\i}}ctor M. Brea and
                  Paula L{\'{o}}pez and
                  Diego Cabello and
                  J. Iglesias and
                  J. Castillejo},
  title        = {Live demonstration: Wireless sensor network for snail pest detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2371},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539062},
  doi          = {10.1109/ISCAS.2016.7539062},
  timestamp    = {Tue, 31 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Garcia-LestaFBL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GarvikWY16,
  author       = {Harald Garvik and
                  Carsten Wulff and
                  Trond Ytterdal},
  title        = {Noise transfer functions and loop filters especially suited for noise-shaping
                  {SAR} ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1034--1037},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527420},
  doi          = {10.1109/ISCAS.2016.7527420},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GarvikWY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GeHZKC16,
  author       = {Tong Ge and
                  Huiqiao He and
                  Jia Zhou and
                  Yang Kang and
                  Joseph S. Chang},
  title        = {An investigation of {THD} of a {BTL} Class {D} amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {470--473},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527279},
  doi          = {10.1109/ISCAS.2016.7527279},
  timestamp    = {Fri, 29 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GeHZKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ghafar-ZadehAMM16,
  author       = {Ebrahim Ghafar{-}Zadeh and
                  Giancarlo Ayala{-}Charca and
                  M. Matynia and
                  Sebastian Magierowski and
                  Bahareh Gholamzadeh and
                  Mohamad Sawan},
  title        = {Towards free-breathing spirometery-on-chip: Design, implementation
                  and preliminary experimental results},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1094--1097},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527435},
  doi          = {10.1109/ISCAS.2016.7527435},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ghafar-ZadehAMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ghafar-ZadehPM16,
  author       = {Ebrahim Ghafar{-}Zadeh and
                  Hossein Pourmodheji and
                  Sebastian Magierowski},
  title        = {Differential nuclear magnetic resonance receiver: Design, implementation
                  and experimental results},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527181},
  doi          = {10.1109/ISCAS.2016.7527181},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ghafar-ZadehPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhanaatianWCTB16,
  author       = {Reza Ghanaatian and
                  Paul N. Whatmough and
                  Jeremy Constantin and
                  Adam Teman and
                  Andreas Burg},
  title        = {A low-power correlator for wakeup receivers with algorithm pruning
                  through early termination},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2667--2670},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539142},
  doi          = {10.1109/ISCAS.2016.7539142},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhanaatianWCTB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GharibdoustKTL16,
  author       = {Kiarash Gharibdoust and
                  Gain Kim and
                  Armin Tajalli and
                  Yusuf Leblebici},
  title        = {A fully-digital spectrum shaping signaling for serial-data transceiver
                  with crosstalk and {ISI} reduction property in multi-drop memory interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2905},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539208},
  doi          = {10.1109/ISCAS.2016.7539208},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GharibdoustKTL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GharzaiSBH16,
  author       = {Mahir Kabeer Gharzai and
                  Joseph A. Schmitz and
                  Sina Balkir and
                  Michael W. Hoffman},
  title        = {Live demonstration: Programmable vision chip with neighborhood level
                  parallel processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {450},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527268},
  doi          = {10.1109/ISCAS.2016.7527268},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GharzaiSBH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GhoreishizadehG16,
  author       = {Sara S. Ghoreishizadeh and
                  Pantelis Georgiou and
                  Sandro Carrara and
                  Giovanni De Micheli},
  title        = {An integrated platform for differential electrochemical and {ISFET}
                  sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2875--2878},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539193},
  doi          = {10.1109/ISCAS.2016.7539193},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GhoreishizadehG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GiardSBFTBTG16,
  author       = {Pascal Giard and
                  Gabi Sarkis and
                  Alexios Balatsoukas{-}Stimming and
                  YouZhe Fan and
                  Chi{-}Ying Tsui and
                  Andreas Peter Burg and
                  Claude Thibeault and
                  Warren J. Gross},
  title        = {Hardware decoders for polar codes: An overview},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527192},
  doi          = {10.1109/ISCAS.2016.7527192},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GiardSBFTBTG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GirijaA16,
  author       = {Aparna Girija and
                  Sankaran Aniruddhan},
  title        = {A compact dual-band 5dBm {RF} power amplifier for cellular applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2118--2121},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538998},
  doi          = {10.1109/ISCAS.2016.7538998},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GirijaA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GitermanTMFB16,
  author       = {Robert Giterman and
                  Adam Teman and
                  Pascal Meinerzhagen and
                  Alexander Fish and
                  Andreas Burg},
  title        = {A process compensated gain cell embedded-DRAM for ultra-low-power
                  variation-aware design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1006--1009},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527413},
  doi          = {10.1109/ISCAS.2016.7527413},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GitermanTMFB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GiustolisiPFP16,
  author       = {Gianluca Giustolisi and
                  Gaetano Palumbo and
                  Paolo Finocchiaro and
                  Alfio Pappalardo},
  title        = {Verilog-a modeling of Silicon Photo-Multipliers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1270--1273},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527479},
  doi          = {10.1109/ISCAS.2016.7527479},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GiustolisiPFP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GoebelPAZP16,
  author       = {Jones Goebel and
                  Guilherme Paim and
                  Luciano Volcan Agostini and
                  Bruno Zatt and
                  Marcelo Schiavon Porto},
  title        = {An {HEVC} multi-size {DCT} hardware with constant throughput and supporting
                  heterogeneous CUs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2202--2205},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539019},
  doi          = {10.1109/ISCAS.2016.7539019},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GoebelPAZP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GongLM16,
  author       = {Jianping Gong and
                  Sulin Li and
                  John A. McNeill},
  title        = {Sub-picosecond-jitter clock generation for interleaved {ADC} with
                  Delay-Locked-Loop in 28nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2763--2766},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539165},
  doi          = {10.1109/ISCAS.2016.7539165},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GongLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GordonRF16,
  author       = {Adam Gordon and
                  Gordon W. Roberts and
                  Christian Jes{\'{u}}s B. Fayomi},
  title        = {Low-cost trimmable manufacturing methods for printable electronics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {870--873},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527379},
  doi          = {10.1109/ISCAS.2016.7527379},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GordonRF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GraveA16,
  author       = {Baptiste Grave and
                  Amin Arbabian},
  title        = {Spatially interleaved architecture for high-frequency data converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1450--1453},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527530},
  doi          = {10.1109/ISCAS.2016.7527530},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GraveA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GulHHLA16,
  author       = {Waqas Gul and
                  Syed Rafay Hasan and
                  Osman Hasan and
                  Faiq Khalid Lodhi and
                  Falah R. Awwad},
  title        = {Synchronously triggered {GALS} design templates leveraging {QDI} asynchronous
                  interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2615--2618},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539129},
  doi          = {10.1109/ISCAS.2016.7539129},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GulHHLA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoDC16,
  author       = {Menghan Guo and
                  Ruoxi Ding and
                  Shoushun Chen},
  title        = {Live demonstration: {A} dynamic vision sensor with direct logarithmic
                  output and full-frame picture-on-demand},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {456},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527274},
  doi          = {10.1109/ISCAS.2016.7527274},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoDC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoHGC16,
  author       = {Heng Guo and
                  Jing Huang and
                  Menghan Guo and
                  Shoushun Chen},
  title        = {Dynamic resolution event-based temporal contrast vision sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1422--1425},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527517},
  doi          = {10.1109/ISCAS.2016.7527517},
  timestamp    = {Tue, 30 Oct 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoHGC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuoKTF16,
  author       = {Zimu Guo and
                  Nima Karimian and
                  Mark M. Tehranipoor and
                  Domenic Forte},
  title        = {Hardware security meets biometrics for the age of IoT},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1318--1321},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527491},
  doi          = {10.1109/ISCAS.2016.7527491},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/GuoKTF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaASD16,
  author       = {Sumeet Kumar Gupta and
                  Ahmedullah Aziz and
                  Nikhil Shukla and
                  Suman Datta},
  title        = {On the potential of correlated materials in the design of spin-based
                  cross-point memories (Invited)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1158--1161},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527451},
  doi          = {10.1109/ISCAS.2016.7527451},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaASD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaBCI16,
  author       = {Anubha A. Gupta and
                  Antoine Bolduc and
                  Sylvain G. Cloutier and
                  Ricardo Izquierdo},
  title        = {Aerosol Jet Printing for printed electronics rapid prototyping},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {866--869},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527378},
  doi          = {10.1109/ISCAS.2016.7527378},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaBCI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaHLD16,
  author       = {Shoubhik Gupta and
                  Hadi Heidari and
                  Leandro Lorenzelli and
                  Ravinder Dahiya},
  title        = {Towards bendable piezoelectric oxide semiconductor field effect transistor
                  based touch sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {345--348},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527241},
  doi          = {10.1109/ISCAS.2016.7527241},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaHLD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaMVAA16,
  author       = {Navneet Gupta and
                  Adam Makosiej and
                  Andrei Vladimirescu and
                  Amara Amara and
                  Costin Anghel},
  title        = {Ultra-compact {SRAM} design using TFETs for low power low voltage
                  applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {594--597},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527310},
  doi          = {10.1109/ISCAS.2016.7527310},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaMVAA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/GuptaSKP16,
  author       = {Isha Gupta and
                  Alexantrou Serb and
                  Ali Khiat and
                  Themistoklis Prodromakis},
  title        = {Practical operation considerations for memristive integrating sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2322--2325},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539049},
  doi          = {10.1109/ISCAS.2016.7539049},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/GuptaSKP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaasBAO16,
  author       = {Michael Haas and
                  Ulrich Bihr and
                  Jens Anders and
                  Maurits Ortmanns},
  title        = {A bidirectional neural interface {IC} with high voltage compliance
                  and spectral separation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2743--2746},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539160},
  doi          = {10.1109/ISCAS.2016.7539160},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HaasBAO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HabimanaSD16,
  author       = {Jean Pierre T. Habimana and
                  Francis Sabado and
                  Jia Di},
  title        = {Multi-threshold dual-spacer dual-rail delay-insensitive logic: An
                  improved {IC} design methodology for side channel attack mitigation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {750--753},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527349},
  doi          = {10.1109/ISCAS.2016.7527349},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HabimanaSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaddadRF16,
  author       = {Pierre{-}Antoine Haddad and
                  Jean{-}Pierre Raskin and
                  Denis Flandre},
  title        = {Automated design of a 13.56 MHz corner-robust efficient differential
                  drive rectifier for 10 {\(\mu\)}A load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1822--1825},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538924},
  doi          = {10.1109/ISCAS.2016.7538924},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HaddadRF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaeriDLT16,
  author       = {Soroush Haeri and
                  Qingye Ding and
                  Zhida Li and
                  Ljiljana Trajkovic},
  title        = {Global resource capacity algorithm with path splitting for virtual
                  network embedding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {666--669},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527328},
  doi          = {10.1109/ISCAS.2016.7527328},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HaeriDLT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaeriT16,
  author       = {Soroush Haeri and
                  Ljiljana Trajkovic},
  title        = {Virtual network embeddings in data center networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {874--877},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527380},
  doi          = {10.1109/ISCAS.2016.7527380},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HaeriT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HafeezDSA16,
  author       = {K. T. Hafeez and
                  Ashudeb Dutta and
                  Shiv Govind Singh and
                  Krishna Kanth Gowri Avalur},
  title        = {A low-cost multi-phase 3A buck converter with improved ripple cancellation
                  for wide supply range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1618--1621},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538875},
  doi          = {10.1109/ISCAS.2016.7538875},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HafeezDSA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HafligerNSWGB16,
  author       = {Philipp H{\"{a}}fliger and
                  Ghazal Nabovati and
                  Mohamad Sawan and
                  Nicole L. Wagner and
                  Jordan A. Greco and
                  Robert R. Birge},
  title        = {Combined optical and chemical asynchronous event pixel array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {317--320},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527234},
  doi          = {10.1109/ISCAS.2016.7527234},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HafligerNSWGB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HajiyaniLS16,
  author       = {Parivash Hajiyani and
                  Hanoch Lev{-}Ari and
                  Aleksandar M. Stankovic},
  title        = {Mitigating bad data and measurement delay in nonlinear dynamic state
                  estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {678--681},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527331},
  doi          = {10.1109/ISCAS.2016.7527331},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HajiyaniLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HamadE16,
  author       = {Amr A. Hamad and
                  Ehab F. El{-}Saadany},
  title        = {Steady-state analysis for hybrid {AC/DC} microgrids},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2134--2137},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539002},
  doi          = {10.1109/ISCAS.2016.7539002},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HamadE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HamadMS16,
  author       = {Ghaith Bany Hamad and
                  Otmane A{\"{\i}}t Mohamed and
                  Yvon Savaria},
  title        = {Towards formal abstraction, modeling, and analysis of Single Event
                  Transients at {RTL}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2166--2169},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539010},
  doi          = {10.1109/ISCAS.2016.7539010},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HamadMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanBH16,
  author       = {Xu Han and
                  Thomas Burger and
                  Qiuting Huang},
  title        = {An output-capacitor-free adaptively biased {LDO} regulator with robust
                  frequency compensation in 0.13{\(\mu\)}m {CMOS} for SoC application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2699--2702},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539150},
  doi          = {10.1109/ISCAS.2016.7539150},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanBH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanFM16,
  author       = {Changsok Han and
                  Ahmed Fahmy and
                  Nima Maghari},
  title        = {Noise-cancelling sturdy {MASH} delta-sigma modulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1502--1505},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527543},
  doi          = {10.1109/ISCAS.2016.7527543},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanFM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanKBY16,
  author       = {Jinho Han and
                  Youngsu Kwon and
                  Kyeongjin Byun and
                  Hoi{-}Jun Yoo},
  title        = {A fault tolerant cache system of automotive vision processor complying
                  with {ISO26262}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2912},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539215},
  doi          = {10.1109/ISCAS.2016.7539215},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanKBY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanKJM16,
  author       = {Changsok Han and
                  Taewook Kim and
                  Arun Javvaji and
                  Nima Maghari},
  title        = {Multi-stage delta-sigma modulator with a relaxed opamp gain using
                  a back-end digital integrator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1506--1509},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527544},
  doi          = {10.1109/ISCAS.2016.7527544},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HanKJM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HaoYHZGL16,
  author       = {Xiucheng Hao and
                  Fan Yang and
                  Mingxiao He and
                  Yongan Zheng and
                  Ying Guo and
                  Huailin Liao},
  title        = {A 93.7{\%} peak efficiency {DC-DC} buck converter with all-pass network
                  based passive level shifter in 55 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {445--448},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527266},
  doi          = {10.1109/ISCAS.2016.7527266},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HaoYHZGL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HasanGL16,
  author       = {M. Naimul Hasan and
                  Qun Jane Gu and
                  Xiaoguang Liu},
  title        = {Reconfigurable blocker-tolerant {RF} front-end filter with tunable
                  notch for active cancellation of transmitter leakage in {FDD} receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1782--1785},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538914},
  doi          = {10.1109/ISCAS.2016.7538914},
  timestamp    = {Fri, 06 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HasanGL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HashemiCG16,
  author       = {Seyyed Ali Hashemi and
                  Carlo Condo and
                  Warren J. Gross},
  title        = {Matrix reordering for efficient list sphere decoding of polar codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1730--1733},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538902},
  doi          = {10.1109/ISCAS.2016.7538902},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HashemiCG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HassanTSSS16,
  author       = {Ahmad Hassan and
                  Aref Trigui and
                  Umar Shafique and
                  Yvon Savaria and
                  Mohamad Sawan},
  title        = {Wireless power transfer through metallic barriers enclosing a harsh
                  environment; feasibility and preliminary results},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2391--2394},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539073},
  doi          = {10.1109/ISCAS.2016.7539073},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HassanTSSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HassenCJ16,
  author       = {Amad Ul Hassen and
                  Brigadesh Chandrasekar and
                  Sumit Kumar Jha},
  title        = {Automated synthesis of stochastic computational elements using decision
                  procedures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1678--1681},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538890},
  doi          = {10.1109/ISCAS.2016.7538890},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HassenCJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HautalaBS16,
  author       = {Ilkka Hautala and
                  Jani Boutellier and
                  Olli Silv{\'{e}}n},
  title        = {Programmable 28nm coprocessor for {HEVC/H.265} in-loop filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1570--1573},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538863},
  doi          = {10.1109/ISCAS.2016.7538863},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HautalaBS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeCLI16,
  author       = {Lucheng He and
                  Aijiao Cui and
                  Mengyang Li and
                  Andr{\'{e}} Ivanov},
  title        = {An improved test power optimization method by insertion of linear
                  functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2631--2634},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539133},
  doi          = {10.1109/ISCAS.2016.7539133},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeCLI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeidariNTD16,
  author       = {Hadi Heidari and
                  William Taube Navaraj and
                  Gergely Toldi and
                  Ravinder Dahiya},
  title        = {Device modelling of bendable {MOS} transistors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1358--1361},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527501},
  doi          = {10.1109/ISCAS.2016.7527501},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeidariNTD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HeindelK16,
  author       = {Andreas Heindel and
                  Andr{\'{e}} Kaup},
  title        = {Fast exclusion of angular intra prediction modes in {HEVC} using reference
                  sample variance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2675--2678},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539144},
  doi          = {10.1109/ISCAS.2016.7539144},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HeindelK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HernandezGC16,
  author       = {Luis Hern{\'{a}}ndez and
                  Eric Gutierrez and
                  Fernando Cardes},
  title        = {Frequency-encoded integrators applied to filtering and sigma-delta
                  modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {478--481},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527281},
  doi          = {10.1109/ISCAS.2016.7527281},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HernandezGC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HerreroEPHCALLY16,
  author       = {Pau Herrero and
                  Mohamed Fayez El{-}Sharkawy and
                  Peter Pesl and
                  Bernard Hernandez and
                  Lorraine Choi and
                  Osama M. Awara and
                  Yu Lee and
                  Jian Lim and
                  Mohamed M. Yusof and
                  Aaron Sheah and
                  Liyangyi Yu and
                  Pantelis Georgiou},
  title        = {Live demonstrator: Challenging the Bio-inspired Artificial Pancreas
                  with a mixed-meal model library},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1444},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527527},
  doi          = {10.1109/ISCAS.2016.7527527},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HerreroEPHCALLY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HinamotoDL16,
  author       = {Takao Hinamoto and
                  Akimitsu Doi and
                  Wu{-}Sheng Lu},
  title        = {Realization with minimal weighted pole and zero sensitivity subject
                  to {\(\mathscr{l}\)}2-scaling constraints for recursive digital filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2178--2181},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539013},
  doi          = {10.1109/ISCAS.2016.7539013},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HinamotoDL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoLNCGC16,
  author       = {Weng{-}Geng Ho and
                  Nan Liu and
                  Kyaw Zwa Lwin Ne and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee and
                  Joseph Sylvester Chang},
  title        = {High performance low overhead template-based Cell-Interleave Pipeline
                  {(TCIP)} for asynchronous-logic {QDI} circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1762--1765},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538909},
  doi          = {10.1109/ISCAS.2016.7538909},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoLNCGC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoNSCKG16,
  author       = {Weng{-}Geng Ho and
                  Kyaw Zwa Lwin Ne and
                  N. Prashanth Srinivas and
                  Kwen{-}Siong Chong and
                  Tony Tae{-}Hyoung Kim and
                  Bah{-}Hwee Gwee},
  title        = {Area-efficient and low stand-by power 1k-byte transmission-gate-based
                  non-imprinting high-speed erase {(TNIHE)} {SRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {698--701},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527336},
  doi          = {10.1109/ISCAS.2016.7527336},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoNSCKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoWLLLWL16,
  author       = {Yingchieh Ho and
                  Gary Wang and
                  Kelvin Yi{-}Tse Lai and
                  Yi{-}Wen Lu and
                  Keng{-}Ming Liu and
                  Yun{-}Ming Wang and
                  Chen{-}Yi Lee},
  title        = {Design of a micro-electrode cell for programmable lab-on-CMOS platform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2871--2874},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539192},
  doi          = {10.1109/ISCAS.2016.7539192},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoWLLLWL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoangLNNP16,
  author       = {Trong{-}Thuc Hoang and
                  Duc{-}Hung Le and
                  Hong{-}Thu Nguyen and
                  Xuan{-}Thuan Nguyen and
                  Cong{-}Kha Pham},
  title        = {A hybrid adaptive {CORDIC} in 65nm {SOTB} {CMOS} process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2158--2161},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539008},
  doi          = {10.1109/ISCAS.2016.7539008},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoangLNNP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HonarparvarSS16,
  author       = {Mohammad Honarparvar and
                  Mona Safi{-}Harb and
                  Mohamad Sawan},
  title        = {An amplifier-shared inverter-based {MASH} structure {\(\Delta\)}{\(\Sigma\)}
                  modulator for smart sensor interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2250--2253},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539031},
  doi          = {10.1109/ISCAS.2016.7539031},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HonarparvarSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HongZLC16,
  author       = {Xiaoying Hong and
                  Ruijie Zhao and
                  Xiaoping Lai and
                  Jiuwen Cao},
  title        = {A matrix-based algorithm for the {CLS} design of centrally symmetric
                  2-D {FIR} filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1230--1233},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527469},
  doi          = {10.1109/ISCAS.2016.7527469},
  timestamp    = {Mon, 30 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HongZLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HossainS16,
  author       = {Md Shazzad Hossain and
                  Ioannis Savidis},
  title        = {Robust near-threshold inverter with improved performance for ultra-low
                  power applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {738--741},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527346},
  doi          = {10.1109/ISCAS.2016.7527346},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HossainS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HosseinHMB16,
  author       = {Mohammad Hossein Askari Hemmat and
                  Otmane A{\"{\i}}t Mohamed and
                  Mounir Boukadoum},
  title        = {Towards code generation for {ARM} Cortex-M MCUs from SysML activity
                  diagrams},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {970--973},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527404},
  doi          = {10.1109/ISCAS.2016.7527404},
  timestamp    = {Fri, 26 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HosseinHMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HoungninouT16,
  author       = {David Kebo Houngninou and
                  Mitchell A. Thornton},
  title        = {Implementation of switching circuit models as transfer functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2162--2165},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539009},
  doi          = {10.1109/ISCAS.2016.7539009},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HoungninouT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsiehH16,
  author       = {Sung{-}En Hsieh and
                  Chih{-}Cheng Hsieh},
  title        = {A 0.3V 0.705fJ/conversion-step 10-bit {SAR} {ADC} with shifted monotonie
                  switching scheme in 90nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2899},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539202},
  doi          = {10.1109/ISCAS.2016.7539202},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsiehH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuDL16,
  author       = {Hung{-}Sheng Hsu and
                  Qiu{-}Yue Duan and
                  Yu{-}Te Liao},
  title        = {A low power 2.4/5.2GHz concurrent receiver using current-reused architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1398--1401},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527511},
  doi          = {10.1109/ISCAS.2016.7527511},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuDL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuHH16,
  author       = {Kai{-}Neng Hsu and
                  Cheng{-}Gang He and
                  Yuan{-}Hao Huang},
  title        = {Low-complexity hybrid beam-tracking algorithms and architectures for
                  mmWave {MIMO} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1902--1905},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538944},
  doi          = {10.1109/ISCAS.2016.7538944},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuHH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HsuLH16,
  author       = {Yu{-}Pin Hsu and
                  Zemin Liu and
                  Mona Mostafa Hella},
  title        = {A low-power adjustable bandwidth biomedical signals acquisition SoC
                  for continuous health monitoring system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1538--1541},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538855},
  doi          = {10.1109/ISCAS.2016.7538855},
  timestamp    = {Mon, 26 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HsuLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuHRO16,
  author       = {Jingjing Hu and
                  Johannes A. Hegt and
                  Arthur H. M. van Roermund and
                  Sotir F. Ouzounov},
  title        = {Higher-order {DWA} in bandpass delta-sigma modulators and its implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527173},
  doi          = {10.1109/ISCAS.2016.7527173},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuHRO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuNA16,
  author       = {Linjia Hu and
                  Saeid Nooshabadi and
                  Majid Ahmadi},
  title        = {Parallel randomized KD-tree forest on {GPU} cluster for image descriptor
                  matching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {582--585},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527307},
  doi          = {10.1109/ISCAS.2016.7527307},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuNA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuSC16,
  author       = {Vita Pi{-}Ho Hu and
                  Pin Su and
                  Ching{-}Te Chuang},
  title        = {Investigation of {BTI} reliability for monolithic 3D 6T {SRAM} with
                  ultra-thin-body GeOI MOSFETs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2106--2109},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538995},
  doi          = {10.1109/ISCAS.2016.7538995},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuSC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuSWCG16,
  author       = {Yaoxing Hu and
                  Sanjiv Sharma and
                  Jean Weatherwax and
                  Anthony Cass and
                  Pantelis Georgiou},
  title        = {A portable multi-channel potentiostat for real-time amperometric measurement
                  of multi-electrode sensor arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1306--1309},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527488},
  doi          = {10.1109/ISCAS.2016.7527488},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuSWCG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuSWCG16a,
  author       = {Yaoxing Hu and
                  Sanjiv Sharma and
                  Jean Weatherwax and
                  Anthony Cass and
                  Pantelis Georgiou},
  title        = {Live demonstration: {A} portable multi-channel potentiostat for real-time
                  amperometric measurement of multi-electrode sensor arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2373},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539064},
  doi          = {10.1109/ISCAS.2016.7539064},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuSWCG16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangC16,
  author       = {Shen{-}Jui Huang and
                  Sau{-}Gee Chen},
  title        = {A high-parallelism memory-based {FFT} processor with high {SQNR} and
                  novel addressing scheme},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2671--2674},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539143},
  doi          = {10.1109/ISCAS.2016.7539143},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangCB16,
  author       = {Tsung{-}Ching Huang and
                  Kwang{-}Ting Cheng and
                  Raymond G. Beausoleil},
  title        = {Process-variation tolerant flexible circuit for wearable electronics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {353--356},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527243},
  doi          = {10.1109/ISCAS.2016.7527243},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangCLS16,
  author       = {Shyh{-}Jier Huang and
                  Pang{-}Jen Chiang and
                  Tsong{-}Shing Lee and
                  Wei{-}Fu Su},
  title        = {Enhancement of ozone-generation with modular expansions and dual-drive
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527207},
  doi          = {10.1109/ISCAS.2016.7527207},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangCLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHHWLC16,
  author       = {Yun{-}Chi Huang and
                  Kuan{-}Chieh Hsu and
                  Wan{-}shan Hsieh and
                  Chen{-}Chieh Wang and
                  Chia{-}Han Lu and
                  Chung{-}Ho Chen},
  title        = {Dynamic {SIMD} re-convergence with paired-path comparison},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527213},
  doi          = {10.1109/ISCAS.2016.7527213},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHHWLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHTC16,
  author       = {Po{-}Chao Huang and
                  Yao{-}Sheng Hu and
                  Hung{-}Yen Tai and
                  Hsin{-}Shu Chen},
  title        = {An 8-bit 900MS/S two-step {SAR} {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2898},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539201},
  doi          = {10.1109/ISCAS.2016.7539201},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHTC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangHWHYCHCLDC16,
  author       = {Yu{-}Chieh Huang and
                  Po{-}Tsang Huang and
                  Shang{-}Lin Wu and
                  Yu{-}Chen Hu and
                  Yan{-}Huei You and
                  Ming Chen and
                  Yan{-}Yu Huang and
                  Hsiao{-}Chun Chang and
                  Yen{-}Han Lin and
                  Jeng{-}Ren Duann and
                  Tzai{-}Wen Chiu and
                  Wei Hwang and
                  Kuan{-}Neng Chen and
                  Ching{-}Te Chuang and
                  Jin{-}Chern Chiou},
  title        = {An ultra-high-density 256-channel/25mm2 neural sensing microsystem
                  using TSV-embedded neural probes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1302--1305},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527487},
  doi          = {10.1109/ISCAS.2016.7527487},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangHWHYCHCLDC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangMG16,
  author       = {Yiyun Huang and
                  Sebastian Magierowski and
                  Ebrahim Ghafar{-}Zadeh},
  title        = {{CMOS} for high-speed nanopore {DNA} basecalling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1078--1081},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527431},
  doi          = {10.1109/ISCAS.2016.7527431},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangMG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangN16,
  author       = {Yi Huang and
                  Laleh Najafizadeh},
  title        = {A wirelessly tunable low drop-out regulator for subcutaneous muscle
                  prosthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {850--853},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527374},
  doi          = {10.1109/ISCAS.2016.7527374},
  timestamp    = {Wed, 12 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangTSLWS16,
  author       = {Shyh{-}Jier Huang and
                  Te{-}Yu Tai and
                  Wei{-}Fu Su and
                  Xian{-}Zong Liu and
                  Kuan{-}Te Wu and
                  Hung{-}Yu Su},
  title        = {A preliminary study on impact analysis of grid-connected {PV} systems
                  considering additional transformer installations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1182--1185},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527457},
  doi          = {10.1109/ISCAS.2016.7527457},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangTSLWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangWH16,
  author       = {Li{-}Ren Huang and
                  Yu{-}Wen Wang and
                  Chao{-}Tsung Huang},
  title        = {Fast realistic block-based refocusing for sparse light fields},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {998--1001},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527411},
  doi          = {10.1109/ISCAS.2016.7527411},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangWH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuangWHL16,
  author       = {Shyh{-}Jier Huang and
                  Pin{-}Yuan Wen and
                  Te{-}Chun Hung and
                  Yu{-}Ren Lin},
  title        = {Converter design for fuel cells enhanced with cooperation of lithium
                  batteries and supercapacitors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1638--1641},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538880},
  doi          = {10.1109/ISCAS.2016.7538880},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HuangWHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HuayaneyC16,
  author       = {Frank L. Maldonado Huayaney and
                  Elisabetta Chicca},
  title        = {A {VLSI} implementation of a calcium-based plasticity learning model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {373--376},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527248},
  doi          = {10.1109/ISCAS.2016.7527248},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HuayaneyC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HussainB16,
  author       = {Shaista Hussain and
                  Arindam Basu},
  title        = {Morphological learning in multicompartment neuron model with binary
                  synapses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2527--2530},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539107},
  doi          = {10.1109/ISCAS.2016.7539107},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HussainB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HussainSB16,
  author       = {Wasim Hussain and
                  Yvon Savaria and
                  Yves Blaqui{\`{e}}re},
  title        = {A compact spatially configurable differential input stage for a field
                  programmable interconnection network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527233},
  doi          = {10.1109/ISCAS.2016.7527233},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/HussainSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IbrayevJMK16,
  author       = {Timur Ibrayev and
                  Alex Pappachen James and
                  Cory E. Merkel and
                  Dhireesha Kudithipudi},
  title        = {A design of {HTM} spatial pooler for face recognition using memristor-CMOS
                  hybrid circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1254--1257},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527475},
  doi          = {10.1109/ISCAS.2016.7527475},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IbrayevJMK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IchigeAS16,
  author       = {Koichi Ichige and
                  Nobuya Arakawa and
                  Osamu Shibata},
  title        = {Real-time moving object tracking using phase-difference compensation
                  and nonuniform pulse transmission},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2647--2650},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539137},
  doi          = {10.1109/ISCAS.2016.7539137},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IchigeAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IelminiAMBW16,
  author       = {Daniele Ielmini and
                  Stefano Ambrogio and
                  Valerio Milo and
                  Simone Balatti and
                  Zhongqiang Wang},
  title        = {Neuromorphic computing with hybrid memristive/CMOS synapses for real-time
                  learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1386--1389},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527508},
  doi          = {10.1109/ISCAS.2016.7527508},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IelminiAMBW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Illade-Quinteiro16,
  author       = {J. Illade{-}Quinteiro and
                  V{\'{\i}}ctor M. Brea and
                  Paula L{\'{o}}pez and
                  Diego Cabello},
  title        = {Time-of-flight chip in standard {CMOS} technology with in-pixel adaptive
                  number of accumulations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1910--1913},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538946},
  doi          = {10.1109/ISCAS.2016.7538946},
  timestamp    = {Tue, 31 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Illade-Quinteiro16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ImaniMBKW16,
  author       = {Somayeh Imani and
                  Patrick P. Mercier and
                  Amay J. Bandodkar and
                  Jayoung Kim and
                  Joseph Wang},
  title        = {Wearable chemical sensors: Opportunities and challenges},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1122--1125},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527442},
  doi          = {10.1109/ISCAS.2016.7527442},
  timestamp    = {Fri, 23 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ImaniMBKW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IsavudeenNDA16,
  author       = {Ali Isavudeen and
                  Nicolas Ngan and
                  Eva Dokl{\'{a}}dalov{\'{a}} and
                  Mohamed Akil},
  title        = {Auto-adaptive multi-sensor architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2198--2201},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539018},
  doi          = {10.1109/ISCAS.2016.7539018},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IsavudeenNDA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IwahashiAYK16,
  author       = {Masahiro Iwahashi and
                  Tin Moe Aye and
                  Taichi Yoshida and
                  Hitoshi Kiya},
  title        = {Subset noise bias compensation for tone-mapping and up-scaling of
                  {JPEG} images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2226--2229},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539025},
  doi          = {10.1109/ISCAS.2016.7539025},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IwahashiAYK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/IwahashiOAYK16,
  author       = {Masahiro Iwahashi and
                  Teerapong Orachon and
                  Tin Moe Aye and
                  Taichi Yoshida and
                  Hitoshi Kiya},
  title        = {Optimum {SPT} allocation for multipliers of minimum lifting 2D wavelet
                  transform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2543--2546},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539111},
  doi          = {10.1109/ISCAS.2016.7539111},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/IwahashiOAYK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JagarlapudiC16,
  author       = {Surya Naga Krishna Mohan Jagarlapudi and
                  Valentina Cecchi},
  title        = {Investigating wind speed-dependent models for electric power transmission
                  lines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {626--629},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527318},
  doi          = {10.1109/ISCAS.2016.7527318},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JagarlapudiC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Jarrett-AmorPY16,
  author       = {Durand Jarrett{-}Amor and
                  Young Jun Park and
                  Fei Yuan},
  title        = {Time-mode techniques for fast-locking phase-locked loops},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1790--1793},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538916},
  doi          = {10.1109/ISCAS.2016.7538916},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Jarrett-AmorPY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaDSY16,
  author       = {Shan Jia and
                  Wenpeng Ding and
                  Yunhui Shi and
                  Baocai Yin},
  title        = {A fast sub-pixel motion estimation algorithm for {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {566--569},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527303},
  doi          = {10.1109/ISCAS.2016.7527303},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaDSY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaWLW16,
  author       = {Song Jia and
                  Ziyi Wang and
                  Zijin Li and
                  Yuan Wang},
  title        = {A novel low-power and high-speed dual-modulus prescaler based on extended
                  true single-phase clock logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2751--2754},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539162},
  doi          = {10.1109/ISCAS.2016.7539162},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaWLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JianS16,
  author       = {Jinghui Jian and
                  Milutin Stanacevic},
  title        = {Adaptive transmitting coil array for optimal power transfer in deeply
                  implanted medical devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2030--2033},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538976},
  doi          = {10.1109/ISCAS.2016.7538976},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JianS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangEPVSLVDD16,
  author       = {Dai Jiang and
                  Clemens Eder and
                  Timothy A. Perkins and
                  Anne Vanhoestenberghe and
                  Matthew Schormans and
                  Fangqi Liu and
                  Virgilio Valente and
                  Nick Donaldson and
                  Andreas Demosthenous},
  title        = {An implantable wireless multi-channel neural prosthesis for epidural
                  stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2026--2029},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538975},
  doi          = {10.1109/ISCAS.2016.7538975},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangEPVSLVDD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangEPVSLVDD16a,
  author       = {Dai Jiang and
                  Clemens Eder and
                  Timothy A. Perkins and
                  Anne Vanhoestenberghe and
                  Matthew Schormans and
                  Fangqi Liu and
                  Virgilio Valente and
                  Nick Donaldson and
                  Andreas Demosthenous},
  title        = {Live demonstration: An implantable wireless multi-channel neural prosthesis
                  for epidural stimulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2372},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539063},
  doi          = {10.1109/ISCAS.2016.7539063},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangEPVSLVDD16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangKLZZ16,
  author       = {Minqiang Jiang and
                  Madhusudan Kalluri and
                  Nam Ling and
                  Jianhua Zheng and
                  Philipp Zhang},
  title        = {An approach to image compression using {R-D} optimal {OMP} selection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2230--2233},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539026},
  doi          = {10.1109/ISCAS.2016.7539026},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangKLZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangKZXL16,
  author       = {Aimin Jiang and
                  Hon Keung Kwan and
                  Yanping Zhu and
                  Ning Xu and
                  Xiaofeng Liu},
  title        = {{IIR} digital filter design by partial second-order factorization
                  and iterative {WLS} approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2190--2193},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539016},
  doi          = {10.1109/ISCAS.2016.7539016},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangKZXL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangLHSYY16,
  author       = {Yu Jiang and
                  Xu Liu and
                  Xiwei Huang and
                  Yang Shang and
                  Mei Yan and
                  Hao Yu},
  title        = {Lab-on-CMOS: {A} multi-modal {CMOS} sensor platform towards personalized
                  {DNA} sequencing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2266--2269},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539035},
  doi          = {10.1109/ISCAS.2016.7539035},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangLHSYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangSCLLCL16,
  author       = {Jize Jiang and
                  Wei Shu and
                  Kwen{-}Siong Chong and
                  Tong Lin and
                  Ne Kyaw Zwa Lwin and
                  Joseph Sylvester Chang and
                  Jingyuan Liu},
  title        = {Total Ionizing Dose {(TID)} effects on finger transistors in a 65nm
                  {CMOS} process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527156},
  doi          = {10.1109/ISCAS.2016.7527156},
  timestamp    = {Mon, 27 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangSCLLCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiangZLBLZYXCW16,
  author       = {Hao Jiang and
                  Weijie Zhu and
                  Fu Luo and
                  Kangjun Bai and
                  Chenchen Liu and
                  Xiaorong Zhang and
                  J. Joshua Yang and
                  Qiangfei Xia and
                  Yiran Chen and
                  Qing Wu},
  title        = {Cyclical sensing integrate-and-fire circuit for memristor array based
                  neuromorphic computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {930--933},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527394},
  doi          = {10.1109/ISCAS.2016.7527394},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/JiangZLBLZYXCW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaoD16,
  author       = {Fanshu Jiao and
                  Alex Doboli},
  title        = {Three learning methods for reasoning-based synthesis of novel analog
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2411--2414},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539078},
  doi          = {10.1109/ISCAS.2016.7539078},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaoD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JiaoZ16,
  author       = {Ticao Jiao and
                  Wei Xing Zheng},
  title        = {On noise-to-state stability of random nonlinear systems with switchings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1862--1865},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538934},
  doi          = {10.1109/ISCAS.2016.7538934},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JiaoZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JinLZ16,
  author       = {Baihong Jin and
                  Guojie Luo and
                  Wentai Zhang},
  title        = {A fast and accurate approach for common path pessimism removal in
                  static timing analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2623--2626},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539131},
  doi          = {10.1109/ISCAS.2016.7539131},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JinLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JoshiKC16,
  author       = {Siddharth Joshi and
                  Chul Kim and
                  Gert Cauwenberghs},
  title        = {A 6{\(\mu\)}W/MHz charge buffer with 7fF input capacitance in 65nm
                  {CMOS} for non-contact electropotential sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2907},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539210},
  doi          = {10.1109/ISCAS.2016.7539210},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JoshiKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JovanovicTVNN16,
  author       = {Nenad Jovanovic and
                  Olivier Thomas and
                  Elisa Vianello and
                  Bosko Nikolic and
                  Lirida A. B. Naviner},
  title        = {Design considerations for reliable OxRAM-based non-volatile flip-flops
                  in 28nm {FD-SOI} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1146--1149},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527448},
  doi          = {10.1109/ISCAS.2016.7527448},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JovanovicTVNN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JuBJJ16,
  author       = {Haram Ju and
                  Woo{-}Rham Bae and
                  Gyu{-}Seob Jeong and
                  Deog{-}Kyoon Jeong},
  title        = {A 800-Mb/s 0.89-pJ/b reference-less optical receiver with pulse-position-modulation
                  scheme},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2346--2349},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539055},
  doi          = {10.1109/ISCAS.2016.7539055},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JuBJJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JuretusS16,
  author       = {Kyle Juretus and
                  Ioannis Savidis},
  title        = {Reducing logic encryption overhead through gate level key insertion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1714--1717},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538898},
  doi          = {10.1109/ISCAS.2016.7538898},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JuretusS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KabirAS16,
  author       = {Waziha Kabir and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {A new anchored normalization technique for score-level fusion in multimodal
                  biometrie systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {93--96},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527178},
  doi          = {10.1109/ISCAS.2016.7527178},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KabirAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaddoumTS16,
  author       = {Georges Kaddoum and
                  Navid Tadayon and
                  Ebrahim A. Soujeri},
  title        = {Performance of {DCSK} system with blanking circuit for power-line
                  communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1118--1121},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527441},
  doi          = {10.1109/ISCAS.2016.7527441},
  timestamp    = {Fri, 02 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaddoumTS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KalaniNK16,
  author       = {Sarthak Kalani and
                  Shravan S. Nagam and
                  Peter R. Kinget},
  title        = {Charge pump optimization and output spur reduction in VCO-based OTAs
                  for active-RC analog filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {822--825},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527367},
  doi          = {10.1109/ISCAS.2016.7527367},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KalaniNK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaliannanP16,
  author       = {Bhuvanan Kaliannan and
                  Vijaya Sankara Rao Pasupureddi},
  title        = {A low power charge mode compressive acquisition of multichannel {EEG}
                  signals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {518--521},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527291},
  doi          = {10.1109/ISCAS.2016.7527291},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KaliannanP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KamelSL16,
  author       = {Amr I. Kamel and
                  Ahmed Saad and
                  Seng Siong Lee},
  title        = {A high wide band {PSRR} and fast start-up current mode bandgap reference
                  in 130nm {CMOS} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {506--509},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527288},
  doi          = {10.1109/ISCAS.2016.7527288},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KamelSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KassiriSSVG16,
  author       = {Hossein Kassiri and
                  Nima Soltani and
                  Muhammad Tariqus Salam and
                  Jos{\'{e}} Luis P{\'{e}}rez Velazquez and
                  Roman Genov},
  title        = {Battery-less modular responsive neurostimulator for prediction and
                  abortion of epileptic seizures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1298--1301},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527486},
  doi          = {10.1109/ISCAS.2016.7527486},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KassiriSSVG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KaurS16,
  author       = {Amandeep Kaur and
                  Mukul Sarkar},
  title        = {A low power low latency comparator for ramp {ADC} in {CMOS} imagers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1466--1469},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527534},
  doi          = {10.1109/ISCAS.2016.7527534},
  timestamp    = {Fri, 26 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/KaurS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KellyL16,
  author       = {Brandon M. Kelly and
                  David W. Graham Lane},
  title        = {An asynchronous {ADC} with reconfigurable analog pre-processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1062--1065},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527427},
  doi          = {10.1109/ISCAS.2016.7527427},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KellyL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KennedyMHL16,
  author       = {Michael Peter Kennedy and
                  Hongjia Mo and
                  Zhen Huang and
                  Joao Paulo Lana},
  title        = {A method to quantify the dependence of spur heights on offset current
                  in a {CP-PLL}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1658--1661},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538885},
  doi          = {10.1109/ISCAS.2016.7538885},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KennedyMHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KermaniRA16,
  author       = {Mehran Mozaffari Kermani and
                  Rajkumar Ramadoss and
                  Reza Azarderakhsh},
  title        = {Efficient error detection architectures for {CORDIC} through recomputing
                  with encoded operands},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2154--2157},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539007},
  doi          = {10.1109/ISCAS.2016.7539007},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KermaniRA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhalifaZLE16,
  author       = {Adam Khalifa and
                  Jie Zhang and
                  Martina Leistner and
                  Ralph Etienne{-}Cummings},
  title        = {A compact, low-power, fully analog implantable microstimulator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2435--2438},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539084},
  doi          = {10.1109/ISCAS.2016.7539084},
  timestamp    = {Fri, 19 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhalifaZLE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhanR16,
  author       = {Musharrat Khan and
                  Jacqueline E. Rice},
  title        = {Ternary max-min algebra for representation of reversible logic functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1670--1673},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538888},
  doi          = {10.1109/ISCAS.2016.7538888},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhanR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhanR16a,
  author       = {Musharrat Khan and
                  Jacqueline E. Rice},
  title        = {Synthesis of reversible logic functions using ternary Max-Min algebra},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1674--1677},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538889},
  doi          = {10.1109/ISCAS.2016.7538889},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhanR16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhanR16b,
  author       = {Mozammel H. A. Khan and
                  Jacqueline E. Rice},
  title        = {Improved synthesis of reversible sequential circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2302--2305},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539044},
  doi          = {10.1109/ISCAS.2016.7539044},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhanR16b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhelifiMS16,
  author       = {Mounir Khelifi and
                  Daniel Massicotte and
                  Yvon Savaria},
  title        = {Towards efficient and concurrent FFTs implementation on Intel Xeon/MIC
                  clusters for {LTE} and {HPC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2611--2614},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539128},
  doi          = {10.1109/ISCAS.2016.7539128},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhelifiMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KhoramiDA16,
  author       = {Ata Khorami and
                  Mahmood Baraani Dastjerdi and
                  Ali Fotowat{-}Ahmadi},
  title        = {A low-power high-speed comparator for analog to digital converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2010--2013},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538971},
  doi          = {10.1109/ISCAS.2016.7538971},
  timestamp    = {Sat, 18 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KhoramiDA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimAKNCSKH16,
  author       = {Sihwan Kim and
                  Farhan Adil and
                  Scott Koziol and
                  Stephen Nease and
                  Michelle Collins and
                  Sahil Shah and
                  Matt Kagle and
                  Jennifer Hasler},
  title        = {SoC {FPAA} IC, PCB, and tool demonstration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1440},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527523},
  doi          = {10.1109/ISCAS.2016.7527523},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimAKNCSKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimBCY16,
  author       = {Changhyeon Kim and
                  Kyeongryeol Bong and
                  Sungpill Choi and
                  Hoi{-}Jun Yoo},
  title        = {A 43.7 mW 94 fps {CMOS} image sensor-based stereo matching accelerator
                  with focal-plane rectification and analog census transformation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1418--1421},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527516},
  doi          = {10.1109/ISCAS.2016.7527516},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimBCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimBHM16,
  author       = {Taewook Kim and
                  Troy Briant and
                  Changsok Han and
                  Nima Maghari},
  title        = {A nano-ampere 2nd order temperature-compensated {CMOS} current reference
                  using only single resistor for wide-temperature range applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {510--513},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527289},
  doi          = {10.1109/ISCAS.2016.7527289},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimBHM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimC0BK16,
  author       = {Minhye Kim and
                  Soochang Chae and
                  Young{-}Ju Kim and
                  Seung{-}Jun Bae and
                  Lee{-}Sup Kim},
  title        = {Crosstalk avoidance code for direct pass-through architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2475--2478},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539094},
  doi          = {10.1109/ISCAS.2016.7539094},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimC0BK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimKCLRY16,
  author       = {Kwantae Kim and
                  Minseo Kim and
                  Hyunwoo Cho and
                  Kwonjoon Lee and
                  Seung{-}Tak Ryu and
                  Hoi{-}Jun Yoo},
  title        = {A 54-{\(\mu\)}W fast-settling arterial pulse wave sensor for wrist
                  watch type system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1082--1085},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527432},
  doi          = {10.1109/ISCAS.2016.7527432},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimKCLRY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimKKL16,
  author       = {Moonsoo Kim and
                  Sunwoong Kim and
                  Jin{-}Sung Kim and
                  Hyuk{-}Jae Lee},
  title        = {Fixed-length Golomb-Rice coding by quantization level estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2683--2686},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539146},
  doi          = {10.1109/ISCAS.2016.7539146},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimKKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimWHY16,
  author       = {Byung{-}Su Kim and
                  Hyo{-}Sig Won and
                  Tae Hee Han and
                  Joon{-}Sung Yang},
  title        = {{AFSEM:} Advanced frequent subcircuit extraction method by graph mining
                  approach for optimized cell library developments},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {662--665},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527327},
  doi          = {10.1109/ISCAS.2016.7527327},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimWHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KiselevNL16,
  author       = {Ilya Kiselev and
                  Daniel Neil and
                  Shih{-}Chii Liu},
  title        = {Live demonstration: Event-driven deep neural network hardware system
                  for sensor fusion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {452},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527270},
  doi          = {10.1109/ISCAS.2016.7527270},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KiselevNL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KiselevNL16a,
  author       = {Ilya Kiselev and
                  Daniel Neil and
                  Shih{-}Chii Liu},
  title        = {Event-driven deep neural network hardware system for sensor fusion},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2495--2498},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539099},
  doi          = {10.1109/ISCAS.2016.7539099},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KiselevNL16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KitayamaTYT16,
  author       = {Ryosuke Kitayama and
                  Takashi Takenaka and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {Scalable and small-sized power analyzer design with signal-averaging
                  noise reduction for low-power IoT devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {978--981},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527406},
  doi          = {10.1109/ISCAS.2016.7527406},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KitayamaTYT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KnopfSAN16,
  author       = {George K. Knopf and
                  Dogan Sinar and
                  Anatoly Andrushchenko and
                  Suwas Nikumb},
  title        = {Flexible electrical circuits printed on polymers using graphene-cellulose
                  inks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {854--857},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527375},
  doi          = {10.1109/ISCAS.2016.7527375},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KnopfSAN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoYJKLMCK16,
  author       = {Junyoung Ko and
                  Younghwi Yang and
                  Seong{-}Ook Jung and
                  Jisu Kim and
                  Cheon An Lee and
                  Young{-}Sun Min and
                  Jin{-}Young Chun and
                  Moosung Kim},
  title        = {{WL} under-driving scheme with decremental step voltage and incremental
                  step time for high-capacity {NAND} flash memory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1022--1025},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527417},
  doi          = {10.1109/ISCAS.2016.7527417},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoYJKLMCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KouranyHI16,
  author       = {Taher Kourany and
                  Emad Hegazi and
                  Yehea Ismail},
  title        = {{TCG-SP:} An improved floorplan representation based on an efficient
                  hybrid of Transitive Closure Graph and Sequence Pair},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1934--1937},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538952},
  doi          = {10.1109/ISCAS.2016.7538952},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KouranyHI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KourkchiLA16,
  author       = {Hossein Kourkchi and
                  William E. Lynch and
                  M. Omair Ahmad},
  title        = {A measure for the missed error detection probability for optimizing
                  the forbidden symbol configuration in joint source-channel arithmetic
                  codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1742--1745},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538904},
  doi          = {10.1109/ISCAS.2016.7538904},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KourkchiLA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KoutsosKST16,
  author       = {Alexandros Koutsos and
                  Melpomeni Kalofonou and
                  Mohammadreza Sohbati and
                  Chris Toumazou},
  title        = {Epigenetic-IC: {A} fully integrated sensing platform for epigenetic
                  reaction monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527236},
  doi          = {10.1109/ISCAS.2016.7527236},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KoutsosKST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KrishnanF16,
  author       = {Shoba Krishnan and
                  Alan Fiedler},
  title        = {A scalable 7.0-Gb/s multi-lane {NRZ} transceiver with a 1/10th-rate
                  forwarded clock in 0.13um {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2330--2333},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539051},
  doi          = {10.1109/ISCAS.2016.7539051},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KrishnanF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuhlM16,
  author       = {Matthias Kuhl and
                  Yiannos Manoli},
  title        = {Area reduction techniques for deep-brain probes with electronic depth
                  control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1834--1837},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538927},
  doi          = {10.1109/ISCAS.2016.7538927},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuhlM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KulkarniJSM16,
  author       = {Amey M. Kulkarni and
                  Ali Jafari and
                  Chris Sagedy and
                  Tinoosh Mohsenin},
  title        = {Sketching-based high-performance biomedical big data processing accelerator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1138--1141},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527446},
  doi          = {10.1109/ISCAS.2016.7527446},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KulkarniJSM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarC16,
  author       = {Sachin Kumar and
                  Chip{-}Hong Chang},
  title        = {A VLSI-efficient signed magnitude comparator for \{ 2n-1, 2n, 2n +2n+1-1\}
                  {RNS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1966--1969},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538960},
  doi          = {10.1109/ISCAS.2016.7538960},
  timestamp    = {Fri, 04 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KumarSA16,
  author       = {B. K. Shreyamsha Kumar and
                  M. N. S. Swamy and
                  M. Omair Ahmad},
  title        = {Weighted residual minimization in {PCA} subspace for visual tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {986--989},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527408},
  doi          = {10.1109/ISCAS.2016.7527408},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KumarSA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KuttappaHSM16,
  author       = {Ragh Kuttappa and
                  Houman Homayoun and
                  Hassan Salmani and
                  Hamid Mahmoodi},
  title        = {Comparative analysis of robustness of spin transfer torque based look
                  up tables under process variations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {606--609},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527313},
  doi          = {10.1109/ISCAS.2016.7527313},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KuttappaHSM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Laflamme-MayerR16,
  author       = {Nicolas Laflamme{-}Mayer and
                  Mathieu Renaud},
  title        = {A novel high-voltage 5.5 {V} resilient, floating and full-scale 3.3
                  {V} pulse-triggered level-shifter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2242--2245},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539029},
  doi          = {10.1109/ISCAS.2016.7539029},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Laflamme-MayerR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaiC16,
  author       = {Yeong{-}Kang Lai and
                  Yu{-}Chieh Chung},
  title        = {Scalable rasterizer architecture for 3D graphics system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1574--1577},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538864},
  doi          = {10.1109/ISCAS.2016.7538864},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaiC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaiHFS16,
  author       = {Yeong{-}Kang Lai and
                  Chu{-}Yin Ho and
                  Benjamin Freiling and
                  Thomas Schumann},
  title        = {Block based depth map estimation algorithm for 2D-to-3D conversion
                  on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {622--625},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527317},
  doi          = {10.1109/ISCAS.2016.7527317},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaiHFS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LaihoLPK16,
  author       = {Mika Laiho and
                  Eero Lehtonen and
                  Jussi H. Poikonen and
                  Pentti Kanerva},
  title        = {Associative memory with occurrence statistics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2278--2281},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539038},
  doi          = {10.1109/ISCAS.2016.7539038},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LaihoLPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LallementMG16,
  author       = {Guenole Lallement and
                  Nicolas Moser and
                  Pantelis Georgiou},
  title        = {Bio-inspired pH sensing using ion sensitive field effect transistors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2835--2838},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539183},
  doi          = {10.1109/ISCAS.2016.7539183},
  timestamp    = {Fri, 19 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LallementMG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LanXZLCZ16,
  author       = {Xiaosong Lan and
                  Zhiwei Xiong and
                  Wei Zhang and
                  Shuxiao Li and
                  Hongxing Chang and
                  Wenjun Zeng},
  title        = {A super-fast online face tracking system for video surveillance},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1998--2001},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538968},
  doi          = {10.1109/ISCAS.2016.7538968},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LanXZLCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LanZGY16,
  author       = {Feng Lan and
                  Guangtao Zhai and
                  Zhongpai Gao and
                  Xiaokang Yang},
  title        = {Live demonstration: Screen piracy protection using saturation laser
                  attack and {TPVM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2376},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539067},
  doi          = {10.1109/ISCAS.2016.7539067},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LanZGY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Lee16,
  author       = {Edward K. F. Lee},
  title        = {Passive rectifier/regulator combo circuits with embedded bandgap voltage
                  reference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1278--1281},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527481},
  doi          = {10.1109/ISCAS.2016.7527481},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Lee16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeHWCSL16,
  author       = {Gwo Giun Chris Lee and
                  Shi{-}Yu Hung and
                  Tai{-}Ping Wang and
                  Chun{-}Fu (Richard) Chen and
                  Chi{-}Kuang Sun and
                  Yi{-}Hua Liao},
  title        = {Efficient nuclei segmentation based on spectral graph partitioning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2723--2726},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539155},
  doi          = {10.1109/ISCAS.2016.7539155},
  timestamp    = {Mon, 23 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeHWCSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeHY16,
  author       = {Jaehyuk Lee and
                  Unsoo Ha and
                  Hoi{-}Jun Yoo},
  title        = {30-fps {SNR} equalized electrical impedance tomography {IC} with fast-settle
                  filter and adaptive current control for lung monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527182},
  doi          = {10.1109/ISCAS.2016.7527182},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeJCY16,
  author       = {Kyoung{-}Rog Lee and
                  Jaeeun Jang and
                  Hyunwoo Cho and
                  Hoi{-}Jun Yoo},
  title        = {A 635 {\(\mu\)}W non-contact compensation {IC} for body channel communication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1406--1409},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527513},
  doi          = {10.1109/ISCAS.2016.7527513},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeJCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeKCCKJ16,
  author       = {Jinhyung Lee and
                  Sungwoo Kim and
                  Min{-}Seong Choo and
                  Sung{-}Yong Cho and
                  Han{-}Gon Ko and
                  Deog{-}Kyoon Jeong},
  title        = {A theoretical analysis of phase shift in pulse injection-locked oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1662--1665},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538886},
  doi          = {10.1109/ISCAS.2016.7538886},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeKCCKJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeKH16,
  author       = {Pei{-}Chen Lee and
                  Chen{-}Che Kao and
                  Chih{-}Cheng Hsieh},
  title        = {A 0.4V 1.94fJ/conversion-step 10b 750kS/s {SAR} {ADC} with input-range-adaptive
                  switching},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1042--1045},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527422},
  doi          = {10.1109/ISCAS.2016.7527422},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeKPHK16,
  author       = {Jae{-}Whan Lee and
                  Mino Kim and
                  Jihwan Park and
                  Gi{-}Moon Hong and
                  Suhwan Kim},
  title        = {Phase shift keying demodulator with decision feedback phase-locked
                  loop},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1550--1553},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538858},
  doi          = {10.1109/ISCAS.2016.7538858},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeKPHK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeLCY16,
  author       = {Jihee Lee and
                  Yongsu Lee and
                  Hyunwoo Cho and
                  Hoi{-}Jun Yoo},
  title        = {A 48 {\(\mu\)}W, 8.88 {\texttimes} 10-3 {W/W} batteryless energy harvesting
                  {BCC} identification system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1806--1809},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538920},
  doi          = {10.1109/ISCAS.2016.7538920},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeLCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeSKY16,
  author       = {Jinsu Lee and
                  Dongjoo Shin and
                  Youchang Kim and
                  Hoi{-}Jun Yoo},
  title        = {A 17.5 fJ/bit energy-efficient analog {SRAM} for mixed-signal processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1010--1013},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527414},
  doi          = {10.1109/ISCAS.2016.7527414},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeSKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeYK16,
  author       = {Gwang{-}Ho Lee and
                  Hye{-}Yeon Yun and
                  Tae{-}Hwan Kim},
  title        = {A near-optimal detector for spatial modulation {MIMO} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1890--1893},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538941},
  doi          = {10.1109/ISCAS.2016.7538941},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeYK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LeeYY16,
  author       = {Taehee Lee and
                  JongWon Yi and
                  Joon{-}Sung Yang},
  title        = {Multi-bit flip-flop generation considering multi-corner multi-mode
                  timing constraint},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {782--785},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527357},
  doi          = {10.1109/ISCAS.2016.7527357},
  timestamp    = {Sat, 03 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LeeYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Leon-SalasFFML16,
  author       = {Walter D. Leon{-}Salas and
                  Thomas Fischer and
                  Xiaozhe Fan and
                  Golsa Moayeri and
                  Shaocheng Luo},
  title        = {A 64{\texttimes}64 image energy harvesting configurable image sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1914--1917},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538947},
  doi          = {10.1109/ISCAS.2016.7538947},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Leon-SalasFFML16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiFZ16,
  author       = {Jin Li and
                  Da{-}Zheng Feng and
                  Wei Xing Zheng},
  title        = {An efficient soft decision-directed algorithm for blind equalization
                  of 4-QAM systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1726--1729},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538901},
  doi          = {10.1109/ISCAS.2016.7538901},
  timestamp    = {Mon, 21 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiFZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiGM16,
  author       = {Lisong Li and
                  Yuan Gao and
                  Philip K. T. Mok},
  title        = {A more accurate steady state analysis of zero-voltage switching quasi-resonant
                  converters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1606--1609},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538872},
  doi          = {10.1109/ISCAS.2016.7538872},
  timestamp    = {Tue, 12 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiGM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiGXTHZZ16,
  author       = {Shihao Li and
                  Weixin Gai and
                  Xiao Xiang and
                  Liangxiao Tang and
                  Jichao Huang and
                  Tong Zhao and
                  Xiaoting Zhi},
  title        = {A 1.27mW 20Gbps 1: 16 {DEMUX} with a symmetrical-edge-delay sense
                  amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2419--2422},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539080},
  doi          = {10.1109/ISCAS.2016.7539080},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiGXTHZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHC16,
  author       = {Yan Li and
                  Jianhao Hu and
                  Jie Chen},
  title        = {Implementation of efficient parallel discrete cosine transform using
                  stochastic logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {706--709},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527338},
  doi          = {10.1109/ISCAS.2016.7527338},
  timestamp    = {Fri, 09 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiHLC16,
  author       = {Yan Li and
                  Jianhao Hu and
                  Hao Lu and
                  Jie Chen},
  title        = {Area-efficient partial-clique-energy {MRF} pair design with ultra-low
                  supply voltage},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527220},
  doi          = {10.1109/ISCAS.2016.7527220},
  timestamp    = {Fri, 09 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiHLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiKG16,
  author       = {Peter Zhi Xuan Li and
                  Hossein Kassiri and
                  Roman Genov},
  title        = {A compact low-power {VLSI} architecture for real-time sleep stage
                  classification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1314--1317},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527490},
  doi          = {10.1109/ISCAS.2016.7527490},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiKL16,
  author       = {Haoliang Li and
                  Alex C. Kot and
                  Leida Li},
  title        = {Color space identification from single images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1774--1777},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538912},
  doi          = {10.1109/ISCAS.2016.7538912},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiL16,
  author       = {Tingtian Li and
                  Daniel Pak{-}Kong Lun},
  title        = {Super-resolution imaging with occlusion removal using a camera array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2487--2490},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539097},
  doi          = {10.1109/ISCAS.2016.7539097},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiLMLCLW16,
  author       = {Sicheng Li and
                  Xiaoxiao Liu and
                  Mengjie Mao and
                  Hai (Helen) Li and
                  Yiran Chen and
                  Boxun Li and
                  Yu Wang},
  title        = {Heterogeneous systems with reconfigurable neuromorphic computing accelerators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527186},
  doi          = {10.1109/ISCAS.2016.7527186},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiLMLCLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiMRBGTS16,
  author       = {Dan Li and
                  Gabriele Minoia and
                  Matteo Repossi and
                  Daniele Baldi and
                  Andrea Ghilioni and
                  Enrico Temporiti and
                  Francesco Svelto},
  title        = {A 25Gb/s 3D-integrated silicon photonics receiver in 65nm {CMOS} and
                  {PIC25G} for 100GbE optical links},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2334--2337},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539052},
  doi          = {10.1109/ISCAS.2016.7539052},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiMRBGTS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiOSF16,
  author       = {Nan Li and
                  Morgan Osborn and
                  Mohamad Sawan and
                  Liang Fang},
  title        = {Using template matching and compressed sensing techniques to enhance
                  performance of neural spike detection and data compression systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1962--1965},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538959},
  doi          = {10.1109/ISCAS.2016.7538959},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiOSF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiR16,
  author       = {Yan Li and
                  Gordon W. Roberts},
  title        = {Design of high-order type-II delay-locked loops using a Gaussian transfer
                  function approach},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1786--1789},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538915},
  doi          = {10.1109/ISCAS.2016.7538915},
  timestamp    = {Wed, 06 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Liang16,
  author       = {Junrui Liang},
  title        = {Design of class-E power amplifier with nonlinear components by using
                  extended impedance method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {437--440},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527264},
  doi          = {10.1109/ISCAS.2016.7527264},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Liang16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangE16,
  author       = {Jinghang Liang and
                  Duncan G. Elliott},
  title        = {Coresidual alias-locked loops},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527157},
  doi          = {10.1109/ISCAS.2016.7527157},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiangLNM16,
  author       = {Jifu Liang and
                  Shixiong Li and
                  Ali Nikoofard and
                  Soumyajit Mandal},
  title        = {A low-power receiver for simultaneous electrocardiogram and respiration
                  rate detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2455--2458},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539089},
  doi          = {10.1109/ISCAS.2016.7539089},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiangLNM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LimRYMM16,
  author       = {Chee{-}Cheow Lim and
                  Harikrishnan Ramiah and
                  Jun Yin and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {A high-Q spiral inductor with dual-layer patterned floating shield
                  in a class-B {VCO} achieving a 190.5-dBc/Hz FoM},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2759--2762},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539164},
  doi          = {10.1109/ISCAS.2016.7539164},
  timestamp    = {Tue, 20 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LimRYMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCSLJC16,
  author       = {Tong Lin and
                  Kwen{-}Siong Chong and
                  Wei Shu and
                  Ne Kyaw Zwa Lwin and
                  Jize Jiang and
                  Joseph S. Chang},
  title        = {Experimental investigation into radiation-hardening-by-design {(RHBD)}
                  flip-flop designs in a 65nm {CMOS} process},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {966--969},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527403},
  doi          = {10.1109/ISCAS.2016.7527403},
  timestamp    = {Mon, 27 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCSLJC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCVG16,
  author       = {Yi{-}Ting Lin and
                  Ting Chou and
                  M. S. Vinay and
                  Jiun{-}In Guo},
  title        = {Algorithm derivation and its embedded system realization of speed
                  limit detection for multiple countries},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2555--2558},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539114},
  doi          = {10.1109/ISCAS.2016.7539114},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCVG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinCZWP16,
  author       = {Shibang Lin and
                  Yuan Cao and
                  Xiaojin Zhao and
                  Xiao Wang and
                  Xiaofang Pan},
  title        = {A compact ultra-low power physical unclonable function based on time-domain
                  current difference measurement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527224},
  doi          = {10.1109/ISCAS.2016.7527224},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinCZWP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinHYX16,
  author       = {Yinyin Lin and
                  Xinyi Hu and
                  Jianguo Yang and
                  Xiaoyong Xue},
  title        = {A compact pico-second in-situ sensor using programmable ring oscillators
                  for advanced on chip variation characterization in 28nm {HKMG}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527158},
  doi          = {10.1109/ISCAS.2016.7527158},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinHYX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinLCL16,
  author       = {Shu{-}Hsuan Lin and
                  Fu{-}To Lin and
                  Nai{-}Chen Cheng and
                  Yu{-}Te Liao},
  title        = {A 0.8V, 43.5{\(\mu\)}W {ECG} signal acquisition {IC} with a referenceless
                  time-to-digital converter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1066--1069},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527428},
  doi          = {10.1109/ISCAS.2016.7527428},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LinLCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LinS0XYW16,
  author       = {Jun Lin and
                  Jin Sha and
                  Li Li and
                  Chenrong Xiong and
                  Zhiyuan Yan and
                  Zhongfeng Wang},
  title        = {A high throughput belief propagation decoder architecture for polar
                  codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {153--156},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527193},
  doi          = {10.1109/ISCAS.2016.7527193},
  timestamp    = {Wed, 15 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LinS0XYW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuAKKS16,
  author       = {Zhenyu Liu and
                  Rafaqat Ali and
                  Imran Khan and
                  Ibrar Ali Khan and
                  Abrar Ali Shah},
  title        = {Performance comparison of Energy and Cyclostationary Spectrum detection
                  in cooperative cognitive radios network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1734--1737},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538903},
  doi          = {10.1109/ISCAS.2016.7538903},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuAKKS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuCC16,
  author       = {Zhiqiang Liu and
                  Shravan K. Chaganti and
                  Degang Chen},
  title        = {Toward complete analog fault coverage with minimal observation points
                  using a fault propagation graph},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1282--1285},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527482},
  doi          = {10.1109/ISCAS.2016.7527482},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuGZZL16,
  author       = {Min Liu and
                  Ke Gu and
                  Guangtao Zhai and
                  Jiantao Zhou and
                  Weisi Lin},
  title        = {Quality assessment of contrast-altered images},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2214--2217},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539022},
  doi          = {10.1109/ISCAS.2016.7539022},
  timestamp    = {Fri, 24 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuGZZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuHMMWM16,
  author       = {Junxiu Liu and
                  Jim Harkin and
                  Liam P. Maguire and
                  Liam McDaid and
                  John J. Wade and
                  Malachy McElholm},
  title        = {Self-repairing hardware with astrocyte-neuron networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1350--1353},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527499},
  doi          = {10.1109/ISCAS.2016.7527499},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuHMMWM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLCZLT16,
  author       = {Dahe Liu and
                  Wengao Lu and
                  Zhongjian Chen and
                  Yacong Zhang and
                  Shuyu Lei and
                  Guo Tan},
  title        = {A 14-bit differential-ramp single-slope column-level {ADC} for 640{\texttimes}512
                  uncooled infrared imager},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1922--1925},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538949},
  doi          = {10.1109/ISCAS.2016.7538949},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLCZLT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuLLYZC16,
  author       = {Benyuanyi Liu and
                  Wengao Lu and
                  Dahe Liu and
                  Shanzhe Yu and
                  Yacong Zhang and
                  Zhongjian Chen},
  title        = {A novel low-power readout structure with 1/2 sub-scan time-delay-integration
                  and DLL-based {A/D} for 1024{\texttimes}6 infrared focal plane array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2519--2522},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539105},
  doi          = {10.1109/ISCAS.2016.7539105},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuLLYZC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuMDNLD16,
  author       = {Hongjie Liu and
                  Diederik Paul Moeys and
                  Gautham P. Das and
                  Daniel Neil and
                  Shih{-}Chii Liu and
                  Tobi Delbr{\"{u}}ck},
  title        = {Combined frame- and event-based detection and tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2511--2514},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539103},
  doi          = {10.1109/ISCAS.2016.7539103},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuMDNLD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSCL16,
  author       = {Hsi{-}En Liu and
                  Chun{-}Jen Su and
                  Chih{-}Kang Cheng and
                  Wen{-}Kuen Liu},
  title        = {Design and modeling of PLL-based clock and data recovery circuits
                  with periodically embedded clock encoding for intra-panel interfaces},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2234--2237},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539027},
  doi          = {10.1109/ISCAS.2016.7539027},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSLYJJ16,
  author       = {Chun{-}Yi Liu and
                  Meng{-}Siou Sie and
                  Edmund Wen Jen Leong and
                  Yu{-}Cheng Yao and
                  Chih{-}Wei Jen and
                  Shyh{-}Jye Jou},
  title        = {A memory access reordering polyphase network for 60 GHz {FBMC-OQAM}
                  baseband receiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2655--2658},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539139},
  doi          = {10.1109/ISCAS.2016.7539139},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSLYJJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuSST16,
  author       = {Weicheng Liu and
                  Emre Salman and
                  Can Sitik and
                  Baris Taskin},
  title        = {Exploiting useful skew in gated low voltage clock trees},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2595--2598},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539124},
  doi          = {10.1109/ISCAS.2016.7539124},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuSST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuVP16,
  author       = {Chun{-}Lin Liu and
                  P. P. Vaidyanathan and
                  Piya Pal},
  title        = {Coprime coarray interpolation for {DOA} estimation via nuclear norm
                  minimization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2639--2642},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539135},
  doi          = {10.1109/ISCAS.2016.7539135},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuVP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuW16,
  author       = {Bingxin Liu and
                  Huapeng Wu},
  title        = {Efficient multiplication architecture over truncated polynomial ring
                  for NTRUEncrypt system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1174--1177},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527455},
  doi          = {10.1109/ISCAS.2016.7527455},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYCW16,
  author       = {Zhenyu Liu and
                  Xianyu Yu and
                  Shaolin Chen and
                  Dongsheng Wang},
  title        = {{CNN} oriented fast {HEVC} intra {CU} mode decision},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2270--2273},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539036},
  doi          = {10.1109/ISCAS.2016.7539036},
  timestamp    = {Thu, 03 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYCW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYLCWB16,
  author       = {Beiye Liu and
                  Chaofei Yang and
                  Hai Li and
                  Yiran Chen and
                  Qing Wu and
                  Mark Barnell},
  title        = {Security of neuromorphic systems: Challenges and solutions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1326--1329},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527493},
  doi          = {10.1109/ISCAS.2016.7527493},
  timestamp    = {Fri, 05 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYLCWB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuYZC16,
  author       = {Jing Liu and
                  Xiaokang Yang and
                  Guangtao Zhai and
                  Chang Wen Chen},
  title        = {Visual saliency model based on minimum description length},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {990--993},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527409},
  doi          = {10.1109/ISCAS.2016.7527409},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuYZC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiuZLZ16,
  author       = {Yutao Liu and
                  Guangtao Zhai and
                  Xianming Liu and
                  Debin Zhao},
  title        = {Perceptual image quality assessment combining free-energy principle
                  and sparse representation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1586--1589},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538867},
  doi          = {10.1109/ISCAS.2016.7538867},
  timestamp    = {Thu, 07 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LiuZLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LodhiAKHAH16,
  author       = {Faiq Khalid Lodhi and
                  Imran Hafeez Abbasi and
                  Faiq Khalid and
                  Osman Hasan and
                  Falah R. Awwad and
                  Syed Rafay Hasan},
  title        = {A self-learning framework to detect the intruded integrated circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1702--1705},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538895},
  doi          = {10.1109/ISCAS.2016.7538895},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LodhiAKHAH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LogarasKM16,
  author       = {Evangelos Logaras and
                  Evangelos Koutsouradis and
                  Elias S. Manolakos},
  title        = {Python facilitates the rapid prototyping and hw/sw verification of
                  processor centric SoCs for FPGAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1214--1217},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527465},
  doi          = {10.1109/ISCAS.2016.7527465},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LogarasKM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LotfyGA16,
  author       = {Amr Lotfy and
                  Maged Ghoneima and
                  Mohamed Abdel{-}Moneum},
  title        = {A fast locking hybrid {TDC-BB} {ADPLL} utilizing proportional derivative
                  digital loop filter and power gated {DCO}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1646--1649},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538882},
  doi          = {10.1109/ISCAS.2016.7538882},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LotfyGA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuC16,
  author       = {Lin{-}Yu Lu and
                  Chia{-}Chi Chu},
  title        = {Consensus-based distributed droop control of VSGs for isolated {AC}
                  micro-grids by ADMMs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2138--2141},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539003},
  doi          = {10.1109/ISCAS.2016.7539003},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuH16,
  author       = {Wu{-}Sheng Lu and
                  Takao Hinamoto},
  title        = {A unified approach to the design of interpolated and frequency-response-masking
                  {FIR} filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2174--2177},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539012},
  doi          = {10.1109/ISCAS.2016.7539012},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuWCJZ16,
  author       = {Guangyi Lu and
                  Yuan Wang and
                  Jian Cao and
                  Song Jia and
                  Xing Zhang},
  title        = {A novel low-leakage power-rail {ESD} clamp circuit with adjustable
                  triggering voltage and superior false-triggering immunity for nanoscale
                  applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {265--268},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527221},
  doi          = {10.1109/ISCAS.2016.7527221},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuWCJZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LugliAAABCCFLES16,
  author       = {Paolo Lugli and
                  Alaa Abdellah and
                  Ahmed Abdelhalim and
                  Andreas Albrecht and
                  Markus Becherer and
                  Engin Cagatay and
                  Simone Colasanti and
                  Aniello Falco and
                  Florin C. Loghin and
                  Sara El{-}Molla and
                  Jos{\'{e}} F. Salmer{\'{o}}n and
                  Almudena Rivadeneyra},
  title        = {Fabrication, characterization and modeling of flexible electronic
                  components based on {CNT} networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1362--1365},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527502},
  doi          = {10.1109/ISCAS.2016.7527502},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LugliAAABCCFLES16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoCQL16,
  author       = {Yanhui Luo and
                  Aijiao Cui and
                  Gang Qu and
                  Huawei Li},
  title        = {A new countermeasure against scan-based side-channel attacks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1722--1725},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538900},
  doi          = {10.1109/ISCAS.2016.7538900},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoCQL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoLGZSC16,
  author       = {Tao Luo and
                  Luyang Li and
                  Vishal Ghorband and
                  Yuanda Zhan and
                  Hongjiang Song and
                  Jennifer Blain Christen},
  title        = {A portable impedance-based electrochemical measurement device},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2891--2894},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539197},
  doi          = {10.1109/ISCAS.2016.7539197},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoLGZSC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LuoWZM016,
  author       = {Falei Luo and
                  Shanshe Wang and
                  Nan Zhang and
                  Siwei Ma and
                  Wen Gao},
  title        = {{GPU} based sample adaptive offset parameter decision and perceptual
                  optimization for {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2687--2690},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539147},
  doi          = {10.1109/ISCAS.2016.7539147},
  timestamp    = {Sun, 01 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LuoWZM016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LupoCGWM16,
  author       = {Nicola Lupo and
                  Cristiano Calligaro and
                  Roberto Gastaldi and
                  Christian Wenger and
                  Franco Maloberti},
  title        = {Design of resistive non-volatile memories for rad-hard applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1594--1597},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538869},
  doi          = {10.1109/ISCAS.2016.7538869},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/LupoCGWM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaGT16,
  author       = {Dora Ma and
                  Pantelis Georgiou and
                  Chris Toumazou},
  title        = {An ISFET-based switched current {DNA} integrator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527237},
  doi          = {10.1109/ISCAS.2016.7527237},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaGT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaLH16,
  author       = {Hao Ma and
                  Lutz Lampe and
                  Steve Hranilovic},
  title        = {Subcarrier allocation in hybrid visible light and power line communication
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2819--2822},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539179},
  doi          = {10.1109/ISCAS.2016.7539179},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaZLZHB16,
  author       = {Ning Ma and
                  Zhuo Zou and
                  Zhonghai Lu and
                  Li{-}Rong Zheng and
                  Yuxiang Huan and
                  Stefan Blixt},
  title        = {A 101.4 {GOPS/W} reconfigurable and scalable control-centric embedded
                  processor for domain-specific applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1746--1749},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538905},
  doi          = {10.1109/ISCAS.2016.7538905},
  timestamp    = {Tue, 02 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaZLZHB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaZZ16,
  author       = {Liang Ma and
                  Jianxing Zhuang and
                  Jianyi Zhou},
  title        = {A cross-coupled substrate integrated waveguide filter for 28 GHz millimeter
                  wave communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {814--817},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527365},
  doi          = {10.1109/ISCAS.2016.7527365},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaghsoudlooRSG16,
  author       = {Esmaeel Maghsoudloo and
                  Masoud Rezaei and
                  Mohamad Sawan and
                  Benoit Gosselin},
  title        = {A new charge balancing scheme for electrical microstimulators based
                  on modulated anodic stimulation pulse width},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2443--2446},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539086},
  doi          = {10.1109/ISCAS.2016.7539086},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaghsoudlooRSG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MagnoSMFTB16,
  author       = {Michele Magno and
                  Giovanni A. Salvatore and
                  Severin Mutter and
                  Waleed Farrukh and
                  Gerhard Tr{\"{o}}ster and
                  Luca Benini},
  title        = {Autonomous smartwatch with flexible sensors for accurate and continuous
                  mapping of skin temperature},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {337--340},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527239},
  doi          = {10.1109/ISCAS.2016.7527239},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MagnoSMFTB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MahalanabisSCSB16,
  author       = {Debayan Mahalanabis and
                  M. Sivaraj and
                  W. Chen and
                  S. Shah and
                  Hugh J. Barnaby and
                  Michael N. Kozicki and
                  Jennifer Blain Christen and
                  Sarma B. K. Vrudhula},
  title        = {Demonstration of spike timing dependent plasticity in {CBRAM} devices
                  with silicon neurons},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2314--2317},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539047},
  doi          = {10.1109/ISCAS.2016.7539047},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MahalanabisSCSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MallavarapuCBA16,
  author       = {Rama Srikanth Mallavarapu and
                  Pandu Kumar Chinnamalliah and
                  Ajit S. Bopardikar and
                  TaeJin Ahn},
  title        = {Context based compression of {FASTQ} data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527179},
  doi          = {10.1109/ISCAS.2016.7527179},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MallavarapuCBA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MamunGHIM16,
  author       = {Khandaker A. Al Mamun and
                  Jinlong Gu and
                  Dale K. Hensley and
                  Syed K. Islam and
                  Nicole McFarlane},
  title        = {In tegration of carbon nanostructures on {CMOS} for lab-on-a-chip
                  sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2879--2882},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539194},
  doi          = {10.1109/ISCAS.2016.7539194},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MamunGHIM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MandouhW16,
  author       = {Eman El Mandouh and
                  Amr G. Wassal},
  title        = {Automatic generation of functional coverage models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {754--757},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527350},
  doi          = {10.1109/ISCAS.2016.7527350},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MandouhW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MangiaPRS16,
  author       = {Mauro Mangia and
                  Fabio Pareschi and
                  Riccardo Rovatti and
                  Gianluca Setti},
  title        = {Security analysis of rakeness-based compressed sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {241--244},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527215},
  doi          = {10.1109/ISCAS.2016.7527215},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MangiaPRS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MangiaPRS16a,
  author       = {Mauro Mangia and
                  Fabio Pareschi and
                  Riccardo Rovatti and
                  Gianluca Setti},
  title        = {Implicit notch filtering in compressed sensing by spectral shaping
                  of sensing matrix},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {257--260},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527219},
  doi          = {10.1109/ISCAS.2016.7527219},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MangiaPRS16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ManwarC16,
  author       = {Rayyan Manwar and
                  Sazzadur Chowdhury},
  title        = {Characterization of adhesive wafer bonded CMUTs realized from {BCB}
                  based sealed cavity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2531--2534},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539108},
  doi          = {10.1109/ISCAS.2016.7539108},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ManwarC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoRXT16,
  author       = {Yajun Mao and
                  Zhihai Rong and
                  Xiongrui Xu and
                  Chi Kong Tse},
  title        = {The influence of extortion diversity on the evolution of cooperation
                  in scale-free networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {886--889},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527383},
  doi          = {10.1109/ISCAS.2016.7527383},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoRXT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaoZLY16,
  author       = {Jingna Mao and
                  Bo Zhao and
                  Yong Lian and
                  Huazhong Yang},
  title        = {A self-adaptive body channel communication scheme for backward path
                  loss reduction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2034--2037},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538977},
  doi          = {10.1109/ISCAS.2016.7538977},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaoZLY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarottaMKN16,
  author       = {Valerio Marotta and
                  Giuseppe Macera and
                  Michael Peter Kennedy and
                  Ettore Napoli},
  title        = {Comparative analysis of differential colpitts and cross-coupled VCOs
                  in 180 nm Si-Ge {HBT} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1650--1653},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538883},
  doi          = {10.1109/ISCAS.2016.7538883},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarottaMKN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MartelMCD16,
  author       = {Julien N. P. Martel and
                  Lorenz K. M{\"{u}}ller and
                  Stephen J. Carey and
                  Piotr Dudek},
  title        = {Parallel {HDR} tone mapping and auto-focus on a cellular processor
                  array vision chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1430--1433},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527519},
  doi          = {10.1109/ISCAS.2016.7527519},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MartelMCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarteliusSLNRKR16,
  author       = {Mikko Martelius and
                  Kari Stadius and
                  Jerry Lemberg and
                  Tero Nieminen and
                  Enrico Roverato and
                  Marko Kosunen and
                  Jussi Ryyn{\"{a}}nen and
                  Lauri Anttila and
                  Mikko Valkama},
  title        = {Class {D} {CMOS} power amplifier with on/off logic for a multilevel
                  outphasing transmitter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {710--713},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527339},
  doi          = {10.1109/ISCAS.2016.7527339},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MarteliusSLNRKR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarthiHMM16,
  author       = {Poorna Marthi and
                  Nazir Hossain and
                  Jean{-}Fran{\c{c}}ois Millithaler and
                  Martin Margala},
  title        = {A new level sensitive {D} Latch using Ballistic nanodevices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1882--1885},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538939},
  doi          = {10.1109/ISCAS.2016.7538939},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarthiHMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MarukameS16,
  author       = {Takao Marukame and
                  Alexandre Schmid},
  title        = {Bit-flipping {LDPC} under noise conditions and its application to
                  physically unclonable functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1114--1117},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527440},
  doi          = {10.1109/ISCAS.2016.7527440},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MarukameS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MathurGDPBIB16,
  author       = {Neha Mathur and
                  Ivan Glesk and
                  Alan Davidson and
                  Greig Paul and
                  Jamie Banford and
                  James Irvine and
                  Arjan Buis},
  title        = {Wearable mobile sensor and communication platform for the in-situ
                  monitoring of lower limb health in amputees},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1366--1369},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527503},
  doi          = {10.1109/ISCAS.2016.7527503},
  timestamp    = {Fri, 03 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MathurGDPBIB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsudairaCOIA16,
  author       = {Nobuaki Matsudaira and
                  Chao Chen and
                  Shigeki Ohtsuka and
                  Takasuke Ito and
                  Hironobu Akita},
  title        = {An over-sampling adaptive pre-emphasis technique for multi-drop bus
                  communication system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2338--2341},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539053},
  doi          = {10.1109/ISCAS.2016.7539053},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsudairaCOIA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatsunoKSIFI16,
  author       = {Junya Matsuno and
                  Daisuke Kurose and
                  Tomohiko Sugimoto and
                  Hirotomo Ishii and
                  Masanori Furuta and
                  Tetsuro Itakura},
  title        = {A power-scalable zero-crossing-based amplifier using inverter-based
                  zero-crossing detector with {CMFB}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {482--485},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527282},
  doi          = {10.1109/ISCAS.2016.7527282},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatsunoKSIFI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MatteisRPDB16,
  author       = {Marcello De Matteis and
                  Federica Resta and
                  Alessandra Pipino and
                  Stefano D'Amico and
                  Andrea Baschirotto},
  title        = {A 28.8MHz 21.1dBm-IIP3 3.2mW Sallen-Key 4th-Order filter with out-of-band
                  zeros cancellation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2902},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539205},
  doi          = {10.1109/ISCAS.2016.7539205},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MatteisRPDB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MaundyEFA16,
  author       = {Brent J. Maundy and
                  Ahmed S. Elwakil and
                  Todd J. Freeborn and
                  Anis Allagui},
  title        = {Determination of supercapacitor metrics using a magnitude-only method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1186--1189},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527458},
  doi          = {10.1109/ISCAS.2016.7527458},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MaundyEFA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Maya-HernandezS16,
  author       = {Paulina Mariana Maya{-}Hern{\'{a}}ndez and
                  Mar{\'{\i}}a Teresa Sanz{-}Pascual and
                  Bel{\'{e}}n Calvo},
  title        = {Low-power analog lock-in amplifier for high-resolution portable sensing
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {486--489},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527283},
  doi          = {10.1109/ISCAS.2016.7527283},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Maya-HernandezS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeadeJTZ16,
  author       = {Travis Meade and
                  Yier Jin and
                  Mark M. Tehranipoor and
                  Shaojie Zhang},
  title        = {Gate-level netlist reverse engineering for hardware security: Control
                  logic register identification},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1334--1337},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527495},
  doi          = {10.1109/ISCAS.2016.7527495},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeadeJTZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MegawerHAM16,
  author       = {Karim M. Megawer and
                  Faisal A. Hussien and
                  Mohamed M. Aboudina and
                  Ahmed Nader Mohieldin},
  title        = {An adaptive slew rate and dead zone ring amplifier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527231},
  doi          = {10.1109/ISCAS.2016.7527231},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MegawerHAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MehtaSR16,
  author       = {Ankit Mehta and
                  Darius Saif and
                  Rashid Rashidzadeh},
  title        = {A hardware security solution against scan-based attacks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1698--1701},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538894},
  doi          = {10.1109/ISCAS.2016.7538894},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MehtaSR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MengLTK16,
  author       = {Xiaodong Meng and
                  Xing Li and
                  Chi{-}Ying Tsui and
                  Wing{-}Hung Ki},
  title        = {An indoor solar energy harvesting system using dual mode {SIDO} converter
                  with fully digital time-based {MPPT}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2354--2357},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539057},
  doi          = {10.1109/ISCAS.2016.7539057},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MengLTK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MikolajickWMS16,
  author       = {Thomas Mikolajick and
                  H. Wylezich and
                  Hannes Maehne and
                  Stefan Slesazeck},
  title        = {Versatile resistive switching in niobium oxide},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {381--384},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527250},
  doi          = {10.1109/ISCAS.2016.7527250},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MikolajickWMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Minch16,
  author       = {Bradley A. Minch},
  title        = {A simple variable-width {CMOS} bump circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1354--1357},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527500},
  doi          = {10.1109/ISCAS.2016.7527500},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Minch16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiniskarPGCKL16,
  author       = {Narasinga Rao Miniskar and
                  Rahul R. Patil and
                  Raj Narayana Gadde and
                  Young{-}chul Rams Cho and
                  Sukjin Kim and
                  Shi Hwa Lee},
  title        = {Intra mode power saving methodology for CGRA-based reconfigurable
                  processor architectures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {714--717},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527340},
  doi          = {10.1109/ISCAS.2016.7527340},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiniskarPGCKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MiscouridesG16,
  author       = {Nicholas Miscourides and
                  Pantelis Georgiou},
  title        = {Linear current-mode {ISFET} arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2827--2830},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539181},
  doi          = {10.1109/ISCAS.2016.7539181},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MiscouridesG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoHK16,
  author       = {Hongjia Mo and
                  Guosheng Hu and
                  Michael Peter Kennedy},
  title        = {Comparison of analytical predictions of the noise floor due to static
                  charge pump mismatch in fractional-n frequency synthesizers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2407--2410},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539077},
  doi          = {10.1109/ISCAS.2016.7539077},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoHK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoeysDRL16,
  author       = {Diederik Paul Moeys and
                  Tobias Delbr{\"{u}}ck and
                  Antonio Rios{-}Navarro and
                  Alejandro Linares{-}Barranco},
  title        = {Retinal ganglion cell software and {FPGA} model implementation for
                  object detection and tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1434--1437},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527520},
  doi          = {10.1109/ISCAS.2016.7527520},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoeysDRL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoeysDRL16a,
  author       = {Diederik Paul Moeys and
                  Tobias Delbr{\"{u}}ck and
                  Antonio Rios{-}Navarro and
                  Alejandro Linares{-}Barranco},
  title        = {Live demonstration: Retinal ganglion cell software and {FPGA} implementation
                  for object detection and tracking},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1445},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527528},
  doi          = {10.1109/ISCAS.2016.7527528},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoeysDRL16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohamadOIT16,
  author       = {Nurita Mohamad and
                  Chia Yee Ooi and
                  Nordinah Bt Ismail and
                  Jwing Teh},
  title        = {{SVA} checker generator for FPGA-based verification platform},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1750--1753},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538906},
  doi          = {10.1109/ISCAS.2016.7538906},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohamadOIT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MohantySKVSC16,
  author       = {Abinash Mohanty and
                  Naveen Suda and
                  Minkyu Kim and
                  Sarma B. K. Vrudhula and
                  Jae{-}sun Seo and
                  Yu Cao},
  title        = {High-performance face detection with {CPU-FPGA} acceleration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527184},
  doi          = {10.1109/ISCAS.2016.7527184},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MohantySKVSC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolaeiKH16,
  author       = {Hasan Molaei and
                  Ata Khorami and
                  Khosrow Hajsadeghi},
  title        = {A wide dynamic range low power 2{\texttimes} time amplifier using
                  current subtraction scheme},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {462--465},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527277},
  doi          = {10.1109/ISCAS.2016.7527277},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolaeiKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MolinRE16,
  author       = {Jamal Lottier Molin and
                  John M. Rattray and
                  Ralph Etienne{-}Cummings},
  title        = {Stochastic image processing and simultaneous dewarping for aerial
                  vehicles},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2086--2089},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538990},
  doi          = {10.1109/ISCAS.2016.7538990},
  timestamp    = {Wed, 31 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MolinRE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MollaQH16,
  author       = {Md. Khademul Islam Molla and
                  Mahboob Qaosar and
                  Keikichi Hirose},
  title        = {Instantaneous pitch estimation of noisy speech signal with multivariate
                  {SST}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {770--773},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527354},
  doi          = {10.1109/ISCAS.2016.7527354},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MollaQH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MonteiroGBZ16,
  author       = {Eduarda Monteiro and
                  Mateus Grellert and
                  Sergio Bampi and
                  Bruno Zatt},
  title        = {Energy-aware cache assessment of {HEVC} decoding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {574--577},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527305},
  doi          = {10.1109/ISCAS.2016.7527305},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MonteiroGBZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MorgadoFR16,
  author       = {Alonso Morgado and
                  Roc{\'{\i}}o del R{\'{\i}}o Fern{\'{a}}ndez and
                  Jos{\'{e}} M. de la Rosa},
  title        = {Design of a power-efficient widely-programmable Gm-LC band-pass sigma-delta
                  modulator for {SDR}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527168},
  doi          = {10.1109/ISCAS.2016.7527168},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MorgadoFR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoserLHBG16,
  author       = {Nicolas Moser and
                  Chi Leng Leong and
                  Yuanqi Hu and
                  Martyn G. Boutelle and
                  Pantelis Georgiou},
  title        = {An ion imaging {ISFET} array for Potassium and Sodium detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2847--2850},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539186},
  doi          = {10.1109/ISCAS.2016.7539186},
  timestamp    = {Fri, 19 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MoserLHBG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MostafaMI16,
  author       = {Hesham Mostafa and
                  Christian Mayr and
                  Giacomo Indiveri},
  title        = {Beyond spike-timing dependent plasticity in memristor crossbar arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {926--929},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527393},
  doi          = {10.1109/ISCAS.2016.7527393},
  timestamp    = {Fri, 07 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/MostafaMI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoudallalN16,
  author       = {Zahi Moudallal and
                  Farid N. Najm},
  title        = {Generating voltage drop aware current budgets for {RC} power grids},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2583--2586},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539121},
  doi          = {10.1109/ISCAS.2016.7539121},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoudallalN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoursyKLPIL16,
  author       = {Yasser Moursy and
                  Raouf Khalil and
                  Sergio Lecce and
                  Vanni Poletto and
                  Ramy Iskander and
                  Marie{-}Minerve Lou{\"{e}}rat},
  title        = {Mixed-signal {PI} controller in current-mode {DC-DC} buck converter
                  for automotive applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1610--1613},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538873},
  doi          = {10.1109/ISCAS.2016.7538873},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoursyKLPIL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoyWRHHSSV16,
  author       = {Tiffany Moy and
                  Sigurd Wagner and
                  Warren Rieutort{-}Louis and
                  Yingzhe Hu and
                  Liechao Huang and
                  Josue Sanz{-}Robinson and
                  James C. Sturm and
                  Naveen Verma},
  title        = {Hybrid large-area systems: Challenges in interfacing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1374--1377},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527505},
  doi          = {10.1109/ISCAS.2016.7527505},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoyWRHHSSV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Mroueh16,
  author       = {Lina Mroueh},
  title        = {Golden-light code for optical 2{\texttimes}2 {MIMO} free space intensity
                  communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2811--2814},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539177},
  doi          = {10.1109/ISCAS.2016.7539177},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Mroueh16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MukherjeePS16,
  author       = {Subhamita Mukherjee and
                  Indrajit Pan and
                  Tuhina Samanta},
  title        = {Algorithm for fault localization on a digital microfluidic biochip
                  using particle swarm optimization technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {602--605},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527312},
  doi          = {10.1109/ISCAS.2016.7527312},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MukherjeePS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MuratoreBM16,
  author       = {Dante Gabriel Muratore and
                  Edoardo Bonizzoni and
                  Franco Maloberti},
  title        = {A pipeline {ADC} for very high conversion rates},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1446--1449},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527529},
  doi          = {10.1109/ISCAS.2016.7527529},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MuratoreBM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MurphyRW16,
  author       = {William E. Murphy and
                  Megan Renz and
                  Qing Wu},
  title        = {Binary image classification using a neurosynaptic processor: {A} trade-off
                  analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1342--1345},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527497},
  doi          = {10.1109/ISCAS.2016.7527497},
  timestamp    = {Fri, 05 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MurphyRW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NabovatiGLS16,
  author       = {Ghazal Nabovati and
                  Ebrahim Ghafar{-}Zadeh and
                  Antoine Letourneau and
                  Mohamad Sawan},
  title        = {{CMOS} capacitive sensor array for continuous adherent cell growth
                  monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2254--2257},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539032},
  doi          = {10.1109/ISCAS.2016.7539032},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NabovatiGLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NabovatiGLS16a,
  author       = {Ghazal Nabovati and
                  Ebrahim Ghafar{-}Zadeh and
                  Antoine Letourneau and
                  Mohamad Sawan},
  title        = {Live demonstration: {CMOS} capacitive sensor array for real-time analyses
                  of living cells},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2374},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539065},
  doi          = {10.1109/ISCAS.2016.7539065},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NabovatiGLS16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NabovatiGS16,
  author       = {Ghazal Nabovati and
                  Ebrahim Ghafar{-}Zadeh and
                  Mohamad Sawan},
  title        = {A novel multifunctional integrated biosensor array for simultaneous
                  monitoring of cell growth and acidification rate},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2855--2858},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539188},
  doi          = {10.1109/ISCAS.2016.7539188},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NabovatiGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NaielAS16,
  author       = {Mohamed A. Naiel and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {Approximation of feature pyramids in the {DCT} domain and its application
                  to pedestrian detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2711--2714},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539152},
  doi          = {10.1109/ISCAS.2016.7539152},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NaielAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NairGBHPYC16,
  author       = {Jinesh P. Nair and
                  Ashutosh Deepak Gore and
                  Kiran Bynam and
                  Young{-}Jun Hong and
                  Changsoon Park and
                  Seok{-}Ju Yun and
                  Manoj Choudhary},
  title        = {{DC} offset estimation for on-off keying based ultra-low power non-coherent
                  receivers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527204},
  doi          = {10.1109/ISCAS.2016.7527204},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NairGBHPYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NajjarzadeganGA16,
  author       = {Mohammad Najjarzadegan and
                  Iman Ghotbi and
                  S. Jafarabadi Ashtiani and
                  Omid Shoaei},
  title        = {A double-carrier wireless power and data telemetry for implantable
                  biomedical systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2038--2041},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538978},
  doi          = {10.1109/ISCAS.2016.7538978},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NajjarzadeganGA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NajjarzadeganPM16,
  author       = {Mohammad Najjarzadegan and
                  Ghasem Pasandi and
                  S. Beig Mohammadi and
                  Sied Mehdi Fakhraei},
  title        = {A novel efficient and accurate analytical method for determining the
                  swing of internal nodes in digital filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2903},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539206},
  doi          = {10.1109/ISCAS.2016.7539206},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NajjarzadeganPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NaousANCS16,
  author       = {Rawan Naous and
                  Maruan Al{-}Shedivat and
                  Emre Neftci and
                  Gert Cauwenberghs and
                  Khaled Nabil Salama},
  title        = {Stochastic synaptic plasticity with memristor crossbar arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2078--2081},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538988},
  doi          = {10.1109/ISCAS.2016.7538988},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NaousANCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NarasimmanK16,
  author       = {Neelakantan Narasimman and
                  Tony T. Kim},
  title        = {An ultra-low voltage, VCO-based {ADC} with digital background calibration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1458--1461},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527532},
  doi          = {10.1109/ISCAS.2016.7527532},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NarasimmanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NarasimmanRF0CB16,
  author       = {Govind Narasimman and
                  Subhrajit Roy and
                  Xuanyao Fong and
                  Kaushik Roy and
                  Chip{-}Hong Chang and
                  Arindam Basu},
  title        = {A low-voltage, low power {STDP} synapse implementation using domain-wall
                  magnets for spiking neural networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {914--917},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527390},
  doi          = {10.1109/ISCAS.2016.7527390},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NarasimmanRF0CB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NasirR16,
  author       = {Saad Bin Nasir and
                  Arijit Raychowdhury},
  title        = {All-digital linear regulators with proactive and reactive gain-boosting
                  for supply droop mitigation in digital load circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527206},
  doi          = {10.1109/ISCAS.2016.7527206},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NasirR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NatsuiTMKOEH16,
  author       = {Masanori Natsui and
                  Akira Tamakoshi and
                  Akira Mochizuki and
                  Hiroki Koike and
                  Hideo Ohno and
                  Tetsuo Endoh and
                  Takahiro Hanyu},
  title        = {Stochastic behavior-considered {VLSI} {CAD} environment for MTJ/MOS-hybrid
                  microprocessor design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1878--1881},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538938},
  doi          = {10.1109/ISCAS.2016.7538938},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NatsuiTMKOEH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeaseC16,
  author       = {Stephen Nease and
                  Elisabetta Chicca},
  title        = {Floating-gate-based intrinsic plasticity with low-voltage rate control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2507--2510},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539102},
  doi          = {10.1109/ISCAS.2016.7539102},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NeaseC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeilL16,
  author       = {Daniel Neil and
                  Shih{-}Chii Liu},
  title        = {Effective sensor fusion with event-based sensors and deep network
                  architectures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2282--2285},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539039},
  doi          = {10.1109/ISCAS.2016.7539039},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NeilL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NematiRFB16,
  author       = {Nastaran Nemati and
                  Mark C. Reed and
                  Karl M. Fant and
                  Paul Beckett},
  title        = {Asynchronous interleaved scan architecture for on-line built-in self-test
                  of null convention logic},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {746--749},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527348},
  doi          = {10.1109/ISCAS.2016.7527348},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NematiRFB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeopaneDAK16,
  author       = {Ojash Neopane and
                  Srinjoy Das and
                  Ery Arias{-}Castro and
                  Kenneth Kreutz{-}Delgado},
  title        = {A nonparametric framework for quantifying generative inference on
                  neuromorphic systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1346--1349},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527498},
  doi          = {10.1109/ISCAS.2016.7527498},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NeopaneDAK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeshatpourKFJRS16,
  author       = {Katayoun Neshatpour and
                  Arezou Koohi and
                  Farnoud Farahmand and
                  Rajiv V. Joshi and
                  Setareh Rafatirad and
                  Avesta Sasan and
                  Houman Homayoun},
  title        = {Big biomedical image processing hardware acceleration: {A} case study
                  for K-means and image filtering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1134--1137},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527445},
  doi          = {10.1109/ISCAS.2016.7527445},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NeshatpourKFJRS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NeshatvarLD16,
  author       = {Nazanin Neshatvar and
                  Peter J. Langlois and
                  Andreas Demosthenous},
  title        = {An improved wideband {CMOS} current driver for bioimpedance applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2447--2450},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539087},
  doi          = {10.1109/ISCAS.2016.7539087},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NeshatvarLD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NewtonK16,
  author       = {Scott M. Newton and
                  Peter R. Kinget},
  title        = {A 4th-order analog continuous-time filter designed using standard
                  cells and automatic digital logic design tools},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527229},
  doi          = {10.1109/ISCAS.2016.7527229},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NewtonK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NguyenJN16,
  author       = {Minh{-}Tien Nguyen and
                  Chadi Jabbour and
                  Van Tam Nguyen},
  title        = {A flexible receiver using {\(\Delta\)}{\(\Sigma\)} modulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1470--1473},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527535},
  doi          = {10.1109/ISCAS.2016.7527535},
  timestamp    = {Thu, 26 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NguyenJN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NguyenNHISMTP16,
  author       = {Xuan{-}Thuan Nguyen and
                  Hong{-}Thu Nguyen and
                  Trong{-}Thuc Hoang and
                  Katsumi Inoue and
                  Osamu Shimojo and
                  Toshio Murayama and
                  Kenji Tominaga and
                  Cong{-}Kha Pham},
  title        = {An efficient FPGA-based database processor for fast database analytics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1758--1761},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538908},
  doi          = {10.1109/ISCAS.2016.7538908},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NguyenNHISMTP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiHY16,
  author       = {Leibin Ni and
                  Hantao Huang and
                  Hao Yu},
  title        = {On-line machine learning accelerator on digital RRAM-crossbar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527183},
  doi          = {10.1109/ISCAS.2016.7527183},
  timestamp    = {Tue, 24 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiWX16,
  author       = {Saijie Ni and
                  Botao Wang and
                  Hongkai Xiong},
  title        = {Layer-wise supervised neural network for face alignment with multi-task
                  regularization},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2715--2718},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539153},
  doi          = {10.1109/ISCAS.2016.7539153},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiWX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NiemannWTT16,
  author       = {Christoph Niemann and
                  Tim Wegner and
                  Dirk Timmermann and
                  Frank Sill Torres},
  title        = {Low overhead in situ aging monitoring and proactive aging management},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2799--2802},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539174},
  doi          = {10.1109/ISCAS.2016.7539174},
  timestamp    = {Thu, 04 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NiemannWTT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NikasLZLVH16,
  author       = {Antonios Nikas and
                  Olivier Leman and
                  Haiyan Zhou and
                  Jorge{-}Luis Lagos and
                  Bakul Jitendra Vinchhi and
                  Johann Hauer},
  title        = {A 83dB {SNDR} low power readout {ASIC} for piezoresistive nanogauge
                  based gyroscopes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2238--2241},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539028},
  doi          = {10.1109/ISCAS.2016.7539028},
  timestamp    = {Tue, 18 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NikasLZLVH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NikoofardM16,
  author       = {Ali Nikoofard and
                  Soumyajit Mandal},
  title        = {An 11.5 nW broadband wake-up {RF} receiver with -60 dBm sensitivity
                  at 50 MHz},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2787--2790},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539171},
  doi          = {10.1109/ISCAS.2016.7539171},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NikoofardM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NodaNWKS16,
  author       = {Takumi Noda and
                  Tomoharu Nagashima and
                  Xiuqin Wei and
                  Marian K. Kazimierczuk and
                  Hiroo Sekiya},
  title        = {Design procedure for wireless power transfer system with inductive
                  coupling-coil optimizations using {PSO}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {646--649},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527323},
  doi          = {10.1109/ISCAS.2016.7527323},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/NodaNWKS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NovakB16,
  author       = {Ondrej Nov{\'{a}}k and
                  Richard B. Brown},
  title        = {An empirical model of {UWB} large-scale signal fading in neocortical
                  research},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2439--2442},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539085},
  doi          = {10.1109/ISCAS.2016.7539085},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NovakB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NowrinJB16,
  author       = {Sadia Nowrin and
                  Lafifa Jamal and
                  Hafiz Md. Hasan Babu},
  title        = {Design of an optimized reversible bidirectional barrel shifter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {730--733},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527344},
  doi          = {10.1109/ISCAS.2016.7527344},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NowrinJB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OchsP16,
  author       = {Karlheinz Ochs and
                  Tim Poguntke},
  title        = {Optimal filter design for signal estimation based on linear time-variant
                  system theory},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1218--1221},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527466},
  doi          = {10.1109/ISCAS.2016.7527466},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OchsP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OgasaharaHK16,
  author       = {Yasuhiro Ogasahara and
                  Yohei Hori and
                  Hanpei Koike},
  title        = {Implementation of pseudo linear feedback shift register physical unclonable
                  function on silicon},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {758--761},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527351},
  doi          = {10.1109/ISCAS.2016.7527351},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OgasaharaHK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OgunfunmiS16,
  author       = {Tokunbo Ogunfunmi and
                  Koji Seto},
  title        = {On the use of discrete wavelet transform for robust scalable speech
                  coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {766--769},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527353},
  doi          = {10.1109/ISCAS.2016.7527353},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/OgunfunmiS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OhtaTSTNT16,
  author       = {Jun Ohta and
                  Hironari Takehara and
                  Kiyotaka Sasagawa and
                  Hiroaki Takehara and
                  Toshihiko Noda and
                  Takashi Tokuda},
  title        = {On-chip fluorescence detection system with high-density microchamber
                  array based on {CMOS} image sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2867--2870},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539191},
  doi          = {10.1109/ISCAS.2016.7539191},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OhtaTSTNT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OkazakiUN16,
  author       = {Hideaki Okazaki and
                  Shota Ueno and
                  Hideo Nakano},
  title        = {A bivariate fractal interpolation surface with an individual vertical
                  scaling factor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {686--689},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527333},
  doi          = {10.1109/ISCAS.2016.7527333},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OkazakiUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OlabodeKH16,
  author       = {Olaitan Olabode and
                  Marko Kosunen and
                  Kari Halonen},
  title        = {A current controlled oscillator based readout front-end for neurochemical
                  sensing in 65nm {CMOS} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {514--517},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527290},
  doi          = {10.1109/ISCAS.2016.7527290},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OlabodeKH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OliveriSPS16,
  author       = {Alberto Oliveri and
                  Flavio Stellino and
                  Mauro Parodi and
                  Marco Storace},
  title        = {A circuit model for open-loop compensation of hysteresis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2066--2069},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538985},
  doi          = {10.1109/ISCAS.2016.7538985},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OliveriSPS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OnsoriARF16,
  author       = {Salman Onsori and
                  Arghavan Asad and
                  Kaamran Raahemifar and
                  Mahmood Fathy},
  title        = {High performance 3D {CMP} design with stacked hybrid memory architecture
                  in the dark silicon era using a convex optimization model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2607--2610},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539127},
  doi          = {10.1109/ISCAS.2016.7539127},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OnsoriARF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OsakuLP16,
  author       = {Daniel Osaku and
                  Alexandre L. M. Levada and
                  Jo{\~{a}}o Paulo Papa},
  title        = {A block-based Markov random field model estimation for contextual
                  classification using Optimum-Path Forest},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {994--997},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527410},
  doi          = {10.1109/ISCAS.2016.7527410},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OsakuLP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/OsheroffRG16,
  author       = {Peter Osheroff and
                  George S. La Rue and
                  Subhanshu Gupta},
  title        = {A highly linear 4GS/s uncalibrated voltage-to-time converter with
                  wide input range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527177},
  doi          = {10.1109/ISCAS.2016.7527177},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/OsheroffRG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Ou16,
  author       = {Jack Ou},
  title        = {Practical application of transconductance-to-drain-current dependent
                  flicker noise analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2399--2402},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539075},
  doi          = {10.1109/ISCAS.2016.7539075},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Ou16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Paasio16,
  author       = {Ari Paasio},
  title        = {Ultra low-power array processor propagation circuit arrangement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2515--2518},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539104},
  doi          = {10.1109/ISCAS.2016.7539104},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Paasio16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PageSM16,
  author       = {Adam Page and
                  Colin Shea and
                  Tinoosh Mohsenin},
  title        = {Wearable seizure detection using convolutional neural networks with
                  transfer learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1086--1089},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527433},
  doi          = {10.1109/ISCAS.2016.7527433},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PageSM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PaludiMGSRH16,
  author       = {Franco Paludi and
                  Dami{\'{a}}n A. Morero and
                  Teodoro A. Goette and
                  Matias Schnidrig and
                  Facundo A. Ramos and
                  Mario R. Hueda},
  title        = {Low-complexity turbo product code for high-speed fiber-optic systems
                  based on expurgated {BCH} codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527262},
  doi          = {10.1109/ISCAS.2016.7527262},
  timestamp    = {Mon, 20 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PaludiMGSRH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Papachatzopoulos16,
  author       = {Kleanthis Papachatzopoulos and
                  Ioannis Kouretas and
                  Vassilis Paliouras},
  title        = {Dynamic delay variation behaviour of {RNS} multiply-add architectures},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1978--1981},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538963},
  doi          = {10.1109/ISCAS.2016.7538963},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Papachatzopoulos16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PapistasP16,
  author       = {Ioannis A. Papistas and
                  Vasilis F. Pavlidis},
  title        = {Crosstalk noise effects of on-chip inductive links on power delivery
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1938--1941},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538953},
  doi          = {10.1109/ISCAS.2016.7538953},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PapistasP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParisPR16,
  author       = {Lucas de Paris and
                  Gracieli Posser and
                  Ricardo Reis},
  title        = {Electromigration aware circuits by using special signal non-default
                  routing rules},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2795--2798},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539173},
  doi          = {10.1109/ISCAS.2016.7539173},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParisPR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkJY16,
  author       = {Young Jun Park and
                  Durand Jarrett{-}Amor and
                  Fei Yuan},
  title        = {Time integrator for mixed-mode signal processing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {826--829},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527368},
  doi          = {10.1109/ISCAS.2016.7527368},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkJY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParkS16,
  author       = {Yongwan Park and
                  Emre Salman},
  title        = {On-chip hybrid regulator topology for portable SoCs with near-threshold
                  operation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {786--789},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527358},
  doi          = {10.1109/ISCAS.2016.7527358},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParkS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ParsnejadLM16,
  author       = {Sina Parsnejad and
                  Haitao Li and
                  Andrew J. Mason},
  title        = {Compact {CMOS} amperometric readout for nanopore arrays in high throughput
                  lab-on-CMOS},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2851--2854},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539187},
  doi          = {10.1109/ISCAS.2016.7539187},
  timestamp    = {Thu, 19 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ParsnejadLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PatelFR16,
  author       = {Ravi Patel and
                  Eby G. Friedman and
                  Praveen Raghavan},
  title        = {Power noise in 14, 10, and 7 nm FinFET {CMOS} technologies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527164},
  doi          = {10.1109/ISCAS.2016.7527164},
  timestamp    = {Mon, 07 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PatelFR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PathakHTHS16,
  author       = {Divya Pathak and
                  Mohammad Hossein Hajkazemi and
                  Mohammad Khavari Tavana and
                  Houman Homayoun and
                  Ioannis Savidis},
  title        = {Energy efficient on-chip power delivery with run-time voltage regulator
                  clustering},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1210--1213},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527464},
  doi          = {10.1109/ISCAS.2016.7527464},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PathakHTHS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PatilT16,
  author       = {Sharvil Patil and
                  Yannis P. Tsividis},
  title        = {Digital processing of signals produced by voltage-controlled-oscillator-based
                  continuous-time ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1046--1049},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527423},
  doi          = {10.1109/ISCAS.2016.7527423},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PatilT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PatraYVD16,
  author       = {Pravanjan Patra and
                  Kunal Yadav and
                  Nagaveni Vamsi and
                  Ashudeb Dutta},
  title        = {A 343nW biomedical signal acquisition system powered by energy efficient
                  (62.8{\%}) power aware {RF} energy harvesting circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1522--1525},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527548},
  doi          = {10.1109/ISCAS.2016.7527548},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PatraYVD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pavan16,
  author       = {Shanthi Pavan},
  title        = {Continuous-time {\(\Delta\)}{\(\Sigma\)} modulators with dual switched
                  capacitor resistor DACs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527172},
  doi          = {10.1109/ISCAS.2016.7527172},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Pavan16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeiGLSH16,
  author       = {Soo{-}Chang Pei and
                  Bo{-}Yi Guo and
                  Wen{-}Yang Lu and
                  Gerald E. Sobelman and
                  Yun{-}Da Huang},
  title        = {Improved design of digital 1-D and 2-D notch filters using general
                  feedback structure},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2182--2185},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539014},
  doi          = {10.1109/ISCAS.2016.7539014},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeiGLSH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PeslHROTG16,
  author       = {Peter Pesl and
                  Pau Herrero and
                  Monika Reddy and
                  Nick Oliver and
                  Christofer Toumazou and
                  Pantelis Georgiou},
  title        = {Live demonstration: Smartwatch implementation of an advanced insulin
                  bolus calculator for diabetes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2370},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539061},
  doi          = {10.1109/ISCAS.2016.7539061},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PeslHROTG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PiGBX16,
  author       = {Shuang Pi and
                  Mohammad Ghadiri{-}Sadrabadi and
                  Joseph C. Bardin and
                  Qiangfei Xia},
  title        = {Memristors as radiofrequency switches},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {377--380},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527249},
  doi          = {10.1109/ISCAS.2016.7527249},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/PiGBX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PillaiASC16,
  author       = {Bipin Sankar Gopalakrishna Pillai and
                  N. Prasanth Anthapadmanabhan and
                  Dusan Suvakovic and
                  Hungkei Keith Chow},
  title        = {Multi-user encoding for forward error correction in passive optical
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2467--2470},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539092},
  doi          = {10.1109/ISCAS.2016.7539092},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PillaiASC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Potestad-Ordonez16,
  author       = {Francisco Eugenio Potestad{-}Ord{\'{o}}{\~{n}}ez and
                  Carlos Jes{\'{u}}s Jim{\'{e}}nez{-}Fern{\'{a}}ndez and
                  Manuel Valencia{-}Barrero},
  title        = {Fault attack on {FPGA} implementations of Trivium stream cipher},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {562--565},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527302},
  doi          = {10.1109/ISCAS.2016.7527302},
  timestamp    = {Thu, 31 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Potestad-Ordonez16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PreziosoZGMHALS16,
  author       = {Mirko Prezioso and
                  Y. Zhong and
                  D. Gavrilov and
                  Farnood Merrikh{-}Bayat and
                  Brian Hoskins and
                  Gina C. Adam and
                  Konstantin K. Likharev and
                  Dmitri B. Strukov},
  title        = {Spiking neuromorphic networks with metal-oxide memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527199},
  doi          = {10.1109/ISCAS.2016.7527199},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PreziosoZGMHALS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ProchaskaR16,
  author       = {Marcus Prochaska and
                  Kris Rohrmann},
  title        = {Bifurcation analysis of arcing in {DC} automotive 48 {V} power supply
                  systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1110--1113},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527439},
  doi          = {10.1109/ISCAS.2016.7527439},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ProchaskaR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PulkkinenHSH16,
  author       = {Mika Pulkkinen and
                  Tuomas Haapala and
                  Jarno Salomaa and
                  Kari Halonen},
  title        = {45.2{\%} Energy efficiency improvement of {UWB} {IR} Tx by use of
                  differential {PPM} in 180nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {193--196},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527203},
  doi          = {10.1109/ISCAS.2016.7527203},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PulkkinenHSH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Pullini0RLGB16,
  author       = {Antonio Pullini and
                  Francesco Conti and
                  Davide Rossi and
                  Igor Loi and
                  Michael Gautschi and
                  Luca Benini},
  title        = {A heterogeneous multi-core system-on-chip for energy efficient brain
                  inspired vision},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2910},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539213},
  doi          = {10.1109/ISCAS.2016.7539213},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Pullini0RLGB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PunjiyaMS16,
  author       = {Meera Punjiya and
                  Chung Hee Moon and
                  Sameer R. Sonkusale},
  title        = {Multi-analyte paper-analytical-devices {(PAD)} with {CMOS} integration
                  for point-of-Care diagnostics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2883--2886},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539195},
  doi          = {10.1109/ISCAS.2016.7539195},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PunjiyaMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QianUGLW16,
  author       = {Fengyu Qian and
                  Ridvan Umaz and
                  Yanping Gong and
                  Baikun Li and
                  Lei Wang},
  title        = {Design of a shared-stage charge pump circuit for multi-anode microbial
                  fuel cells},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {213--216},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527208},
  doi          = {10.1109/ISCAS.2016.7527208},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QianUGLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QianWLLH16,
  author       = {Liangyu Qian and
                  Chenghua Wang and
                  Weiqiang Liu and
                  Fabrizio Lombardi and
                  Jie Han},
  title        = {Design and evaluation of an approximate Wallace-Booth multiplier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1974--1977},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538962},
  doi          = {10.1109/ISCAS.2016.7538962},
  timestamp    = {Wed, 22 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/QianWLLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QuangRA16,
  author       = {Kien Trinh Quang and
                  Sergio Ruocco and
                  Massimo Alioto},
  title        = {Boosted sensing for enhanced read stability in STT-MRAMs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1238--1241},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527471},
  doi          = {10.1109/ISCAS.2016.7527471},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/QuangRA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/QuangRA16a,
  author       = {Kien Trinh Quang and
                  Sergio Ruocco and
                  Massimo Alioto},
  title        = {{STT-MRAM} write energy minimization via area optimization under dynamic
                  voltage Scaling},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2791--2794},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539172},
  doi          = {10.1109/ISCAS.2016.7539172},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/QuangRA16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Queiroz16,
  author       = {Ant{\^{o}}nio Carlos M. de Queiroz},
  title        = {Energy harvesting using symmetrical electrostatic generators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {650--653},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527324},
  doi          = {10.1109/ISCAS.2016.7527324},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Queiroz16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RabuskeF16,
  author       = {Taimur Gibran Rabuske and
                  Jorge R. Fernandes},
  title        = {A 12-bit {SAR} {ADC} with background self-calibration based on a {MOSCAP-DAC}
                  with dynamic body-biasing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1482--1485},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527538},
  doi          = {10.1109/ISCAS.2016.7527538},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RabuskeF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RafatiJY16,
  author       = {Homayoun Hamed Moghadam Rafati and
                  Mahdi Jalili and
                  Xinghuo Yu},
  title        = {A novel optimization method based on opinion formation in complex
                  networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {882--885},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527382},
  doi          = {10.1109/ISCAS.2016.7527382},
  timestamp    = {Sat, 31 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RafatiJY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajendranKMPPD16,
  author       = {Murali Krishna Rajendran and
                  Shourya Kansal and
                  Ajay Mantha and
                  V. Priya and
                  Y. B. Priyamvada and
                  Ashudeb Dutta},
  title        = {Automated environment aware nW {FOCV} - {MPPT} controller for self-powered
                  IoT applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1818--1821},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538923},
  doi          = {10.1109/ISCAS.2016.7538923},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajendranKMPPD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RajendranTK16,
  author       = {Jeyavijayan Rajendran and
                  Jack Tang and
                  Ramesh Karri},
  title        = {Securing pressure measurements using SensorPUFs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1330--1333},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527494},
  doi          = {10.1109/ISCAS.2016.7527494},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RajendranTK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RamadanYDI16,
  author       = {Tarek Ramadan and
                  Eslam Yahya and
                  Mohamed Dessouky and
                  Yehea Ismail},
  title        = {Accuracy-improved coupling capacitance model for through-silicon via
                  {(TSV)} arrays using dimensional analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1930--1933},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538951},
  doi          = {10.1109/ISCAS.2016.7538951},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RamadanYDI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RaoLO16,
  author       = {Pengchun Rao and
                  Xiang Li and
                  Maciej J. Ogorzalek},
  title        = {More or less controllers to synchronize a Kuramoto-oscillator network
                  via a pacemaker?},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {878--881},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527381},
  doi          = {10.1109/ISCAS.2016.7527381},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RaoLO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RehoumaRA16,
  author       = {H. Rehouma and
                  Daler N. Rakhmatov and
                  Mohammed Albulayli},
  title        = {Two-pass beamforming for ultrasound imaging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527161},
  doi          = {10.1109/ISCAS.2016.7527161},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RehoumaRA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ReisCSNT16,
  author       = {Dayane Alfenas Reis and
                  Caio Araujo T. Campos and
                  Thiago Rodrigues B. S. Soares and
                  Omar Paranaiba Vilela Neto and
                  Frank Sill Torres},
  title        = {A Methodology for Standard Cell Design for {QCA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2114--2117},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538997},
  doi          = {10.1109/ISCAS.2016.7538997},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ReisCSNT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RexCH16,
  author       = {Hou Zhao Qi Rex and
                  Jong Ching Chuen and
                  Andreas Herkersdorf},
  title        = {Linux apps-usage-driven power dissipation-aware scheduler},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {229--232},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527212},
  doi          = {10.1109/ISCAS.2016.7527212},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RexCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RobichaudND16,
  author       = {Alexandre Robichaud and
                  Frederic Nabki and
                  Dominic Deslandes},
  title        = {An efficient reference-based adaptive antenna impedance matching {CMOS}
                  circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1402--1405},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527512},
  doi          = {10.1109/ISCAS.2016.7527512},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RobichaudND16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RodovalhoKF16,
  author       = {Luis Henrique Rodovalho and
                  Hamilton Klimach and
                  Eric E. Fabris},
  title        = {Ultra low voltage supply {VCO} with improved linearity},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2379--2382},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539070},
  doi          = {10.1109/ISCAS.2016.7539070},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RodovalhoKF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RosenthalGSK16,
  author       = {Eyal Rosenthal and
                  Sergey Greshnikov and
                  Daniel Soudry and
                  Shahar Kvatinsky},
  title        = {A fully analog memristor-based neural network with online gradient
                  training},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1394--1397},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527510},
  doi          = {10.1109/ISCAS.2016.7527510},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RosenthalGSK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoyC16,
  author       = {Abhishek Roy and
                  Benton H. Calhoun},
  title        = {Exploring circuit robustness to power supply variation in low-voltage
                  latch and register-based digital systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527223},
  doi          = {10.1109/ISCAS.2016.7527223},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RoyC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RoyZC16,
  author       = {Sujan Kumar Roy and
                  Wei{-}Ping Zhu and
                  Beno{\^{\i}}t Champagne},
  title        = {Single channel speech enhancement using subband iterative Kalman filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {762--765},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527352},
  doi          = {10.1109/ISCAS.2016.7527352},
  timestamp    = {Mon, 15 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RoyZC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RuaroLMM16,
  author       = {Marcelo Ruaro and
                  Felipe B. Lazzarotto and
                  C{\'{e}}sar A. M. Marcon and
                  Fernando Gehm Moraes},
  title        = {{DMNI:} {A} specialized network interface for NoC-based MPSoCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1202--1205},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527462},
  doi          = {10.1109/ISCAS.2016.7527462},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RuaroLMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaadEBA16,
  author       = {Mohamed Saad and
                  Nuria Egidos and
                  Elisenda Bou{-}Balust and
                  Eduard Alarc{\'{o}}n},
  title        = {On tunable switch-mode reactive networks: {A} gyrator-based resonator
                  emulation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {642--645},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527322},
  doi          = {10.1109/ISCAS.2016.7527322},
  timestamp    = {Wed, 07 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaadEBA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaariN16,
  author       = {Daniel H. Saari and
                  David G. Nairn},
  title        = {Analog integrated circuit design using fixed-length devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1798--1801},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538918},
  doi          = {10.1109/ISCAS.2016.7538918},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaariN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SadreazamiAS16,
  author       = {Hamidreza Sadreazami and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {Ultrasound image despeckling in the contourlet domain using the Cauchy
                  prior},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527163},
  doi          = {10.1109/ISCAS.2016.7527163},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SadreazamiAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SafaeiW16,
  author       = {Amin Safaei and
                  Q. M. Jonathan Wu},
  title        = {A system-level design for foreground and background identification
                  in 3D scenes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2571--2574},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539118},
  doi          = {10.1109/ISCAS.2016.7539118},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SafaeiW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahAH16,
  author       = {Suman Prasad Sah and
                  Pawan Agarwal and
                  Deukhyoun Heo},
  title        = {A low power sub-harmonic injection locked 2{\texttimes}2 mm-wave beamforming
                  receiver array},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1558--1561},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538860},
  doi          = {10.1109/ISCAS.2016.7538860},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SahAH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SahaLS16,
  author       = {Sreenil Saha and
                  Frederic Lesage and
                  Mohamad Sawan},
  title        = {Time-resolved reflectance using short source-detector separation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527238},
  doi          = {10.1109/ISCAS.2016.7527238},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SahaLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SainiAKS16,
  author       = {Dalvir K. Saini and
                  Agasthya Ayachit and
                  Marian K. Kazimierczuk and
                  Hiroo Sekiya},
  title        = {Small-signal analysis of closed-loop {PWM} boost converter in {CCM}
                  with complex impedance load},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {433--436},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527263},
  doi          = {10.1109/ISCAS.2016.7527263},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SainiAKS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SaitoIY16,
  author       = {Hiroshi Saito and
                  Masashi Imai and
                  Tomohiro Yoneda},
  title        = {A task allocation method for the {DTTR} scheme based on task scheduling
                  of fault patterns},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {237--240},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527214},
  doi          = {10.1109/ISCAS.2016.7527214},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SaitoIY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalamKSHVG16,
  author       = {Muhammad Tariqus Salam and
                  Hossein Kassiri and
                  Nima Soltani and
                  Haoyu He and
                  Jos{\'{e}} Luis P{\'{e}}rez Velazquez and
                  Roman Genov},
  title        = {Tradeoffs between wireless communication and computation in closed-loop
                  implantable devices},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1838--1841},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538928},
  doi          = {10.1109/ISCAS.2016.7538928},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalamKSHVG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalemH16,
  author       = {Jebreel M. Salem and
                  Dong Sam Ha},
  title        = {A high temperature active GaN-HEMT downconversion mixer for downhole
                  communications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {946--949},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527398},
  doi          = {10.1109/ISCAS.2016.7527398},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SalemH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SalomaaPHCH16,
  author       = {Jarno Salomaa and
                  Mika Pulkkinen and
                  Tuomas Haapala and
                  Shailesh Singh Chouhan and
                  Kari Halonen},
  title        = {Energy harvesting {ASIC} for autonomous sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2350--2353},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539056},
  doi          = {10.1109/ISCAS.2016.7539056},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SalomaaPHCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sarbishei16,
  author       = {Omid Sarbishei},
  title        = {On the accuracy improvement of low-power orientation filters using
                  {IMU} and {MARG} sensor arrays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1542--1545},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538856},
  doi          = {10.1109/ISCAS.2016.7538856},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sarbishei16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SayedAE16,
  author       = {Ahmed S. Sayed and
                  Hesham N. Ahmed and
                  Ayman M. ElTager},
  title        = {Miniaturized {UWB} offset power divider with reflection cancellation
                  and enhanced isolation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {189--192},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527202},
  doi          = {10.1109/ISCAS.2016.7527202},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SayedAE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchillingerHAMM16,
  author       = {Daniel Schillinger and
                  Yuanda Hu and
                  Mohammad Amayreh and
                  Christian Moranz and
                  Yiannos Manoli},
  title        = {A 96.7{\%} efficient boost converter with a stand-by current of 420
                  nA for energy harvesting applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {654--657},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527325},
  doi          = {10.1109/ISCAS.2016.7527325},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchillingerHAMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SchlachterCE16,
  author       = {Jeremy Schlachter and
                  Vincent Camus and
                  Christian C. Enz},
  title        = {Design of energy-efficient discrete cosine transform using pruned
                  arithmetic circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {341--344},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527240},
  doi          = {10.1109/ISCAS.2016.7527240},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SchlachterCE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SebastianHA16,
  author       = {Sherry Joy Alvionne V. Sebastian and
                  John Richard E. Hizon and
                  Louis P. Alarc{\'{o}}n},
  title        = {PVT-aware digital techniques for a power line energy-harvesting sensor
                  node},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1958--1961},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538958},
  doi          = {10.1109/ISCAS.2016.7538958},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SebastianHA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeccoFDCG16,
  author       = {Jacopo Secco and
                  Marco Farina and
                  Danilo Demarchi and
                  Fernando Corinto and
                  Marco Gilli},
  title        = {Memristor cellular automata for image pattern recognition and clinical
                  applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1378--1381},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527506},
  doi          = {10.1109/ISCAS.2016.7527506},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeccoFDCG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeghaierZT16,
  author       = {Ibtissem Seghaier and
                  Mohamed H. Zaki and
                  Sofi{\`{e}}ne Tahar},
  title        = {Cross recurrence verification technique for process variation-resilient
                  analog circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1294--1297},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527485},
  doi          = {10.1109/ISCAS.2016.7527485},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeghaierZT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeidelBGA16,
  author       = {Ismael Seidel and
                  Andr{\'{e}} Beims Br{\"{a}}scher and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel and
                  Luciano Volcan Agostini},
  title        = {Energy-efficient {SATD} for beyond {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {802--805},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527362},
  doi          = {10.1109/ISCAS.2016.7527362},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SeidelBGA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenevirathnaBBP16,
  author       = {Bathiya Senevirathna and
                  Lauren Berman and
                  Nicola Bertoni and
                  Fabio Pareschi and
                  Mauro Mangia and
                  Riccardo Rovatti and
                  Gianluca Setti and
                  Jonathan Z. Simon and
                  Pamela Abshire},
  title        = {Low cost mobile {EEG} for characterization of cortical auditory responses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1102--1105},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527437},
  doi          = {10.1109/ISCAS.2016.7527437},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SenevirathnaBBP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenevirathnaCDS16,
  author       = {Bathiya Senevirathna and
                  Alexander Castro and
                  Marc Dandin and
                  Elisabeth Smela and
                  Pamela Abshire},
  title        = {Lab-on-CMOS capacitance sensor array for real-time cell viability
                  measurements with {I2C} readout},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2863--2866},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539190},
  doi          = {10.1109/ISCAS.2016.7539190},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SenevirathnaCDS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenguptaBM16,
  author       = {Anirban Sengupta and
                  Saumya Bhadauria and
                  Saraju P. Mohanty},
  title        = {Embedding low cost optimal watermark during high level synthesis for
                  reusable {IP} core protection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {974--977},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527405},
  doi          = {10.1109/ISCAS.2016.7527405},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SenguptaBM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SenguptaY016,
  author       = {Abhronil Sengupta and
                  Karthik Yogendra and
                  Kaushik Roy},
  title        = {Spintronic devices for ultra-low power neuromorphic computation (Special
                  session paper)},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {922--925},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527392},
  doi          = {10.1109/ISCAS.2016.7527392},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SenguptaY016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SepehrianRS16,
  author       = {Hassan Sepehrian and
                  Leslie A. Rusch and
                  Wei Shi},
  title        = {Multi-stage 20 Gbaud driver in 130 nm {CMOS} for segmented Mach-Zehnder
                  optical modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527205},
  doi          = {10.1109/ISCAS.2016.7527205},
  timestamp    = {Fri, 22 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SepehrianRS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeyidRCL16,
  author       = {Kerem Seyid and
                  Andrea Richaud and
                  Raffaele Capoccia and
                  Yusuf Leblebici},
  title        = {Block matching based real-time optical flow hardware implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2206--2209},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539020},
  doi          = {10.1109/ISCAS.2016.7539020},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SeyidRCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaLW16,
  author       = {Jin Sha and
                  Jun Lin and
                  Zhongfeng Wang},
  title        = {Stage-combined belief propagation decoding of polar codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527260},
  doi          = {10.1109/ISCAS.2016.7527260},
  timestamp    = {Fri, 28 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahHKLKC16,
  author       = {Sahil Shah and
                  Jennifer Hasler and
                  Sihwan Kim and
                  Ishan Lal and
                  Matt Kagle and
                  Michelle Collins},
  title        = {A remote {FPAA} system for research and education},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527190},
  doi          = {10.1109/ISCAS.2016.7527190},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahHKLKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahHKLKC16a,
  author       = {Sahil Shah and
                  Jennifer Hasler and
                  Sihwan Kim and
                  Ishan Lal and
                  Matt Kagle and
                  Michelle Collins},
  title        = {Demonstration of a remote {FPAA} system for research and education},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1441},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527524},
  doi          = {10.1109/ISCAS.2016.7527524},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahHKLKC16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaharomC16,
  author       = {Mus'ab Shaharom and
                  Steve Collins},
  title        = {An integrating wide dynamic range nMOS pixel with a logarithmic reference
                  voltage generator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1918--1921},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538948},
  doi          = {10.1109/ISCAS.2016.7538948},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaharomC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShahnovichHY16,
  author       = {Ulian Shahnovich and
                  Alain Hor{\'{e}} and
                  Orly Yadid{-}Pecht},
  title        = {Hardware implementation of a real-time tone mapping algorithm based
                  on a mantissa-exponent representation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2210--2213},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539021},
  doi          = {10.1109/ISCAS.2016.7539021},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShahnovichHY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShaltoutG16,
  author       = {Ahmed H. Shaltout and
                  Stefano Gregori},
  title        = {Conformal-mapping model for estimating the resistance of polygonal
                  inductors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1274--1277},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527480},
  doi          = {10.1109/ISCAS.2016.7527480},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShaltoutG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SharmaAPSS16,
  author       = {Ajit Sharma and
                  A. L. Praveen Aroul and
                  Tarkesh Pande and
                  Amy Schnoor and
                  Karthik Soundarapandian},
  title        = {Data acquisition for wearables and in-patient monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1130--1133},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527444},
  doi          = {10.1109/ISCAS.2016.7527444},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SharmaAPSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheikPAKKCN16,
  author       = {Sadique Sheik and
                  Somnath Paul and
                  Charles Augustine and
                  Chinnikrishna Kothapalli and
                  Muhammad M. Khellah and
                  Gert Cauwenberghs and
                  Emre Neftci},
  title        = {Synaptic sampling in hardware spiking neural networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2090--2093},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538991},
  doi          = {10.1109/ISCAS.2016.7538991},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheikPAKKCN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SheikhHW16,
  author       = {Kaship Sheikh and
                  Shu{-}Jen Han and
                  Lan Wei},
  title        = {Impact of {CNT} process imperfection on circuit-level functionality
                  and yield},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {401--404},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527255},
  doi          = {10.1109/ISCAS.2016.7527255},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SheikhHW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenC16,
  author       = {Tak{-}Wai Shen and
                  Kwok{-}Wai Cheung},
  title        = {Improved local optimization for adaptive bases non-rigid image registration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1526--1529},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527549},
  doi          = {10.1109/ISCAS.2016.7527549},
  timestamp    = {Thu, 17 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenLHP16,
  author       = {Chih{-}Tsung Shen and
                  Zongqing Lu and
                  Yi{-}Ping Hung and
                  Soo{-}Chang Pei},
  title        = {Visual enhancement using sparsity-based image decomposition for low
                  backlight displays},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2563--2566},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539116},
  doi          = {10.1109/ISCAS.2016.7539116},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenLHP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShenYL16,
  author       = {Jian Shen and
                  Lei Yu and
                  Houqiang Li},
  title        = {Hybrid digital-analog scheme for video transmission over fading channel},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1582--1585},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538866},
  doi          = {10.1109/ISCAS.2016.7538866},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShenYL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShimizuK16,
  author       = {Tomoaki Shimizu and
                  Hirotaka Koizumi},
  title        = {Modularized chain structure of switched capacitor for cell voltage
                  equalizer with T-connected bi-directional switch},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1194--1197},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527460},
  doi          = {10.1109/ISCAS.2016.7527460},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShimizuK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinKYKC16,
  author       = {Taehwan Shin and
                  Yongshin Kang and
                  Seungho Yang and
                  Seban Kim and
                  Jaeyong Chung},
  title        = {Live demonstration: Real-time image classification on a neuromorphic
                  computing system with zero off-chip memory access},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {449},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527267},
  doi          = {10.1109/ISCAS.2016.7527267},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinKYKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShinS16,
  author       = {Sungho Shin and
                  Wonyong Sung},
  title        = {Dynamic hand gesture recognition for wearable devices with low complexity
                  recurrent neural networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2274--2277},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539037},
  doi          = {10.1109/ISCAS.2016.7539037},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShinS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShirmohammadliS16,
  author       = {Vahideh Shirmohammadli and
                  Alireza Saberkari and
                  Herminio Mart{\'{\i}}nez{-}Garcia and
                  Eduard Alarc{\'{o}}n{-}Cot},
  title        = {Enhancing the performance of output-capacitorless {LDO} regulators
                  by pass-transistor segmentation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {490--493},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527284},
  doi          = {10.1109/ISCAS.2016.7527284},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ShirmohammadliS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Shoufan16,
  author       = {Abdulhadi Shoufan},
  title        = {Live demonstration of {DLD-VISU:} An eLearning platform for digital
                  logic design},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1439},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527522},
  doi          = {10.1109/ISCAS.2016.7527522},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Shoufan16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ShrivastavaCCYC16,
  author       = {Ayush Shrivastava and
                  Pai{-}Yu Chen and
                  Yu Cao and
                  Shimeng Yu and
                  Chaitali Chakrabarti},
  title        = {Design of a reliable RRAM-based {PUF} for compact hardware security
                  primitives},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2326--2329},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539050},
  doi          = {10.1109/ISCAS.2016.7539050},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ShrivastavaCCYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SiL16,
  author       = {Junyong Si and
                  Weiping Li},
  title        = {Pose-invariant face recognition using feature progressing model},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {586--589},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527308},
  doi          = {10.1109/ISCAS.2016.7527308},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SiL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SlaneySS16,
  author       = {Andrew Slaney and
                  Yichuang Sun and
                  Oluyomi Simpson},
  title        = {A novel computationally-efficient digital frequency locking scheme
                  for software defined radio {MODEM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1950--1953},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538956},
  doi          = {10.1109/ISCAS.2016.7538956},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SlaneySS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SloanMHHMMBGE16,
  author       = {David L. Sloan and
                  Benjamin Martin and
                  Gordon Hall and
                  Andrew Hakman and
                  Philip A. Marshall and
                  Stephane Martel and
                  Christopher J. Backhouse and
                  Vincent C. Gaudet and
                  Duncan G. Elliott},
  title        = {{HV-CMOS} single-chip electronics platform for lab-on-chip {DNA} analysis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2427--2430},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539082},
  doi          = {10.1109/ISCAS.2016.7539082},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SloanMHHMMBGE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SoekenDRM16,
  author       = {Mathias Soeken and
                  Gerhard W. Dueck and
                  Md. Mazder Rahman and
                  D. Michael Miller},
  title        = {An extension of transformation-based reversible and quantum circuit
                  synthesis},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2290--2293},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539041},
  doi          = {10.1109/ISCAS.2016.7539041},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SoekenDRM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SongLLXW16,
  author       = {Rui Song and
                  Cuiling Lan and
                  Houqiang Li and
                  Jizheng Xu and
                  Feng Wu},
  title        = {OMP-based transform for inter coding in {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {798--801},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527361},
  doi          = {10.1109/ISCAS.2016.7527361},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SongLLXW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SongLZYDYZZA16,
  author       = {Jian Song and
                  Sicong Liu and
                  Guangxin Zhou and
                  Bingyan Yu and
                  Wenbo Ding and
                  Fang Yang and
                  Hongming Zhang and
                  Xun Zhang and
                  Amara Amara},
  title        = {A cost-effective approach for ubiquitous broadband access based on
                  hybrid {PLC-VLC} system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2815--2818},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539178},
  doi          = {10.1109/ISCAS.2016.7539178},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SongLZYDYZZA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StoicaGCASR16,
  author       = {Lucian{-}Vasile Stoica and
                  Reza Ghandi and
                  Cheng{-}Po Chen and
                  E. Andarawis and
                  Valentyn Solomko and
                  Steve Riches},
  title        = {A signal conditioning unit for high temperature applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2403--2406},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539076},
  doi          = {10.1109/ISCAS.2016.7539076},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StoicaGCASR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StoppaRCCD16,
  author       = {Matteo Stoppa and
                  Paolo Motto Ros and
                  Marco Crepaldi and
                  Alessandro Chiolerio and
                  Danilo Demarchi},
  title        = {A quasi-digital pressure/touch sensor prototype for orbital targets
                  contact event monitoring},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2843--2846},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539185},
  doi          = {10.1109/ISCAS.2016.7539185},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StoppaRCCD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StoychevTO16,
  author       = {Ivan Stoychev and
                  Jan{-}Christoph Tebbe and
                  J{\"{u}}rgen Oehm},
  title        = {A measurement system for electric car charging stations utilising
                  a {FPGA} board for flexibility in configuration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {630--633},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527319},
  doi          = {10.1109/ISCAS.2016.7527319},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StoychevTO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StradoliniEBMC16,
  author       = {Francesca Stradolini and
                  Tamador Elboshra and
                  Armando Biscontini and
                  Giovanni De Micheli and
                  Sandro Carrara},
  title        = {Simultaneous monitoring of anesthetics and therapeutic compounds with
                  a portable multichannel potentiostat},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {834--837},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527370},
  doi          = {10.1109/ISCAS.2016.7527370},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/StradoliniEBMC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Streitwieser16,
  author       = {Christian Streitwieser},
  title        = {Real-time test data acquisition and data processing enabling closed
                  loop control systems for adaptive test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {902--905},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527387},
  doi          = {10.1109/ISCAS.2016.7527387},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Streitwieser16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/StroblSR16,
  author       = {Christian Strobl and
                  Maximilian Schafer and
                  Rudolf Rabenstein},
  title        = {An analytical fault model for direct current lines},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2142--2145},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539004},
  doi          = {10.1109/ISCAS.2016.7539004},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/StroblSR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SuguroI16,
  author       = {Takuma Suguro and
                  Hiroki Ishikuro},
  title        = {Low power {DT} delta-sigma modulator with ring amplifier SC-integrator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2006--2009},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538970},
  doi          = {10.1109/ISCAS.2016.7538970},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SuguroI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SumislawskaNPI16,
  author       = {Dora Sumislawska and
                  Qiao Ning and
                  Michael Pfeiffer and
                  Giacomo Indiveri},
  title        = {Wide dynamic range weights and biologically realistic synaptic dynamics
                  for spike-based learning circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2491--2494},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539098},
  doi          = {10.1109/ISCAS.2016.7539098},
  timestamp    = {Thu, 16 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SumislawskaNPI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunKG16,
  author       = {Daiwen Sun and
                  Ermis Koutsos and
                  Pantelis Georgiou},
  title        = {Comparison of sEMG bit-stream modulators for cross-correlation based
                  muscle fatigue estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {838--841},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527371},
  doi          = {10.1109/ISCAS.2016.7527371},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunSHM16,
  author       = {Hyuk Sun and
                  Kazuki Sobue and
                  Koichi Hamashita and
                  Un{-}Ku Moon},
  title        = {A power efficient {PLL} with in-loop-bandwidth spread-spectrum modulation
                  scheme using a charge-based discrete-time loop filter},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2755--2758},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539163},
  doi          = {10.1109/ISCAS.2016.7539163},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SunSHM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SunZ16,
  author       = {Shuanghong Sun and
                  Zhengya Zhang},
  title        = {Architecture and optimization of high-throughput belief propagation
                  decoding of polar codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527196},
  doi          = {10.1109/ISCAS.2016.7527196},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SunZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SungCW16,
  author       = {Ya{-}Syuan Sung and
                  Wei{-}Ming Chen and
                  Chung{-}Yu Wu},
  title        = {The design of 8-channel {CMOS} area-efficient low-power current-mode
                  analog front-end amplifier for {EEG} signal recording},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {530--533},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527294},
  doi          = {10.1109/ISCAS.2016.7527294},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SungCW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Sunter16,
  author       = {Stephen Sunter},
  title        = {Closing the loop between analog design and test},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {894--897},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527385},
  doi          = {10.1109/ISCAS.2016.7527385},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Sunter16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SusterVMM16,
  author       = {Michael A. Suster and
                  Nicholas H. Vitale and
                  Debnath Maji and
                  Pedram Mohseni},
  title        = {A circuit model of human whole blood in a microfluidic dielectric
                  sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2909},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539212},
  doi          = {10.1109/ISCAS.2016.7539212},
  timestamp    = {Thu, 06 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SusterVMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SutulaDTS16,
  author       = {Stepan Sutula and
                  Michele Dei and
                  Llu{\'{\i}}s Ter{\'{e}}s and
                  Francisco Serra{-}Graells},
  title        = {A calibration-free 96.6-dB-SNDR non-bootstrapped 1.8-V 7.9-mW delta-sigma
                  modulator with class-AB single-stage switched VMAs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527170},
  doi          = {10.1109/ISCAS.2016.7527170},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/SutulaDTS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SwornaHB16,
  author       = {Zarrin Tasnim Sworna and
                  Mubin Ul Haque and
                  Hafiz Md. Hasan Babu},
  title        = {A LUT-based matrix multiplication using neural networks},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1982--1985},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538964},
  doi          = {10.1109/ISCAS.2016.7538964},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SwornaHB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SyafalniSLSNWTO16,
  author       = {Infall Syafalni and
                  Nico Surantha and
                  Duc Khai Lam and
                  Nana Sutisna and
                  Yuhei Nagao and
                  Katsuhiko Wakasugi and
                  Yang Tongxin and
                  Hiroshi Ochi and
                  Taadaki Tsuchiya},
  title        = {Assertion-based verification of industrial {WLAN} system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {982--985},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527407},
  doi          = {10.1109/ISCAS.2016.7527407},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SyafalniSLSNWTO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TacoLLF16,
  author       = {Ramiro Taco and
                  Itamar Levi and
                  Marco Lanuzza and
                  Alexander Fish},
  title        = {Extended exploration of low granularity back biasing control in 28nm
                  {UTBB} {FD-SOI} technology},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527165},
  doi          = {10.1109/ISCAS.2016.7527165},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TacoLLF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TahaM16,
  author       = {Iman Y. Taha and
                  Mitra Mirhassani},
  title        = {A 24GHz Digitally Controlled Oscillator for automotive radar in 65nm
                  {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2767--2770},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539166},
  doi          = {10.1109/ISCAS.2016.7539166},
  timestamp    = {Wed, 21 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TahaM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TaheriAS16,
  author       = {Yaser Mohammad Taheri and
                  M. Omair Ahmad and
                  M. N. S. Swamy},
  title        = {A study on compression rate bounds in distributed video coding based
                  on correlation noise models},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2691--2694},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539148},
  doi          = {10.1109/ISCAS.2016.7539148},
  timestamp    = {Mon, 12 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TaheriAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakaloAMA16,
  author       = {Hadis Takaloo and
                  Arash Ahmadi and
                  Mitra Mirhassani and
                  Majid Ahmadi},
  title        = {Analog cellular neural network for application in physical unclonable
                  functions},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2635--2638},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539134},
  doi          = {10.1109/ISCAS.2016.7539134},
  timestamp    = {Wed, 16 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TakaloAMA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TakhtiTO16,
  author       = {Mohammad Takhti and
                  Yueh{-}Ching Teng and
                  Kofi Odame},
  title        = {A high frequency read-out channel for bio-impedance measurement},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1514--1517},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527546},
  doi          = {10.1109/ISCAS.2016.7527546},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TakhtiTO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TallaRMS16,
  author       = {Vamsi Talla and
                  Vaishnavi Nattar Ranganathan and
                  Brody J. Mahoney and
                  Joshua R. Smith},
  title        = {Dual band wireless power and bi-directional data link for implanted
                  devices in 65 nm {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {658--661},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527326},
  doi          = {10.1109/ISCAS.2016.7527326},
  timestamp    = {Sat, 02 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TallaRMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TallaS16,
  author       = {Vamsi Talla and
                  Joshua R. Smith},
  title        = {Design and analysis of rectifying and regulating rectifier with {PWM}
                  and {PFM} modes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2900},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539203},
  doi          = {10.1109/ISCAS.2016.7539203},
  timestamp    = {Thu, 12 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TallaS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TamakiH16,
  author       = {Masayuki Tamaki and
                  Hiroomi Hikawa},
  title        = {Live demonstration: Off-chip learning for hardware hand-sign recognition
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {451},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527269},
  doi          = {10.1109/ISCAS.2016.7527269},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TamakiH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TamakiH16a,
  author       = {Masayuki Tamaki and
                  Hiroomi Hikawa},
  title        = {Off-chip learning for hardware hand-sign recognition system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2575--2578},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539119},
  doi          = {10.1109/ISCAS.2016.7539119},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TamakiH16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanCZ16,
  author       = {Hai Jun Tan and
                  S. C. Chan and
                  Li Zhang},
  title        = {A variable forgetting factor QRD-based {RLS} algorithm with bias compensation
                  for system identification with input noise},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2643--2646},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539136},
  doi          = {10.1109/ISCAS.2016.7539136},
  timestamp    = {Tue, 02 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TanCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TanK16,
  author       = {Min Tan and
                  Wing{-}Hung Ki},
  title        = {A generic model for constructing three-stage amplifiers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {466--469},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527278},
  doi          = {10.1109/ISCAS.2016.7527278},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TanK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangCLLWZZ16,
  author       = {Kai Tang and
                  Bo Chen and
                  Liheng Lou and
                  Supeng Liu and
                  Yong Wang and
                  Ying Zhang and
                  Yuanjin Zheng},
  title        = {A high gain decibel-linear programmable gain amplifier of synthetic
                  aperture radar receiver},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527232},
  doi          = {10.1109/ISCAS.2016.7527232},
  timestamp    = {Tue, 11 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TangCLLWZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TangGS16,
  author       = {Liangxiao Tang and
                  Weixin Gai and
                  Linqi Shi},
  title        = {{PAM4} receiver with adaptive threshold voltage and adaptive decision
                  feedback equalizer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2246--2249},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539030},
  doi          = {10.1109/ISCAS.2016.7539030},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TangGS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Tavsanoglu16,
  author       = {Vedat Tavsanoglu},
  title        = {Construction of the nodal conductance matrix of a planar resistive
                  grid and derivation of the analytical expressions of its eigenvalues
                  and eigenvectors using the Kronecker product and sum},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {145--148},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527191},
  doi          = {10.1109/ISCAS.2016.7527191},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Tavsanoglu16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TayL16,
  author       = {David B. H. Tay and
                  Zhiping Lin},
  title        = {Biorthogonal filter banks constructed from four halfband filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1222--1225},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527467},
  doi          = {10.1109/ISCAS.2016.7527467},
  timestamp    = {Tue, 19 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TayL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TekesteSMKI16,
  author       = {Temesghen Tekeste and
                  Hani H. Saleh and
                  Baker Mohammad and
                  Ahsan H. Khandoker and
                  Mohammed Ismail},
  title        = {A biomedical SoC architecture for predicting ventricular arrhythmia},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2262--2265},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539034},
  doi          = {10.1109/ISCAS.2016.7539034},
  timestamp    = {Tue, 07 May 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TekesteSMKI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TenaceCMP16,
  author       = {Valerio Tenace and
                  Andrea Calimera and
                  Enrico Macii and
                  Massimo Poncino},
  title        = {Enabling quasi-adiabatic logic arrays for silicon and beyond-silicon
                  technologies},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2897},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539200},
  doi          = {10.1109/ISCAS.2016.7539200},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TenaceCMP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TennetiV16,
  author       = {Srikanth V. Tenneti and
                  P. P. Vaidyanathan},
  title        = {Detecting tandem repeats in {DNA} using Ramanujan Filter Bank},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527160},
  doi          = {10.1109/ISCAS.2016.7527160},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TennetiV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TennetiV16a,
  author       = {Srikanth V. Tenneti and
                  P. P. Vaidyanathan},
  title        = {Critical data length for period estimation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1226--1229},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527468},
  doi          = {10.1109/ISCAS.2016.7527468},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TennetiV16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ThewesBDKSBZMV16,
  author       = {Roland Thewes and
                  Gabriel Bertotti and
                  Norman Dodel and
                  Stefan Keil and
                  Sven Schroder and
                  Karl{-}Heinz Boven and
                  G{\"{u}}nther Zeck and
                  Mufti Mahmud and
                  Stefano Vassanelli},
  title        = {Neural tissue and brain interfacing {CMOS} devices - An introduction
                  to state-of-the-art, current and future challenges},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1826--1829},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538925},
  doi          = {10.1109/ISCAS.2016.7538925},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ThewesBDKSBZMV16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TorabiZ16,
  author       = {Mohammad Torabi and
                  Lihong Zhang},
  title        = {Efficient ILP-based variant-grid analog router},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1266--1269},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527478},
  doi          = {10.1109/ISCAS.2016.7527478},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TorabiZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TrianaG16,
  author       = {Alexander Jimenez Triana and
                  Efrain Garcia{-}Quiroga},
  title        = {Control of autonomous continuous systems using parametric perturbations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1858--1861},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538933},
  doi          = {10.1109/ISCAS.2016.7538933},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TrianaG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsaiTW16,
  author       = {Tsung{-}Yi Tsai and
                  Yu{-}Lin Teng and
                  Chua{-}Chin Wang},
  title        = {A nano-scale 2{\texttimes}VDD {I/O} buffer with encoded {PV} compensation
                  technique},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {598--601},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527311},
  doi          = {10.1109/ISCAS.2016.7527311},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsaiTW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TsirimokouPE16,
  author       = {Georgia Tsirimokou and
                  Costas Psychalinos and
                  Ahmed S. Elwakil},
  title        = {Switched-current fractional-order filter designs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {682--685},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527332},
  doi          = {10.1109/ISCAS.2016.7527332},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TsirimokouPE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TuWW16,
  author       = {Yu{-}Ting Tu and
                  Deng{-}Shian Wang and
                  Chua{-}Chin Wang},
  title        = {An accurate phase shift detector using bulk voltage boosting technique
                  for sensing applications},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2110--2113},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538996},
  doi          = {10.1109/ISCAS.2016.7538996},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TuWW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TungFT16,
  author       = {Po{-}Chiang Tung and
                  Dune{-}Ting Fan and
                  Tsung{-}Heng Tsai},
  title        = {A 10-bit asynchronous {SAR} {ADC} with scalable conversion time in
                  0.18{\(\mu\)}m {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1454--1457},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527531},
  doi          = {10.1109/ISCAS.2016.7527531},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TungFT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TureYMD16,
  author       = {Kerim T{\"{u}}re and
                  G{\"{u}}rkan Yilmaz and
                  Franco Maloberti and
                  Catherine Dehollain},
  title        = {Optimization of the data rate of an {OOK} {CMOS} medical transmitter
                  based on {LC} oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2747--2750},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539161},
  doi          = {10.1109/ISCAS.2016.7539161},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/TureYMD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/TzouvadakiVPMC16,
  author       = {Ioulia Tzouvadaki and
                  Alessandro Vallero and
                  Francesca Puppo and
                  Giovanni De Micheli and
                  Sandro Carrara},
  title        = {Resistance impact by long connections on electrical behavior of integrated
                  Memristive Biosensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {385--388},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527251},
  doi          = {10.1109/ISCAS.2016.7527251},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/TzouvadakiVPMC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/UeyoshiMAMS16,
  author       = {Kodai Ueyoshi and
                  Takao Marukame and
                  Tetsuya Asai and
                  Masato Motomura and
                  Alexandre Schmid},
  title        = {Memory-error tolerance of scalable and highly parallel architecture
                  for restricted Boltzmann machines in Deep Belief Network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {357--360},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527244},
  doi          = {10.1109/ISCAS.2016.7527244},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/UeyoshiMAMS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VaisbandF16,
  author       = {Boris Vaisband and
                  Eby G. Friedman},
  title        = {Layer ordering to minimize TSVs in heterogeneous 3-D ICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1926--1929},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538950},
  doi          = {10.1109/ISCAS.2016.7538950},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VaisbandF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ValashaniM16,
  author       = {Majid Amini Valashani and
                  Sattar Mirzakuchaki},
  title        = {A novel fast, low-power and high-performance {XOR-XNOR} cell},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {694--697},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527335},
  doi          = {10.1109/ISCAS.2016.7527335},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ValashaniM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VamsiPDS16,
  author       = {Nagaveni Vamsi and
                  V. Priya and
                  Ashudeb Dutta and
                  Shiv Govind Singh},
  title        = {A 1V, -26dBm sensitive auto configurable mixed converter mode {RF}
                  energy harvesting with wide input range},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1534--1537},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538854},
  doi          = {10.1109/ISCAS.2016.7538854},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VamsiPDS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Velasco-Jimenez16,
  author       = {Manuel Velasco{-}Jimenez and
                  Rafael Castro{-}L{\'{o}}pez and
                  Jos{\'{e}} M. de la Rosa},
  title        = {Live demonstration: High-level optimization of {\(\Sigma\)}{\(\Delta\)}
                  modulators using multi-objetive evolutionary algorithms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1438},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527521},
  doi          = {10.1109/ISCAS.2016.7527521},
  timestamp    = {Mon, 07 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Velasco-Jimenez16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Velasco-Jimenez16a,
  author       = {Manuel Velasco{-}Jimenez and
                  Rafael Castro{-}L{\'{o}}pez and
                  Jos{\'{e}} M. de la Rosa},
  title        = {High-level optimization of {\(\Sigma\)}{\(\Delta\)} modulators using
                  multi-objetive evolutionary algorithms},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1494--1497},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527541},
  doi          = {10.1109/ISCAS.2016.7527541},
  timestamp    = {Mon, 07 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Velasco-Jimenez16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VelasquezJ16,
  author       = {Alvaro Velasquez and
                  Sumit Kumar Jha},
  title        = {Parallel boolean matrix multiplication in linear time using rectifying
                  memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1874--1877},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538937},
  doi          = {10.1109/ISCAS.2016.7538937},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VelasquezJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VeltenKWG16,
  author       = {J{\"{o}}rg Velten and
                  Anton Kummert and
                  Daniel Wagner and
                  Krzysztof Galkowski},
  title        = {A passivity based stability measure for discrete 3-D {IIR} system
                  realizations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2483--2486},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539096},
  doi          = {10.1109/ISCAS.2016.7539096},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VeltenKWG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VenutoAS16,
  author       = {Daniela De Venuto and
                  Valerio F. Annese and
                  Alberto L. Sangiovanni{-}Vincentelli},
  title        = {The ultimate IoT application: {A} cyber-physical system for ambient
                  assisted living},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2042--2045},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538979},
  doi          = {10.1109/ISCAS.2016.7538979},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VenutoAS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ViitanenKVH16,
  author       = {Marko Viitanen and
                  Ari Koivula and
                  Jarno Vanne and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Live demonstration: Run-time visualization of Kvazaar {HEVC} intra
                  encoder},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {454},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527272},
  doi          = {10.1109/ISCAS.2016.7527272},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ViitanenKVH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VornicuCR16,
  author       = {Ion Vornicu and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {In-pixel voltage-controlled ring-oscillator for phase interpolation
                  in ToF image sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1906--1909},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538945},
  doi          = {10.1109/ISCAS.2016.7538945},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/VornicuCR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/VuC16,
  author       = {Hong{-}Son Vu and
                  Kuan{-}Hung Chen},
  title        = {Live demonstration: {A} low-power broad-bandwidth noise cancellation
                  {VLSI} circuit design for in-ear headphones},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2375},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539066},
  doi          = {10.1109/ISCAS.2016.7539066},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/VuC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WagnerRO16,
  author       = {Johannes Wagner and
                  Rudolf Ritter and
                  Maurits Ortmanns},
  title        = {Using www.sigma-delta.de to rapidly obtain {ELD} compensated {CT}
                  {\(\Sigma\)}{\(\Delta\)} modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1498--1501},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527542},
  doi          = {10.1109/ISCAS.2016.7527542},
  timestamp    = {Tue, 09 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WagnerRO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WahibF16,
  author       = {Mina Wahib and
                  Alois P. Freundorfer},
  title        = {A miniaturized lumped element directional coupler with parasitics
                  compensation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2383--2386},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539071},
  doi          = {10.1109/ISCAS.2016.7539071},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WahibF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WanSS16,
  author       = {Tutu Wan and
                  Emre Salman and
                  Milutin Stanacevic},
  title        = {A new circuit design framework for IoT devices: Charge-recycling with
                  wireless power harvesting},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2046--2049},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538980},
  doi          = {10.1109/ISCAS.2016.7538980},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WanSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wang16,
  author       = {Xiaozhe Wang},
  title        = {Towards detection and control of Hopf bifurcation in electric power
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {634--637},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527320},
  doi          = {10.1109/ISCAS.2016.7527320},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wang16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangG16,
  author       = {Jiacheng Wang and
                  Wang Ling Goh},
  title        = {A 13.5-MHz relaxation oscillator with {\(\pm\)}0.5{\%} temperature
                  stability for {RFID} application},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2431--2434},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539083},
  doi          = {10.1109/ISCAS.2016.7539083},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangH16,
  author       = {Yi Wang and
                  Yajun Ha},
  title        = {High throughput and resource efficient {AES} encryption/decryption
                  for SANs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1166--1169},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527453},
  doi          = {10.1109/ISCAS.2016.7527453},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHCC16,
  author       = {Te{-}Jui Wang and
                  Shih{-}Hsu Huang and
                  Wei{-}Kai Cheng and
                  Yih{-}Chih Chou},
  title        = {Top-level activity-driven clock tree synthesis with clock skew variation
                  considered},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2591--2594},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539123},
  doi          = {10.1109/ISCAS.2016.7539123},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangJXD16,
  author       = {Yinan Wang and
                  H{\aa}kan Johansson and
                  Hui Xu and
                  Jietao Diao},
  title        = {Minimax design and order estimation of {FIR} filters for extending
                  the bandwidth of ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2186--2189},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539015},
  doi          = {10.1109/ISCAS.2016.7539015},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangJXD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangL16,
  author       = {Mingyu Wang and
                  Zhaolin Li},
  title        = {A hybrid {SDC/SDF} architecture for area and power minimization of
                  floating-point {FFT} computations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2170--2173},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539011},
  doi          = {10.1109/ISCAS.2016.7539011},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLCTZQLZ16,
  author       = {Yong Wang and
                  Liheng Lou and
                  Bo Chen and
                  Kai Tang and
                  Ying Zhang and
                  Lei Qiu and
                  Supeng Liu and
                  Yuanjin Zheng},
  title        = {Live demonstration: {A} Ku-band {FMCW} synthetic aperture radar transceiver
                  for micro-UAVs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2378},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539069},
  doi          = {10.1109/ISCAS.2016.7539069},
  timestamp    = {Tue, 11 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLCTZQLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLEA16,
  author       = {Kang L. Wang and
                  Hochul Lee and
                  Farbod Ebrahimi and
                  Pedram Khalili Amiri},
  title        = {Ultra-low-power, high-density spintronic programmable logic {(SPL)}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527197},
  doi          = {10.1109/ISCAS.2016.7527197},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLEA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLJJZ16,
  author       = {Yuan Wang and
                  Yuequan Liu and
                  Mengyin Jiang and
                  Song Jia and
                  Xing Zhang},
  title        = {Delay-locked loop based frequency quadrupler with wide operating range
                  and fast locking characteristics},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527155},
  doi          = {10.1109/ISCAS.2016.7527155},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLJJZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLL16,
  author       = {Qian Wang and
                  Youjie Li and
                  Peng Li},
  title        = {Liquid state machine based pattern recognition on {FPGA} with firing-activity
                  dependent power gating and approximate computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {361--364},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527245},
  doi          = {10.1109/ISCAS.2016.7527245},
  timestamp    = {Sat, 18 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangLW16,
  author       = {Xiao Wang and
                  Fule Li and
                  Zhihua Wang},
  title        = {A novel autocorrelation-based timing mismatch {C} alibration strategy
                  in Time-Interleaved ADCs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1490--1493},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527540},
  doi          = {10.1109/ISCAS.2016.7527540},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangM16,
  author       = {Hui Wang and
                  Patrick P. Mercier},
  title        = {A 14.5 pW, 31 ppm/{\textdegree}C resistor-less 5 pA current reference
                  employing a self-regulated push-pull voltage reference generator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1290--1293},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527484},
  doi          = {10.1109/ISCAS.2016.7527484},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangPPAYM16,
  author       = {Gang Wang and
                  Michael D. Poscente and
                  Simon S. Park and
                  Christopher N. Andrews and
                  Orly Yadid{-}Pecht and
                  Martin P. Mintchev},
  title        = {Minimally invasive pseudo-continuous blood glucose monitoring: Results
                  from in-vitro and in-vivo testing of the e-Mosquito},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527235},
  doi          = {10.1109/ISCAS.2016.7527235},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangPPAYM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangTHTS16,
  author       = {Runchun Wang and
                  Chetan Singh Thakur and
                  Tara Julia Hamilton and
                  Jonathan Tapson and
                  Andr{\'{e}} van Schaik},
  title        = {A stochastic approach to {STDP}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2082--2085},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538989},
  doi          = {10.1109/ISCAS.2016.7538989},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangTHTS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangWS16,
  author       = {Aili Wang and
                  Allen Waters and
                  Chuanjin Richard Shi},
  title        = {A sub-nW mV-range programmable threshold comparator for near-zero-energy
                  sensing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1054--1057},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527425},
  doi          = {10.1109/ISCAS.2016.7527425},
  timestamp    = {Fri, 12 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangXTLYCY16,
  author       = {Yu Wang and
                  Lixue Xia and
                  Tianqi Tang and
                  Boxun Li and
                  Song Yao and
                  Ming Cheng and
                  Huazhong Yang},
  title        = {Low power Convolutional Neural Networks on a chip},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {129--132},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527187},
  doi          = {10.1109/ISCAS.2016.7527187},
  timestamp    = {Tue, 24 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangXTLYCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZCQ16,
  author       = {Xueyan Wang and
                  Qiang Zhou and
                  Yici Cai and
                  Gang Qu},
  title        = {Is the Secure {IC} camouflaging really secure?},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1710--1713},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538897},
  doi          = {10.1109/ISCAS.2016.7538897},
  timestamp    = {Tue, 14 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZCQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WarchallBBMGHT16,
  author       = {Julian Warchall and
                  Aishwarya Balakrishnan and
                  Ozgur Balkan and
                  Patrick P. Mercier and
                  Harinath Garudadri and
                  W. David Hairston and
                  Paul T. Theilmann},
  title        = {A multi-channel {EEG} system featuring single-wire data aggregation
                  via {FM-FDM} techniques},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {526--529},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527293},
  doi          = {10.1109/ISCAS.2016.7527293},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WarchallBBMGHT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WatersWS16,
  author       = {Allen Waters and
                  Aili Wang and
                  Chuanjin Richard Shi},
  title        = {Highly time-interleaved noise-shaped {SAR} {ADC} with reconfigurable
                  order},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1026--1029},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527418},
  doi          = {10.1109/ISCAS.2016.7527418},
  timestamp    = {Fri, 12 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WatersWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeberM16,
  author       = {Harry Weber and
                  Wolfgang Mathis},
  title        = {A self-consistent Carleman linearization technique for the large signal
                  analysis of nonlinear circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2062--2065},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538984},
  doi          = {10.1109/ISCAS.2016.7538984},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeberM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiZ16,
  author       = {Tianshu Wei and
                  Qi Zhu},
  title        = {Co-scheduling of flexible energy loads in building clusters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {958--961},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527401},
  doi          = {10.1109/ISCAS.2016.7527401},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WeiZZYDS16,
  author       = {Henglu Wei and
                  Xin Zhou and
                  Wei Zhou and
                  Chang Yan and
                  Zhemin Duan and
                  Nana Shan},
  title        = {Visual saliency based perceptual video coding in {HEVC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2547--2550},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539112},
  doi          = {10.1109/ISCAS.2016.7539112},
  timestamp    = {Wed, 24 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WeiZZYDS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WenM16,
  author       = {Qingsong Wen and
                  Xiaoli Ma},
  title        = {{VLSI} implementation of incremental fixed-complexity {LLL} lattice
                  reduction for {MIMO} detection},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1898--1901},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538943},
  doi          = {10.1109/ISCAS.2016.7538943},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WenM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WernerGVBCYSP16,
  author       = {Thilo Werner and
                  Daniele Garbin and
                  Elisa Vianello and
                  Olivier Bichler and
                  Daniel Cattaert and
                  Blaise Yvert and
                  Barbara De Salvo and
                  Luca Perniola},
  title        = {Real-time decoding of brain activity by embedded Spiking Neural Networks
                  using OxRAM synapses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2318--2321},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539048},
  doi          = {10.1109/ISCAS.2016.7539048},
  timestamp    = {Sat, 02 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WernerGVBCYSP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WijenayakeMBXB16,
  author       = {Chamith Wijenayake and
                  Arjuna Madanayake and
                  Leonid Belostotski and
                  Yongsheng Xu and
                  Len T. Bruton},
  title        = {Linear {RF} apertures using 2-D analog beam filters},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527228},
  doi          = {10.1109/ISCAS.2016.7527228},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WijenayakeMBXB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WozniakTPE16,
  author       = {Stanislaw Wozniak and
                  Tomas Tuma and
                  Angeliki Pantazi and
                  Evangelos Eleftheriou},
  title        = {Learning spatio-temporal patterns in the presence of input noise using
                  phase-change memristors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {365--368},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527246},
  doi          = {10.1109/ISCAS.2016.7527246},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WozniakTPE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuCH16,
  author       = {Che{-}Yu Wu and
                  Ching{-}Te Chiu and
                  Yarsun Hsu},
  title        = {Binary descriptor based {SIFT} and hardware implementation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {610--613},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527314},
  doi          = {10.1109/ISCAS.2016.7527314},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuCMLJPH16,
  author       = {Wei Wu and
                  Yen{-}Lung Chen and
                  Yue Ma and
                  Chien{-}Nan Jimmy Liu and
                  Jing{-}Yang Jou and
                  Sudhakar Pamarti and
                  Lei He},
  title        = {Wave digital filter based analog circuit emulation on {FPGA}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1286--1289},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527483},
  doi          = {10.1109/ISCAS.2016.7527483},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuCMLJPH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuDCS16,
  author       = {Michael Wu and
                  Chris Dick and
                  Joseph R. Cavallaro and
                  Christoph Studer},
  title        = {{FPGA} design of a coordinate descent data detector for large-scale
                  {MU-MIMO}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1894--1897},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538942},
  doi          = {10.1109/ISCAS.2016.7538942},
  timestamp    = {Thu, 09 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WuDCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuLBD16,
  author       = {Yu Wu and
                  Peter J. Langlois and
                  Richard H. Bayford and
                  Andreas Demosthenous},
  title        = {Design of a {CMOS} active electrode {IC} for wearable electrical impedance
                  tomography systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {846--849},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527373},
  doi          = {10.1109/ISCAS.2016.7527373},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuLBD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuLW16,
  author       = {Tsung{-}Che Wu and
                  Chang{-}Ming Lee and
                  Cheng{-}Kuei Wang},
  title        = {Construction of parallelized-decoding {LDPC} codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {425--428},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527261},
  doi          = {10.1109/ISCAS.2016.7527261},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuWZCSCST16,
  author       = {Liang Wu and
                  Xiaoxiao Wang and
                  Xiaoying Zhao and
                  Yuanqing Cheng and
                  Donglin Su and
                  Aixin Chen and
                  Qihang Shi and
                  Mark M. Tehranipoor},
  title        = {{AES} design improvement towards information safety},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1706--1709},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538896},
  doi          = {10.1109/ISCAS.2016.7538896},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuWZCSCST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuY16,
  author       = {Chao Wu and
                  Jie Yuan},
  title        = {A 7 bit 800MS/S {SAR} {ADC} with background offset calibration},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1038--1041},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527421},
  doi          = {10.1109/ISCAS.2016.7527421},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuZXXY16,
  author       = {Zhizheng Wu and
                  Chuan Zhang and
                  Ye Xue and
                  Shugong Xu and
                  Xiaohu You},
  title        = {Efficient architecture for soft-output massive {MIMO} detection with
                  Gauss-Seidel method},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1886--1889},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538940},
  doi          = {10.1109/ISCAS.2016.7538940},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuZXXY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaWZX16,
  author       = {Kaifeng Xia and
                  Bin Wu and
                  Xiaoping Zhou and
                  Tao Xiong},
  title        = {An efficient prime factor memory-based {FFT} processor for {LTE} systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1546--1549},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538857},
  doi          = {10.1109/ISCAS.2016.7538857},
  timestamp    = {Thu, 01 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaWZX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiaWZX16a,
  author       = {Kaifeng Xia and
                  Bin Wu and
                  Xiaoping Zhou and
                  Tao Xiong},
  title        = {A generalized conflict-free address scheme for arbitrary 2k-point
                  memory-based {FFT} processors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2126--2129},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539000},
  doi          = {10.1109/ISCAS.2016.7539000},
  timestamp    = {Thu, 01 Mar 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/XiaWZX16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiangJLCLX16,
  author       = {Guoqing Xiang and
                  Huizhu Jia and
                  Jie Liu and
                  Binbin Cai and
                  Yuan Li and
                  Xiaodong Xie},
  title        = {Adaptive perceptual preprocessing for video coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2535--2538},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539109},
  doi          = {10.1109/ISCAS.2016.7539109},
  timestamp    = {Tue, 11 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiangJLCLX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XiangJLLX16,
  author       = {Guoqing Xiang and
                  Huizhu Jia and
                  Jie Liu and
                  Yuan Li and
                  Xiaodong Xie},
  title        = {Hardware-oriented adaptive multi-resolution motion estimation algorithm
                  and its {VLSI} architecture},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2194--2197},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539017},
  doi          = {10.1109/ISCAS.2016.7539017},
  timestamp    = {Tue, 11 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XiangJLLX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XieZWZM16,
  author       = {Lijuan Xie and
                  Xiang Zhang and
                  Shiqi Wang and
                  Xinfeng Zhang and
                  Siwei Ma},
  title        = {Quality assessment of tone-mapped images based on sparse representation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2218--2221},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539023},
  doi          = {10.1109/ISCAS.2016.7539023},
  timestamp    = {Sat, 30 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XieZWZM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XingSP16,
  author       = {Jinling Xing and
                  Alexander Serb and
                  Themistoklis Prodromakis},
  title        = {An ultra-low voltage {RRAM} read-out technique employing dithering
                  principles},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1598--1601},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538870},
  doi          = {10.1109/ISCAS.2016.7538870},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XingSP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/XuWJZWL16,
  author       = {Jing Xu and
                  Zhaoyang Weng and
                  Hanjun Jiang and
                  Chun Zhang and
                  Zhihua Wang and
                  Qingliang Lin},
  title        = {A high efficiency single-inductor dual-output buck converter with
                  adaptive freewheel current and hybrid mode control},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1614--1617},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538874},
  doi          = {10.1109/ISCAS.2016.7538874},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/XuWJZWL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanMYWCL16,
  author       = {Bonan Yan and
                  Amr Mahmoud Mahmoud and
                  Jianhua Joshua Yang and
                  Qing Wu and
                  Yiran Chen and
                  Hai (Helen) Li},
  title        = {A neuromorphic {ASIC} design using one-selector-one-memristor crossbar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1390--1393},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527509},
  doi          = {10.1109/ISCAS.2016.7527509},
  timestamp    = {Fri, 05 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanMYWCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YanZZW16,
  author       = {Dongyang Yan and
                  Lei Zhang and
                  Li Zhang and
                  Yan Wang},
  title        = {A 3.1-4.2 GHz automatic amplitude control loop {VCO} with constant
                  Kvco and {\textless}10mV amplitude variation},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1654--1657},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538884},
  doi          = {10.1109/ISCAS.2016.7538884},
  timestamp    = {Thu, 23 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YanZZW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangA16,
  author       = {Mo Yang and
                  Paul Ampadu},
  title        = {Energy-efficient power trimming for reliable nanophotonic NoC microring
                  resonators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1682--1685},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538891},
  doi          = {10.1109/ISCAS.2016.7538891},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangL16,
  author       = {Xi Yang and
                  Hae{-}Seung Lee},
  title        = {Design of a 4th-order multi-stage feedforward operational amplifier
                  for continuous-time bandpass delta sigma modulators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1058--1061},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527426},
  doi          = {10.1109/ISCAS.2016.7527426},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangXLLHW16,
  author       = {Yang Yang and
                  Xiang Xie and
                  Guolin Li and
                  Huanhuan Li and
                  Yadong Huang and
                  Zhihua Wang},
  title        = {The design of high efficiency energy receiving coil for micro-ball
                  Endoscopy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1814--1817},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538922},
  doi          = {10.1109/ISCAS.2016.7538922},
  timestamp    = {Wed, 26 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangXLLHW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangYQ16,
  author       = {Enpin Yang and
                  Xiao Yan and
                  Kaiyu Qin},
  title        = {A greedy pursuit algorithm for arbitrary block sparse signal recovery},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1234--1237},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527470},
  doi          = {10.1109/ISCAS.2016.7527470},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangYQ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangZSXY16,
  author       = {Junmei Yang and
                  Chuan Zhang and
                  Wenqing Song and
                  Shugong Xu and
                  Xiaohu You},
  title        = {Joint detection and decoding for {MIMO} systems with polar codes},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {161--164},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527195},
  doi          = {10.1109/ISCAS.2016.7527195},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangZSXY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangZZY16,
  author       = {Junmei Yang and
                  Chuan Zhang and
                  Huayi Zhou and
                  Xiaohu You},
  title        = {Pipelined belief propagation polar decoders},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {413--416},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527258},
  doi          = {10.1109/ISCAS.2016.7527258},
  timestamp    = {Thu, 02 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YangZZY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YazicigilHZXK16,
  author       = {Rabia Tugce Yazicigil and
                  Tanbir Haque and
                  Jianxun Zhu and
                  Yang Xu and
                  Peter R. Kinget},
  title        = {{RF} circuit and system innovations for a new generation of wireless
                  terminals},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2783--2786},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539170},
  doi          = {10.1109/ISCAS.2016.7539170},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YazicigilHZXK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YeCZX16,
  author       = {Shurui Ye and
                  Zhibo Chen and
                  Wenhao Zhang and
                  Lidong Xu},
  title        = {Parallel palette mode decoding for {HEVC} {SCC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2551--2554},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539113},
  doi          = {10.1109/ISCAS.2016.7539113},
  timestamp    = {Thu, 21 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/YeCZX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YinLM16,
  author       = {Heyu Yin and
                  Lin Li and
                  Andrew J. Mason},
  title        = {Screen-printed planar metallization for lab-on-CMOS with epoxy carrier},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2887--2890},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539196},
  doi          = {10.1109/ISCAS.2016.7539196},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YinLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YoonSS16,
  author       = {Kiwon Yoon and
                  Seongbo Shim and
                  Youngsoo Shin},
  title        = {Crosslink insertion for minimizing {OCV} clock skew},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2587--2590},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539122},
  doi          = {10.1109/ISCAS.2016.7539122},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YoonSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YoshinagaAHS16,
  author       = {Motoki Yoshinaga and
                  Hiromitsu Awano and
                  Masayuki Hiromoto and
                  Takashi Sato},
  title        = {Physically unclonable function using RTN-induced delay fluctuation
                  in ring oscillators},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2619--2622},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539130},
  doi          = {10.1109/ISCAS.2016.7539130},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YoshinagaAHS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YouW16,
  author       = {Hongzhi You and
                  Da{-}Hui Wang},
  title        = {Neuromorphic implementation of attractor dynamics in decision circuit
                  with NMDARs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {369--372},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527247},
  doi          = {10.1109/ISCAS.2016.7527247},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YouW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuC16,
  author       = {Cunxi Yu and
                  Maciej J. Ciesielski},
  title        = {Automatic word-level abstraction of datapath},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1718--1721},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538899},
  doi          = {10.1109/ISCAS.2016.7538899},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuEMCSWH16,
  author       = {Xiaojian Yu and
                  Mihai Esanu and
                  Scott MacKay and
                  Jie Chen and
                  Mohamad Sawan and
                  David S. Wishart and
                  Wayne Hiebert},
  title        = {An impedance detection circuit for applications in a portable biosensor
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1518--1521},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527547},
  doi          = {10.1109/ISCAS.2016.7527547},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuEMCSWH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuMCPZR16,
  author       = {Qihang Yu and
                  Yongqiang Ma and
                  Badong Chen and
                  Jos{\'{e}} Carlos Pr{\'{\i}}ncipe and
                  Nanning Zheng and
                  Pengju Ren},
  title        = {A reconfigurable parallel {FPGA} accelerator for the adapt-then-combine
                  diffusion {LMS} algorithm},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {245--248},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527216},
  doi          = {10.1109/ISCAS.2016.7527216},
  timestamp    = {Wed, 26 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuMCPZR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuSYSZZS16,
  author       = {Zhelun Yu and
                  Jincheng Su and
                  Fan Yang and
                  Yangfeng Su and
                  Xuan Zeng and
                  Dian Zhou and
                  Weiping Shi},
  title        = {Fast compressive sensing reconstruction algorithm on {FPGA} using
                  Orthogonal Matching Pursuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527217},
  doi          = {10.1109/ISCAS.2016.7527217},
  timestamp    = {Wed, 19 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuSYSZZS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuWCZJCSWST16,
  author       = {Liting Yu and
                  Xiaoxiao Wang and
                  Yuanqing Cheng and
                  Xiaoying Zhao and
                  Pengyuan Jiao and
                  Aixin Chen and
                  Donglin Su and
                  LeRoy Winemberg and
                  Mehdi Sadi and
                  Mark M. Tehranipoor},
  title        = {An efficient all-digital IR-Drop Alarmer for DVFS-based SoC},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527210},
  doi          = {10.1109/ISCAS.2016.7527210},
  timestamp    = {Mon, 26 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuWCZJCSWST16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuZIF16,
  author       = {Dongsheng Yu and
                  Zhi Zhou and
                  Herbert H. C. Iu and
                  Tyrone Fernando},
  title        = {A coupled memcapacitor emulator based relaxation oscillator},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2895},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539198},
  doi          = {10.1109/ISCAS.2016.7539198},
  timestamp    = {Sat, 29 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuZIF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanP16,
  author       = {Bo Yuan and
                  Keshab K. Parhi},
  title        = {Belief propagation decoding of polar codes using stochastic computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527194},
  doi          = {10.1109/ISCAS.2016.7527194},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YuanWW16,
  author       = {Bo Yuan and
                  Yanzhi Wang and
                  Zhongfeng Wang},
  title        = {Area-efficient scaling-free {DFT/FFT} design using stochastic computing},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2904},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539207},
  doi          = {10.1109/ISCAS.2016.7539207},
  timestamp    = {Tue, 12 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YuanWW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengFHYZCS16,
  author       = {Xuan Zeng and
                  Chenlei Fang and
                  Qicheng Huang and
                  Fan Yang and
                  Dian Zhou and
                  Wei Cai and
                  Weiping Shi},
  title        = {High-speed link verification based on statistical inference},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {906--909},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527388},
  doi          = {10.1109/ISCAS.2016.7527388},
  timestamp    = {Thu, 14 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengFHYZCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengLBTK16,
  author       = {Zizhen Zeng and
                  Xing Li and
                  Amine Bermak and
                  Chi{-}Ying Tsui and
                  Wing{-}Hung Ki},
  title        = {A {WLAN} 2.4-GHz {RF} energy harvesting system with reconfigurable
                  rectifier for wireless sensor network},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2362--2365},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539059},
  doi          = {10.1109/ISCAS.2016.7539059},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengLBTK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZengZZGGTYZ16,
  author       = {Lang Zeng and
                  Deming Zhang and
                  Youguang Zhang and
                  Fanghui Gong and
                  Tianqi Gao and
                  Sa Tu and
                  Haiming Yu and
                  Weisheng Zhao},
  title        = {Spin wave based synapse and neuron for ultra low power neuromorphic
                  computation system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {918--921},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527391},
  doi          = {10.1109/ISCAS.2016.7527391},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZengZZGGTYZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhanKZL16,
  author       = {Chenchang Zhan and
                  Wing{-}Hung Ki and
                  Jiawei Zheng and
                  Yonggen Liu},
  title        = {A 0.035mm2 150mA fast-response low-dropout regulator based on matching-enhanced
                  error amplifier and multi-threshold-controlled unity-gain buffer in
                  0.13{\(\mu\)}m {CMOS}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2703--2706},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539151},
  doi          = {10.1109/ISCAS.2016.7539151},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhanKZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangBRBHR16,
  author       = {Chenming Zhang and
                  Lucien J. Breems and
                  Georgi I. Radulov and
                  Muhammed Bolatkale and
                  Hans Hegt and
                  Arthur H. M. van Roermund},
  title        = {A digital calibration technique for wide-band {CT} {MASH} {\(\Sigma\)}{\(\Delta\)}
                  ADCs with relaxed filter requirements},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1486--1489},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527539},
  doi          = {10.1109/ISCAS.2016.7527539},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangBRBHR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangDA16,
  author       = {Xinmiao Zhang and
                  Itai Dror and
                  Sanel Alterman},
  title        = {Low-power partial-parallel Chien search architecture with polynomial
                  degree reduction},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2459--2462},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539090},
  doi          = {10.1109/ISCAS.2016.7539090},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangDA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangFCWZ16,
  author       = {Li Zhang and
                  Z. N. Fu and
                  Shing{-}Chow Chan and
                  H. C. Wu and
                  Z. G. Zhang},
  title        = {A new L1-regularized time-varying autoregressive model for brain connectivity
                  estimation: {A} study using visual task-related fMRI data},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527162},
  doi          = {10.1109/ISCAS.2016.7527162},
  timestamp    = {Thu, 09 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangFCWZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangHL16,
  author       = {Mo M. Zhang and
                  Paul J. Hurst and
                  Stephen H. Lewis},
  title        = {An algorithmic {ADC} with greater than rail-to-rail input range and
                  near-Vt supply},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527175},
  doi          = {10.1109/ISCAS.2016.7527175},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangJD16,
  author       = {Yu Zhang and
                  Xin Jin and
                  Qionghai Dai},
  title        = {A {SVR} based quality metric for depth quality assessment},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2567--2570},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539117},
  doi          = {10.1109/ISCAS.2016.7539117},
  timestamp    = {Mon, 13 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangJD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangK16,
  author       = {Renyuan Zhang and
                  Mineo Kaneko},
  title        = {A 16-valued logic {FPGA} architecture employing analog memory circuit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {718--721},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527341},
  doi          = {10.1109/ISCAS.2016.7527341},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangT16,
  author       = {Xi Zhang and
                  Chi K. Tse},
  title        = {An effective generator-allocating method to enhance the robustness
                  of power grid},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {674--677},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527330},
  doi          = {10.1109/ISCAS.2016.7527330},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangTKZTCZ16,
  author       = {Liuyang Zhang and
                  Aida Todri{-}Sanial and
                  Wang Kang and
                  Youguang Zhang and
                  Lionel Torres and
                  Yuanqing Cheng and
                  Weisheng Zhao},
  title        = {Quantitative evaluation of reliability and performance for {STT-MRAM}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1150--1153},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527449},
  doi          = {10.1109/ISCAS.2016.7527449},
  timestamp    = {Mon, 02 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangTKZTCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangX16,
  author       = {Yu Zhang and
                  Tian Xia},
  title        = {Frequency domain clutter removal for compressive {OFDM} ground penetrating
                  radar},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {962--965},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527402},
  doi          = {10.1109/ISCAS.2016.7527402},
  timestamp    = {Wed, 22 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangX16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZLJ16,
  author       = {Yun Zhang and
                  Linwei Zhu and
                  Xiangkai Liu and
                  Gangyi Jiang},
  title        = {Allowable depth distortion based depth filtering for 3D high efficiency
                  video coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2559--2562},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539115},
  doi          = {10.1109/ISCAS.2016.7539115},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZLJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZWSB16,
  author       = {Bo Zhang and
                  Xiaopeng Zhong and
                  Bo Wang and
                  Pedro V. Sander and
                  Amine Bermak},
  title        = {Wide dynamic range {PSD} algorithms and their implementation for compressive
                  imaging},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2727--2730},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539156},
  doi          = {10.1109/ISCAS.2016.7539156},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZWSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoCYNCLZ16,
  author       = {Meng Zhao and
                  Zhongjian Chen and
                  Yixin Yang and
                  Yuze Niu and
                  Guangyi Chen and
                  Wengao Lu and
                  Yacong Zhang},
  title        = {A low-noise closed-loop interface for high-G capacitive micro-accelerometer},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2415--2418},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539079},
  doi          = {10.1109/ISCAS.2016.7539079},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoCYNCLZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoQWVWCT16,
  author       = {Hengyang Zhao and
                  Zhongdong Qi and
                  Shujuan Wang and
                  Kambiz Vafai and
                  Hai Wang and
                  Hai{-}Bao Chen and
                  Sheldon X.{-}D. Tan},
  title        = {Learning-based occupancy behavior detection for smart buildings},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {954--957},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527400},
  doi          = {10.1109/ISCAS.2016.7527400},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoQWVWCT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoSP16,
  author       = {Xueyuan Zhao and
                  Vidyasagar Sadhu and
                  Dario Pompili},
  title        = {Low-power all-analog circuit for rectangular-type analog joint source
                  channel coding},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1410--1413},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527514},
  doi          = {10.1109/ISCAS.2016.7527514},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoSP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoXYXLS16,
  author       = {Yanqing Zhao and
                  Juan Xu and
                  Jianguo Yang and
                  Xiaoyong Xue and
                  Yinyin Lin and
                  Jaehwang Sim},
  title        = {Novel 3D horizontal {RRAM} architecture with isolation cell structure
                  for sneak current depression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2807--2810},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539176},
  doi          = {10.1109/ISCAS.2016.7539176},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoXYXLS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhaoZFH16,
  author       = {Liang Zhao and
                  Debin Zhao and
                  Xiaopeng Fan and
                  Zhihai He},
  title        = {{HEVC} compressed domain moving object detection and classfication},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1990--1993},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538966},
  doi          = {10.1109/ISCAS.2016.7538966},
  timestamp    = {Sat, 01 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhaoZFH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengKT16,
  author       = {Jiawei Zheng and
                  Wing{-}Hung Ki and
                  Chi{-}Ying Tsui},
  title        = {A low-power chopper bandpass amplifier for biopotential sensors},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527230},
  doi          = {10.1109/ISCAS.2016.7527230},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengKT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengSLGKK16,
  author       = {Le Zheng and
                  Sangho Shin and
                  G. Scott Lloyd and
                  Maya B. Gokhale and
                  Kyungmin Kim and
                  Sung{-}Mo Kang},
  title        = {RRAM-based TCAMs for pattern search},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1382--1385},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527507},
  doi          = {10.1109/ISCAS.2016.7527507},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengSLGKK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhengZTHL16,
  author       = {Yongan Zheng and
                  Lili Zhou and
                  Fan Tian and
                  Mingxiao He and
                  Huailin Liao},
  title        = {A 51-nW 32.7-kHz {CMOS} relaxation oscillator with half-period pre-charge
                  compensation scheme for ultra-low power systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {830--833},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527369},
  doi          = {10.1109/ISCAS.2016.7527369},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhengZTHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhongZB16,
  author       = {Xiaopeng Zhong and
                  Bo Zhang and
                  Amine Bermak},
  title        = {A background subtraction based column-parallel analog-to-information
                  converter for motion-triggered vision sensor},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1426--1429},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527518},
  doi          = {10.1109/ISCAS.2016.7527518},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhongZB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouATC16,
  author       = {Liang Zhou and
                  Adam C. Abraham and
                  Simon Y. Tang and
                  Shantanu Chakrabartty},
  title        = {Approaching the limits of piezoelectricity driven hot-electron injection
                  for self-powered in vivo monitoring of micro-strain variations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1810--1813},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538921},
  doi          = {10.1109/ISCAS.2016.7538921},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouATC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouC16,
  author       = {Liang Zhou and
                  Shantanu Chakrabartty},
  title        = {Self-powered sensing and time-stamping of rare events using {CMOS}
                  Fowler-Nordheim tunneling timers},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2839--2842},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539184},
  doi          = {10.1109/ISCAS.2016.7539184},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouCH16,
  author       = {Yuteng Zhou and
                  Zhilu Chen and
                  Xinming Huang},
  title        = {A system-on-chip {FPGA} design for real-time traffic signal recognition
                  system},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1778--1781},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7538913},
  doi          = {10.1109/ISCAS.2016.7538913},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhouGC16,
  author       = {Jia Zhou and
                  Tong Ge and
                  Joseph S. Chang},
  title        = {Fully-additive printed electronics: Process Development Kit},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {862--865},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527377},
  doi          = {10.1109/ISCAS.2016.7527377},
  timestamp    = {Fri, 29 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhouGC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Zhu0L16,
  author       = {Limin Zhu and
                  Yi Wang and
                  Renfa Li},
  title        = {Efficient differential fault analysis attacks to {AES} decryption
                  for low cost sensors in IoTs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {554--557},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527300},
  doi          = {10.1109/ISCAS.2016.7527300},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/Zhu0L16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuPCYA16,
  author       = {Weinan Zhu and
                  Saungeun Park and
                  Hsiao{-}Yu Chang and
                  Maruthi N. Yogeesh and
                  Deji Akinwande},
  title        = {Flexible 2D nanoelectronics from baseband to sub-THz transistors and
                  circuits},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {409--412},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527257},
  doi          = {10.1109/ISCAS.2016.7527257},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuPCYA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuWS16,
  author       = {Di Zhu and
                  Jiacheng Wang and
                  Liter Siek},
  title        = {A close-loop time-mode temperature sensor with inaccuracy of -0.6{\textdegree}C/0.5{\textdegree}C
                  from -40{\textdegree}C to 120{\textdegree}C},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {942--945},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527397},
  doi          = {10.1109/ISCAS.2016.7527397},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuY16,
  author       = {Yaguang Zhu and
                  Jie Yuan},
  title        = {An on-chip para-C calibration architecture for successive approximation
                  {ADC}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1478--1481},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527537},
  doi          = {10.1109/ISCAS.2016.7527537},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuZGL16,
  author       = {Yucheng Zhu and
                  Guangtao Zhai and
                  Ke Gu and
                  Min Liu},
  title        = {Blindly evaluating stereoscopic image quality with free-energy principle},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2222--2225},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539024},
  doi          = {10.1109/ISCAS.2016.7539024},
  timestamp    = {Fri, 24 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuZGL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuZZZ16,
  author       = {Shuyuan Zhu and
                  Liaoyuan Zeng and
                  Bing Zeng and
                  Jiantao Zhou},
  title        = {Constrained quantization based transform domain down-conversion for
                  image compression},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {806--809},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527363},
  doi          = {10.1109/ISCAS.2016.7527363},
  timestamp    = {Thu, 31 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuZZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhuangC16,
  author       = {Yuming Zhuang and
                  Degang Chen},
  title        = {New strategies in removing non-coherency from signals with large distortion
                  to noise ratios},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2901},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539204},
  doi          = {10.1109/ISCAS.2016.7539204},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhuangC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZimpeckMPR16,
  author       = {Alexandra L. Zimpeck and
                  Cristina Meinhardt and
                  Gracieli Posser and
                  Ricardo Augusto da Luz Reis},
  title        = {FinFET cells with different transistor sizing techniques against {PVT}
                  variations},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527166},
  doi          = {10.1109/ISCAS.2016.7527166},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZimpeckMPR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZjajoAL16,
  author       = {Amir Zjajo and
                  Santosh Astigimath and
                  Rene van Leuken},
  title        = {A 2.7{\(\mu\)}W 10b 640kS/s time-based {A/D} converter for implantable
                  neural recording interface},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {1074--1077},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527430},
  doi          = {10.1109/ISCAS.2016.7527430},
  timestamp    = {Tue, 13 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZjajoAL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZongAZL16,
  author       = {Guangdeng Zong and
                  Zidong Ai and
                  Wei Xing Zheng and
                  Jinhu Lu},
  title        = {Some results on stochastic input-to-state stability of stochastic
                  switched nonlinear systems},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {670--673},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7527329},
  doi          = {10.1109/ISCAS.2016.7527329},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZongAZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZouMICL16,
  author       = {Hao Zou and
                  Yasser Moursy and
                  Ramy Iskander and
                  Jean{-}Paul Chaput and
                  Marie{-}Minerve Lou{\"{e}}rat},
  title        = {An adaptive mesh refinement strategy of substrate modeling for smart
                  power ICs},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  pages        = {2358--2361},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISCAS.2016.7539058},
  doi          = {10.1109/ISCAS.2016.7539058},
  timestamp    = {Tue, 17 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZouMICL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iscas/2016,
  title        = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016,
                  Montr{\'{e}}al, QC, Canada, May 22-25, 2016},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7515073/proceeding},
  isbn         = {978-1-4799-5341-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics