Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2013.bht:"
@inproceedings{DBLP:conf/iscas/AamirHW13, author = {Syed Ahmed Aamir and Prakash Harikumar and J. Jacob Wikner}, title = {Frequency compensation of high-speed, low-voltage {CMOS} multistage amplifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {381--384}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571860}, doi = {10.1109/ISCAS.2013.6571860}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AamirHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbbasianJ13, author = {Sadegh Abbasian and Thomas Johnson}, title = {{RF} current mode class-D power amplifiers under periodic and non-periodic switching conditions}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {610--613}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571916}, doi = {10.1109/ISCAS.2013.6571916}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AbbasianJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelfattahSR13, author = {Omar Abdelfattah and Ishiang Shih and Gordon W. Roberts}, title = {A simple analog {CMOS} design tool using transistor dimension-independent parameters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1067--1070}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572034}, doi = {10.1109/ISCAS.2013.6572034}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelfattahSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AddabboFMPV13, author = {Tommaso Addabbo and Ada Fort and Mauro Di Marco and Luca Pancioni and Valerio Vignoli}, title = {A 1-bit Physically Unclonable Function based on a two-neurons {CNN}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2529--2532}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572393}, doi = {10.1109/ISCAS.2013.6572393}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AddabboFMPV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkhtarN13, author = {Muhammad Tahir Akhtar and Akinori Nishihara}, title = {Acoustic feedback neutralization in digital hearing aids - {A} two adaptive filters-based solution}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {529--532}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571897}, doi = {10.1109/ISCAS.2013.6571897}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkhtarN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkitaYYMOT13, author = {Hironobu Akita and Takahisa Yoshimoto and Hirofumi Yamamoto and Nobuaki Matsudaira and Shigeki Ohtsuka and Shinichirou Taguchi}, title = {A 10-bit fast lock all-digital data recovery with {CR} oscillator reference for automotive network}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572060}, doi = {10.1109/ISCAS.2013.6572060}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkitaYYMOT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkyelCTPKFLG13, author = {Kaya Can Akyel and Lorenzo Ciampolini and Olivier Thomas and Bertrand Pelloux{-}Prayer and Shishir Kumar and Philippe Flatresse and Christophe Lecocq and G{\'{e}}rard Ghibaudo}, title = {Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm {UTBB-FDSOI}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1452--1455}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572130}, doi = {10.1109/ISCAS.2013.6572130}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkyelCTPKFLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-ObaidiKAO13, author = {Mohammed Al{-}Obaidi and Harshavardhan Kittur and H{\aa}kan Andersson and Viktor {\"{O}}wall}, title = {Hardware acceleration of the robust header compression (RoHC) algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {293--296}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571840}, doi = {10.1109/ISCAS.2013.6571840}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-ObaidiKAO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlbatainehS13, author = {Zaid Albataineh and Fathi M. Salem}, title = {New blind multiuser detection {DS-CDMA} algorithm using simplified fourth order cumulant matrices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1946--1949}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572249}, doi = {10.1109/ISCAS.2013.6572249}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlbatainehS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlbertoSC13, author = {Lu{\'{\i}}s F. C. Alberto and Warut Suampun and Hsiao{-}Dong Chiang}, title = {Towards development of a {CUEP} method for Network-preserving power system models}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1548--1551}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572154}, doi = {10.1109/ISCAS.2013.6572154}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlbertoSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Albo-CanalsVCV13, author = {Jordi Albo{-}Canals and David Vernet and Xavi Canaleta and Xavier Vilas{\'{\i}}s{-}Cardona}, title = {LSMaker: {A} robotic platform for Engineering Education}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2573--2576}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572404}, doi = {10.1109/ISCAS.2013.6572404}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Albo-CanalsVCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlbuK13, author = {Felix Albu and Hon Keung Kwan}, title = {New proportionate affine projection sign algorithms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {521--524}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571895}, doi = {10.1109/ISCAS.2013.6571895}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlbuK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliRG13, author = {Syed Sohaib Ali and Muhammad Mohsin Riaz and Abdul Ghafoor}, title = {Fuzzy logic and additive wavelet based image fusion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {761--764}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571958}, doi = {10.1109/ISCAS.2013.6571958}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltafY13, author = {Muhammad Awais Bin Altaf and Jerald Yoo}, title = {A 1.52 uJ/classification patient-specific seizure classification processor using Linear {SVM}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {849--852}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571980}, doi = {10.1109/ISCAS.2013.6571980}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AltafY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltolaguirreK13, author = {Federico A. Altolaguirre and Ming{-}Dou Ker}, title = {Low-leakage power-rail {ESD} clamp circuit with gated current mirror in a 65-nm {CMOS} technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2638--2641}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572420}, doi = {10.1109/ISCAS.2013.6572420}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AltolaguirreK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmbroseNP13, author = {Jude Angelo Ambrose and Isuru Nawinne and Sri Parameswaran}, title = {Latency-constrained binding of data flow graphs to energy conscious GALS-based MPSoCs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1212--1215}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572070}, doi = {10.1109/ISCAS.2013.6572070}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmbroseNP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AminMM13, author = {Md. Tawfiq Amin and Pui{-}In Mak and Rui Paulo Martins}, title = {A 0.5V 10GHz 8-phase {LC-VCO} Combining current-reuse and back-gate-coupling techniques consuming 2mW}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2698--2701}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572435}, doi = {10.1109/ISCAS.2013.6572435}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AminMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnPKCAL13, author = {Tai{-}Ji An and Jun{-}Sang Park and Yongmin Kim and Suk{-}Hee Cho and Gil{-}Cho Ahn and Seung{-}Hoon Lee}, title = {10b 150MS/s 0.4mm\({}^{\mbox{2}}\) 45nm {CMOS} {ADC} based on process-insensitive amplifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {316}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571855}, doi = {10.1109/ISCAS.2013.6571855}, timestamp = {Sat, 10 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AnPKCAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndreouG13, author = {Charalambos M. Andreou and Julius Georgiou}, title = {An all-subthreshold, 0.75V supply, 2ppm/{\textdegree}C, {CMOS} Voltage Reference}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1476--1479}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572136}, doi = {10.1109/ISCAS.2013.6572136}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndreouG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArdakaniMS13, author = {Arash Ardakani and Mojtaba Mahdavi and Mahdi Shabany}, title = {An efficient {VLSI} architecture of {QPP} interleaver/deinterleaver for {LTE} turbo coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {797--800}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571967}, doi = {10.1109/ISCAS.2013.6571967}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArdakaniMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroudiLARA13, author = {Abdelali El Aroudi and Miquel Lopez{-}Suarez and Eduard Alarc{\'{o}}n and Riccardo Rurali and Gabriel Abadal}, title = {Nonlinear dynamics in a graphene nanostructured device for energy harvesting}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2727--2730}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572442}, doi = {10.1109/ISCAS.2013.6572442}, timestamp = {Sun, 29 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AroudiLARA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AscoliTCG13, author = {Alon Ascoli and Ronald Tetzlaff and Fernando Corinto and Marco Gilli}, title = {PSpice switch-based versatile memristor model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {205--208}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571818}, doi = {10.1109/ISCAS.2013.6571818}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AscoliTCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AsgharRR13, author = {Sohail Asghar and Roc{\'{\i}}o del R{\'{\i}}o and Jos{\'{e}} M. de la Rosa}, title = {Undersampling RF-to-digital {CT} {\(\Sigma\)}{\(\Delta\)} modulator with tunable notch frequency and simplified raised-cosine {FIR} feedback {DAC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1994--1997}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572261}, doi = {10.1109/ISCAS.2013.6572261}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AsgharRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AtacLWSZWH13, author = {Aytac Atac and Lei Liao and Yifan Wang and Martin Schleyer and Ye Zhang and Ralf Wunderlich and Stefan Heinen}, title = {A 1.7mW quadrature bandpass {\(\Delta\)}{\(\Sigma\)} {ADC} with 1MHz {BW} and 60dB {DR} at 1MHz {IF}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1039--1042}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572027}, doi = {10.1109/ISCAS.2013.6572027}, timestamp = {Thu, 14 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AtacLWSZWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AtillaAKNY13, author = {Dogu Cagdas Atilla and Cagatay Aydin and Ramazan K{\"{o}}pr{\"{u}} and Tayfun Nesimoglu and B. Siddik Yarman}, title = {A tunable inductance topology to realize frequency tunable matching networks and amplifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {77--80}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571786}, doi = {10.1109/ISCAS.2013.6571786}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AtillaAKNY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AungLYK13, author = {Myat Thu Linn Aung and Eric Teck Heng Lim and Takefumi Yoshikawa and Tony Tae{-}Hyoung Kim}, title = {Design of self-biased fully differential receiver and crosstalk cancellation for capacitive coupled vertical interconnects in 3DICs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {966--969}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572009}, doi = {10.1109/ISCAS.2013.6572009}, timestamp = {Sat, 18 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AungLYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzconaCCMM13, author = {Cristina Azcona and Bel{\'{e}}n Calvo and Santiago Celma and Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Pedro A. Mart{\'{\i}}nez}, title = {A low-power ratiometric single/differential quasi-digital converter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572144}, doi = {10.1109/ISCAS.2013.6572144}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzconaCCMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizM13, author = {Pervez M. Aziz and Amaresh V. Malipatil}, title = {Analysis of a class of decimated clock/data recovery architectures for serial links}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572061}, doi = {10.1109/ISCAS.2013.6572061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BanerjeeC13, author = {Aritra Banerjee and Abhijit Chatterjee}, title = {An adaptive class-E power amplifier with improvement in efficiency, reliability and process variation tolerance}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {745--748}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571954}, doi = {10.1109/ISCAS.2013.6571954}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BanerjeeC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BangLLKKBS13, author = {Suyoung Bang and Yoonmyung Lee and Inhee Lee and Yejoong Kim and Gyouho Kim and David T. Blaauw and Dennis Sylvester}, title = {A fully integrated switched-capacitor based {PMU} with adaptive energy harvesting technique for ultra-low power sensing applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {709--712}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571945}, doi = {10.1109/ISCAS.2013.6571945}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BangLLKKBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarsakciogluEC13, author = {Deren Y. Barsakcioglu and Amir Eftekhar and Timothy G. Constandinou}, title = {Design optimisation of front-end neural interfaces for spike sorting systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2501--2504}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572387}, doi = {10.1109/ISCAS.2013.6572387}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BarsakciogluEC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BayonBAF13, author = {Pierre Bayon and Lilian Bossuet and Alain Aubert and Viktor Fischer}, title = {Electromagnetic analysis on ring oscillator-based true random number generators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1954--1957}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572251}, doi = {10.1109/ISCAS.2013.6572251}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BayonBAF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BellasiMBFKS13, author = {David E. Bellasi and Patrick Maechler and Andreas Burg and Norbert Felber and Hubert Kaeslin and Christoph Studer}, title = {Live demonstration: Real-time audio restoration using sparse signal recovery}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {659}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571929}, doi = {10.1109/ISCAS.2013.6571929}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BellasiMBFKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelottiBM13, author = {Oscar Belotti and Edoardo Bonizzoni and Franco Maloberti}, title = {Design of a third-order {\(\Sigma\)}{\(\Delta\)} modulator with minimum op-amps output swing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {821--824}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571973}, doi = {10.1109/ISCAS.2013.6571973}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelottiBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerdanPKSTPV13, author = {Radu Berdan and Themistoklis Prodromakis and Ali Khiat and Iulia Salaoru and Christofer Toumazou and F. Perez{-}Diaz and Eleni Vasilaki}, title = {Temporal processing with volatile memristors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {425--428}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571871}, doi = {10.1109/ISCAS.2013.6571871}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerdanPKSTPV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeuxOLLMTN13, author = {S{\'{e}}bastien Le Beux and Ian O'Connor and Zhen Li and Xavier Letartre and Christelle Monat and Jelena Trajkovic and Gabriela Nicolescu}, title = {Potential and pitfalls of silicon photonics computing and interconnect}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {317--320}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571845}, doi = {10.1109/ISCAS.2013.6571845}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BeuxOLLMTN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BezatiRM13, author = {Endri Bezati and Ghislain Roquier and Marco Mattavelli}, title = {Live demonstration: High level software and hardware synthesis of dataflow programs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {660}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571930}, doi = {10.1109/ISCAS.2013.6571930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BezatiRM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhamraI13, author = {Hansraj Bhamra and Pedro P. Irazoqui}, title = {A 2-MHZ, process and voltage compensated clock oscillator for biomedical implantable SoC in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {618--621}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571918}, doi = {10.1109/ISCAS.2013.6571918}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhamraI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhottoA13, author = {Md. Zulfiquar Ali Bhotto and Andreas Antoniou}, title = {New constrained affine-projection adaptive-filtering algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {517--520}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571894}, doi = {10.1109/ISCAS.2013.6571894}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhottoA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiggioBBS13, author = {Matteo Biggio and Federico Bizzarri and Angelo Brambilla and Marco Storace}, title = {Effects of numerical noise floor on the accuracy of time domain noise analysis in circuit simulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2694--2697}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572434}, doi = {10.1109/ISCAS.2013.6572434}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BiggioBBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BihrUXABO13, author = {Ulrich Bihr and Thomas Ungru and Hongcheng Xu and Jens Anders and Joachim Becker and Maurits Ortmanns}, title = {A bidirectional neural interface with a {HV} stimulator and a {LV} neural amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {401--404}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571865}, doi = {10.1109/ISCAS.2013.6571865}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BihrUXABO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BindraCSK13, author = {Harijot Singh Bindra and Shouri Chatterjee and Kaushik Saha and Taranjit Kukal}, title = {Clock and data recovery module in 90nm for 10Gbps serial link with -18dB channel attenuation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2472--2475}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572380}, doi = {10.1109/ISCAS.2013.6572380}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BindraCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiolekBBK13, author = {Dalibor Biolek and Zdenek Biolek and Viera Biolkov{\'{a}} and Zdenek Kolka}, title = {Some fingerprints of ideal memristors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {201--204}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571817}, doi = {10.1109/ISCAS.2013.6571817}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BiolekBBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriBGG13, author = {Federico Bizzarri and Angelo Brambilla and Giambattista Gruosso and Giancarlo Storti Gajani}, title = {Time domain probe insertion to find steady state of strongly nonlinear high-Q oscillators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1865--1868}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572229}, doi = {10.1109/ISCAS.2013.6572229}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriBGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlancoR13, author = {Andres A. Blanco and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {On-chip starter circuit for switched-inductor {DC-DC} harvester systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2723--2726}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572441}, doi = {10.1109/ISCAS.2013.6572441}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlancoR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlasiPDMBBPGZ13, author = {Marco De Blasi and Mino Pierri and Stefano D'Amico and Marcello De Matteis and Andrea Baschirotto and A. Bau and A. Passerini and M. Gervasi and Mario Zannoni}, title = {A monolithic {CMOS} automatic biasing system for 40GHz multistage {HEMT}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1708--1711}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572193}, doi = {10.1109/ISCAS.2013.6572193}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BlasiPDMBBPGZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlokhinaFHGF13, author = {Elena Blokhina and Daniele Fournier{-}Prunaret and Peter Harte and Dimitri Galayko and Orla Feely}, title = {Combined mechanical and circuit nonlinearities in electrostatic vibration energy harvesters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2739--2742}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572445}, doi = {10.1109/ISCAS.2013.6572445}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlokhinaFHGF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BobbaGSPM13, author = {Shashikanth Bobba and Pierre{-}Emmanuel Gaillardon and Ciprian Seiculescu and Vasilis F. Pavlidis and Giovanni De Micheli}, title = {3.5-D integration: {A} case study}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2087--2090}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572285}, doi = {10.1109/ISCAS.2013.6572285}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BobbaGSPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoopalGG13, author = {Padma Prasad Boopal and Mario Garrido and Oscar Gustafsson}, title = {A reconfigurable {FFT} architecture for variable-length and multi-streaming {OFDM} standards}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2066--2070}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572279}, doi = {10.1109/ISCAS.2013.6572279}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoopalGG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouASF13, author = {Elisenda Bou and Eduard Alarc{\'{o}}n and Raymond Sedwick and Peter Fisher}, title = {Interference analysis on Resonant Inductive Coupled Wireless Power Transfer links}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2783--2786}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572456}, doi = {10.1109/ISCAS.2013.6572456}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouASF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouSA13, author = {Elisenda Bou and Raymond Sedwick and Eduard Alarc{\'{o}}n}, title = {Maximizing efficiency through impedance matching from a circuit-centric model of non-radiative resonant wireless power transfer}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {29--32}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571774}, doi = {10.1109/ISCAS.2013.6571774}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouguezelAS13, author = {Saad Bouguezel and M. Omair Ahmad and M. N. S. Swamy}, title = {A new involutory parametric transform and its application to image encryption}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2605--2608}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572412}, doi = {10.1109/ISCAS.2013.6572412}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouguezelAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrucknerKLZMO13, author = {Timon Br{\"{u}}ckner and Martin Kiebler and Matthias Lorenz and Christoph Zorn and Wolfgang Mathis and Maurits Ortmanns}, title = {Calculating transfer functions of {CT} sigma-delta modulators with arbitrary {DAC} waveforms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1264--1267}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572083}, doi = {10.1109/ISCAS.2013.6572083}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrucknerKLZMO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrunetMJ13, author = {Simone Casale Brunet and Marco Mattavelli and J{\"{o}}rn W. Janneck}, title = {{TURNUS:} {A} design exploration framework for dataflow system design}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {654}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571927}, doi = {10.1109/ISCAS.2013.6571927}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrunetMJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrunetMJ13a, author = {Simone Casale Brunet and Marco Mattavelli and J{\"{o}}rn W. Janneck}, title = {Buffer optimization based on critical path analysis of a dataflow program design}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1384--1387}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572113}, doi = {10.1109/ISCAS.2013.6572113}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrunetMJ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrunnerN13, author = {Hans H. Brunner and Josef A. Nossek}, title = {Fun examples for teaching linear and nonlinear circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2557--2560}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572400}, doi = {10.1109/ISCAS.2013.6572400}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BrunnerN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BudhathokiSAK13, author = {Ram Kaji Budhathoki and Maheshwar Pd. Sah and Shyam Prasad Adhikari and Hyongsuk Kim}, title = {Composite memristance of parallel and serial memristor circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {209--212}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571819}, doi = {10.1109/ISCAS.2013.6571819}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BudhathokiSAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiWSQ13, author = {Daran Cai and Ang Wang and Ge Song and Weikang Qian}, title = {An ultra-fast parallel architecture using sequential circuits computing on random bits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2215--2218}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572316}, doi = {10.1109/ISCAS.2013.6572316}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiWSQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiZDVNP13, author = {Fang Cai and Xinmiao Zhang and David Declercq and Bane Vasic and Dung Viet Nguyen and Shiva Kumar Planjery}, title = {Low-complexity finite alphabet iterative decoders for {LDPC} codes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1332--1335}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572100}, doi = {10.1109/ISCAS.2013.6572100}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiZDVNP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CambareriHPRSW13, author = {Valerio Cambareri and Salvador Javier Haboba and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti and Kwok{-}Wo Wong}, title = {A two-class information concealing system based on compressed sensing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1356--1359}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572106}, doi = {10.1109/ISCAS.2013.6572106}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CambareriHPRSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CambareriMPRS13, author = {Valerio Cambareri and Mauro Mangia and Fabio Pareschi and Riccardo Rovatti and Gianluca Setti}, title = {A rakeness-based design flow for Analog-to-Information conversion by Compressive Sensing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1360--1363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572107}, doi = {10.1109/ISCAS.2013.6572107}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CambareriMPRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoCC13, author = {Yuan Cao and Chip{-}Hong Chang and Shoushun Chen}, title = {Cluster-based distributed active current timer for hardware Trojan detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572020}, doi = {10.1109/ISCAS.2013.6572020}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoLH13, author = {Jiuwen Cao and Zhiping Lin and Guang{-}Bin Huang}, title = {Voting base online sequential extreme learning machine for multi-class classification}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2327--2330}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572344}, doi = {10.1109/ISCAS.2013.6572344}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoLH13a, author = {Lang Cao and Xiang Li and Lin Han}, title = {Detecting community structure of networks using evolutionary coordination games}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2533--2536}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572394}, doi = {10.1109/ISCAS.2013.6572394}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoLH13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Carbajal-GomezTTM13, author = {Victor Hugo Carbajal{-}Gomez and Esteban Tlelo{-}Cuautle and Rodolfo Trejo{-}Guerra and Jes{\'{u}}s M. Mu{\~{n}}oz{-}Pacheco}, title = {Simulating the synchronization of multi-scroll chaotic oscillators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1773--1776}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572208}, doi = {10.1109/ISCAS.2013.6572208}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Carbajal-GomezTTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CeballosR13, author = {Jose Luis Ceballos and Christian Reindl}, title = {A low-power, ultra low-offset, 16.5-bit, {\(\Sigma\)}{\(\Delta\)} {ADC} for coulomb counting and fuel gauge applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {817--820}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571972}, doi = {10.1109/ISCAS.2013.6571972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CeballosR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CederstroemSMSSS13, author = {Love Cederstroem and Paul St{\"{a}}rke and Christian Mayr and Yao Shuai and Heidemarie Schmidt and Ren{\'{e}} Sch{\"{u}}ffny}, title = {A model based comparison of BiFeO3 device applicability in neuromorphic hardware}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2323--2326}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572343}, doi = {10.1109/ISCAS.2013.6572343}, timestamp = {Thu, 06 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CederstroemSMSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCCPW13, author = {Chung{-}Hsien Chang and Shi{-}Huang Chen and Bo{-}Wei Chen and Chih{-}Hsiang Peng and Jhing{-}Fa Wang}, title = {High-efficient hardware design based on enhanced Tschirnhaus transform for solving the LSPs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572127}, doi = {10.1109/ISCAS.2013.6572127}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCCPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangHC13, author = {Chao{-}Yang Chang and Chung{-}Hsun Huang and Yuan{-}Sun Chu}, title = {Efficient memory access methods for framebuffer-less video processing applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3026--3029}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572516}, doi = {10.1109/ISCAS.2013.6572516}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLHCGC13, author = {Kok{-}Leong Chang and Tong Lin and Weng{-}Geng Ho and Kwen{-}Siong Chong and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {A dual-core 8051 microcontroller system based on synchronous-logic and asynchronous-logic}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3022--3025}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572515}, doi = {10.1109/ISCAS.2013.6572515}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLHCGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLWZCCWCCTH13, author = {Ming{-}Hung Chang and Shang{-}Yuan Lin and Pei{-}Chen Wu and Olesya Zakoretska and Ching{-}Te Chuang and Kuan{-}Neng Chen and Chen{-}Chao Wang and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong and Wei Hwang}, title = {Near-/Sub-Vth process, voltage, and temperature {(PVT)} sensors with dynamic voltage selection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {133--136}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571800}, doi = {10.1109/ISCAS.2013.6571800}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLWZCCWCCTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangNTCCCCY13, author = {Chih{-}Wei Chang and Chia{-}Lung Ni and Jen{-}Chieh Tsai and Yi{-}Ting Chen and Chun{-}Yen Chen and Ke{-}Horng Chen and Long{-}Der Chen and Cheng{-}Chen Yang}, title = {High-PF and ultra-low-THD power factor correction controller by sinusoidal-wave synthesis and optimized {THD} control}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {917--920}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571997}, doi = {10.1109/ISCAS.2013.6571997}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangNTCCCCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangO13, author = {Chun{-}hsiang Chang and Marvin Onabajo}, title = {Linearization of subthreshold low-noise amplifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {377--380}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571859}, doi = {10.1109/ISCAS.2013.6571859}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangP13, author = {Feng{-}Ju Chang and Soo{-}Chang Pei}, title = {Color constancy via chromaticity neutralization: From single to multiple illuminants}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2808--2811}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572462}, doi = {10.1109/ISCAS.2013.6572462}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangQXM13, author = {Kan Chang and Tuanfa Qin and Wenbo Xu and Aidong Men}, title = {A joint reconstruction algorithm for multi-view compressed imaging}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {221--224}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571822}, doi = {10.1109/ISCAS.2013.6571822}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangQXM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangW13, author = {Chia{-}Ling Chang and Jieh{-}Tsorng Wu}, title = {A 1-V 100-dB dynamic range 24.4-kHz bandwidth delta-sigma modulator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {813--816}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571971}, doi = {10.1109/ISCAS.2013.6571971}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYLLLCCHJTHHKCWWLCS13, author = {Chi{-}Shin Chang and Hao{-}I Yang and Wei{-}Nan Liao and Yi{-}Wei Lin and Nan{-}Chun Lien and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Ming{-}Hsien Tu and Huan{-}Shun Huang and Yong{-}Jyun Hu and Paul{-}Sen Kan and Cheng{-}Yo Cheng and Wei{-}Chang Wang and Jian{-}Hao Wang and Kuen{-}Di Lee and Chia{-}Cheng Chen and Wei{-}Chiang Shih}, title = {A 40nm 1.0Mb pipeline 6T {SRAM} with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572134}, doi = {10.1109/ISCAS.2013.6572134}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYLLLCCHJTHHKCWWLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaoKLHC13, author = {I{-}Jen Chao and Chia{-}Ming Kuo and Bin{-}Da Liu and Chun{-}Yueh Huang and Soon{-}Jyh Chang}, title = {A 3rd-order delta-sigma modulator with timing-sharing opamp-sharing technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2002--2005}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572263}, doi = {10.1109/ISCAS.2013.6572263}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaoKLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chen13, author = {Yuan{-}Ho Chen}, title = {A high resolution FPGA-based merged delay line {TDC} with nonlinearity calibration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2432--2435}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572370}, doi = {10.1109/ISCAS.2013.6572370}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chen13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC13, author = {Linfeng Chen and Aijiao Cui}, title = {A power-efficient scan tree design by exploring the Q'-D connection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1018--1021}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572022}, doi = {10.1109/ISCAS.2013.6572022}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC13a, author = {Jie Chen and Lap{-}Pui Chau}, title = {Rain removal from dynamic scene based on motion segmentation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2139--2142}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572297}, doi = {10.1109/ISCAS.2013.6572297}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenH13, author = {Jienan Chen and Jianhao Hu}, title = {A novel {FIR} filter based on stochastic logic}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2050--2053}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572275}, doi = {10.1109/ISCAS.2013.6572275}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHWSL13, author = {Xiang Chen and Jenq{-}Neng Hwang and Po{-}Han Wu and Hsuan{-}Jung Su and Chung{-}Nan Lee}, title = {Adaptive mode and modulation coding switching scheme in {MIMO} multicasting system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {441--444}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571875}, doi = {10.1109/ISCAS.2013.6571875}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHWSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenKHW13, author = {Kun{-}Chih Chen and Che{-}Chuan Kuo and Hui{-}Shun Hung and An{-}Yeu Andy Wu}, title = {Traffic- and Thermal-aware Adaptive Beltway Routing for three dimensional Network-on-Chip systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1660--1663}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572182}, doi = {10.1109/ISCAS.2013.6572182}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenKHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenL13, author = {Chun{-}Chieh Chen and Nan{-}Ku Lu}, title = {Nonlinearity analysis of {R-2R} ladder-based current-steering digital to analog converter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {833--836}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571976}, doi = {10.1109/ISCAS.2013.6571976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLH13, author = {Shih{-}Ming Chen and Tsorng{-}Juu Liang and Yong{-}Hong Huang}, title = {A isolated bidirectional interleaved flyback converter for battery backup system application}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1328--1331}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572099}, doi = {10.1109/ISCAS.2013.6572099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLIW13, author = {Guang Chen and Zhenyu Liu and Takeshi Ikenaga and Dongsheng Wang}, title = {Fast {HEVC} intra mode decision using matching edge detector and kernel density estimation alike histogram generation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {53--56}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571780}, doi = {10.1109/ISCAS.2013.6571780}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLIW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenNIWL13, author = {Hong Chen and Ze{-}dong Nie and Kamen Ivanov and Lei Wang and Ran Liu}, title = {A statistical {MAC} protocol for heterogeneous-traffic human body communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2275--2278}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572331}, doi = {10.1109/ISCAS.2013.6572331}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenNIWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenS13, author = {Kuan{-}Hung Chen and Chin{-}Long Su}, title = {Reducing computation complexity for disparity matching}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2916--2919}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572489}, doi = {10.1109/ISCAS.2013.6572489}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTW13, author = {Jyun{-}Ting Chen and Kea{-}Tiong Tang and Guoxing Wang}, title = {Challenges in circuits for visual prostheses}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {634--637}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571922}, doi = {10.1109/ISCAS.2013.6571922}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTZ13, author = {Chia{-}Hsiang Chen and Yaoyu Tao and Zhengya Zhang}, title = {Efficient in situ error detection enabling diverse path coverage}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {773--776}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571961}, doi = {10.1109/ISCAS.2013.6571961}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenTZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWLC13, author = {Fanta Chen and Jen{-}Ming Wu and Jenny Yi{-}Chun Liu and Mau{-}Chung Frank Chang}, title = {A 100Gb/s quad-rate transformer-coupled injection-locking {CDR} circuit in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1950--1953}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572250}, doi = {10.1109/ISCAS.2013.6572250}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWXTJLY13, author = {Zhenyang Chen and Qin Wang and Jing Xie and Jin Tian and Jianfei Jiang and Yufei Li and Wen Yin}, title = {Modeling and analysis of signal transmission with Through Silicon Via {(TSV)} noise coupling}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2646--2649}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572422}, doi = {10.1109/ISCAS.2013.6572422}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWXTJLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenXM13, author = {Enqing Chen and Xiaoqiang Xiang and Xiaomin Mu}, title = {Channel estimation for {MIMO-OFDM} systems based on Subspace Pursuit algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1151--1154}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572055}, doi = {10.1109/ISCAS.2013.6572055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenXM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenYXCS13, author = {Yexin Chen and Na Yan and Jianfei Xu and Qiang Chen and Jie Sun}, title = {Low power, high linearity multi-mode downconversion mixer for {SDR}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {737--740}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571952}, doi = {10.1109/ISCAS.2013.6571952}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenYXCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZW13, author = {Hong Chen and Chun Zhang and Zhihua Wang}, title = {Live demonstration: {A} wireless force measurement system for total knee arthroplasty}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {672}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571935}, doi = {10.1109/ISCAS.2013.6571935}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZW13a, author = {Junlin Chen and Dong Zhao and Lei Wang}, title = {Link and energy adaptive UWB-based embedded sensing with renewable energy}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1825--1828}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572220}, doi = {10.1109/ISCAS.2013.6572220}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZW13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCC13, author = {Li{-}Fang Cheng and Tung{-}Chien Chen and Liang{-}Gee Chen}, title = {Low-power multi-processor system architecture design for universal biomedical signal processing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {857--860}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571982}, doi = {10.1109/ISCAS.2013.6571982}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHG13, author = {Ching{-}Hwa Cheng and Sheng{-}Wei Hsu and Jiun{-}In Guo}, title = {A low-cost scalable Voltage-Frequency Adjustor for implementing low-power systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {655--658}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571928}, doi = {10.1109/ISCAS.2013.6571928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHHHC13, author = {Wei{-}Sheng Cheng and Min{-}Han Hsieh and Shuo{-}Hong Hung and Szu{-}Yao Hung and Charlie Chung{-}Ping Chen}, title = {A 10-bit current-steering {DAC} for HomePlug {AV2} powerline communication system in 90nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2034--2037}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572271}, doi = {10.1109/ISCAS.2013.6572271}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHHHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengWBKRMNA13, author = {Binjie Cheng and Xingsheng Wang and Andrew R. Brown and Jente B. Kuang and Dave Reid and Campbell Millar and Sani R. Nassif and Asen Asenov}, title = {{SRAM} device and cell co-design considerations in a 14nm {SOI} FinFET technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2339--2342}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572347}, doi = {10.1109/ISCAS.2013.6572347}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengWBKRMNA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiHV13, author = {Shuli Chi and Katharina Hausmair and Christian Vogel}, title = {Coding efficiency of bandlimited {PWM} based burst-mode {RF} transmitters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2263--2266}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572328}, doi = {10.1109/ISCAS.2013.6572328}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiHV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangC13, author = {Pai{-}Tse Chiang and Tian{-}Sheuan Chang}, title = {A reconfigurable inverse transform architecture design for {HEVC} decoder}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1006--1009}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572019}, doi = {10.1109/ISCAS.2013.6572019}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangC13a, author = {Pai{-}Tse Chiang and Tian{-}Sheuan Chang}, title = {Fast zero block detection and early {CU} termination for {HEVC} Video Coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1640--1643}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572177}, doi = {10.1109/ISCAS.2013.6572177}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoLMMKK13, author = {Shin{-}Young Cho and Il{-}Oun Lee and SangCheol Moon and Gun{-}Woo Moon and Bong{-}Chul Kim and Ki Young Kim}, title = {Constant current charging in series-series compensated non-radiative wireless power link}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2792--2795}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572458}, doi = {10.1109/ISCAS.2013.6572458}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoLMMKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiK13, author = {Yunju Choi and Jaeha Kim}, title = {Robust random chip {ID} generation with wide-aperture clocked comparators and maximum likelihood detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1014--1017}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572021}, doi = {10.1109/ISCAS.2013.6572021}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouC13, author = {Wen{-}Sheng Chou and Tai{-}Shih Chi}, title = {A precedence effect based far-field DoA estimation algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2175--2178}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572306}, doi = {10.1109/ISCAS.2013.6572306}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuACC13, author = {Yen{-}Chia Chu and N. Sertac Artan and Dariusz Czarkowski and H. Jonathan Chao}, title = {A new single-stage {AC-DC} converter for medical implant devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2948--2951}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572497}, doi = {10.1109/ISCAS.2013.6572497}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuACC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuBKABO13, author = {Chao Chu and Timon Br{\"{u}}ckner and John G. Kauffman and Jens Anders and Joachim Becker and Maurits Ortmanns}, title = {Analysis and design of high speed/high linearity continuous time delta-sigma modulator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1268--1271}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572084}, doi = {10.1109/ISCAS.2013.6572084}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuBKABO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangHWT13, author = {Meng{-}Che Chuang and Jenq{-}Neng Hwang and Kresimir Williams and Richard Towler}, title = {Multiple fish tracking via Viterbi data association for low-frame-rate underwater camera systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2400--2403}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572362}, doi = {10.1109/ISCAS.2013.6572362}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangHWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangLR13, author = {Sheng{-}Chih Chuang and Wan{-}Ting Lin and Robert Rieger}, title = {Live demonstration: Axon emulator for evaluation of nerve recording systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {661}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571931}, doi = {10.1109/ISCAS.2013.6571931}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangLR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChunKTS13, author = {Hosung Chun and Omid Kavehei and Nhan Tran and Stan Skafidas}, title = {A flexible biphasic pulse generating and accurate charge balancing stimulator with a 1{\(\mu\)}W neural recording amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1885--1888}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572234}, doi = {10.1109/ISCAS.2013.6572234}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChunKTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chung13, author = {Yung{-}Hui Chung}, title = {The swapping binary-window {DAC} switching technique for {SAR} ADCs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2231--2234}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572320}, doi = {10.1109/ISCAS.2013.6572320}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chung13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungL13, author = {Ching{-}Che Chung and Jhih{-}Wei Li}, title = {An all-digital on-chip silicon oscillator with automatic {VT} range selection relative modeling}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2682--2685}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572431}, doi = {10.1109/ISCAS.2013.6572431}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CiancioORSS13, author = {Alexandre G. Ciancio and Jos{\'{e}} F. L. de Oliveira and Felipe M. Lopes Ribeiro and Eduardo A. B. da Silva and Amir Said}, title = {Quality perception in 3D interactive environments}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {9--12}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571769}, doi = {10.1109/ISCAS.2013.6571769}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CiancioORSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ClermidyDGMV13, author = {Fabien Clermidy and Denis Dutoit and Eric Guthmuller and Ivan Miro Panades and Pascal Vivet}, title = {3D stacking for multi-core architectures: From {WIDEIO} to distributed caches}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {537--540}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571899}, doi = {10.1109/ISCAS.2013.6571899}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ClermidyDGMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorintoAK13, author = {Fernando Corinto and Alon Ascoli and Sung{-}Mo Steve Kang}, title = {Memristor-based neural circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {417--420}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571869}, doi = {10.1109/ISCAS.2013.6571869}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorintoAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CornoS13, author = {Matteo Corno and Sergio M. Savaresi}, title = {A diffusive electro-equivalent Li-ion battery model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2976--2979}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572504}, doi = {10.1109/ISCAS.2013.6572504}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CornoS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CowanMF13, author = {Glenn E. R. Cowan and Mounir Meghelli and Daniel J. Friedman}, title = {A linearized voltage-controlled oscillator for dual-path phase-locked loops}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2678--2681}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572430}, doi = {10.1109/ISCAS.2013.6572430}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CowanMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CowanW13, author = {Glenn E. R. Cowan and Christopher Williams}, title = {Phase-locked loop architecture for enhanced voltage-controlled oscillator phase-noise suppression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2476--2479}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572381}, doi = {10.1109/ISCAS.2013.6572381}, timestamp = {Tue, 16 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CowanW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiMLLWWLY13, author = {Xiaoxin Cui and Kaisheng Ma and Kai Liao and Nan Liao and Di Wu and Wei Wei and Rui Li and Dunshan Yu}, title = {A Dynamic-Adjusting Threshold-Voltage Scheme for FinFETs low power designs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {129--132}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571799}, doi = {10.1109/ISCAS.2013.6571799}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiMLLWWLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiZL13, author = {Jing Cui and Yi{-}Qing Zhang and Xiang Li}, title = {On the clustering coefficients of temporal networks and epidemic dynamics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2299--2302}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572337}, doi = {10.1109/ISCAS.2013.6572337}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiZN13, author = {Chunhui Cui and Qian Zhang and King Ngi Ngan}, title = {Object segmentation from wide baseline video}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {717--720}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571947}, doi = {10.1109/ISCAS.2013.6571947}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DAngeloTAS13, author = {Robert D'Angelo and Michael Trakimas and Shuchin Aeron and Sameer R. Sonkusale}, title = {Experimental results on wideband spectrum sensing using random sampling {ADC} in 90nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1970--1973}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572255}, doi = {10.1109/ISCAS.2013.6572255}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DAngeloTAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DashCL13, author = {Partha Protim Dash and Glenn E. R. Cowan and Odile Liboiron{-}Ladouceur}, title = {Inductorless, powerl-proportional, optical receiver front-end in {TSMC} 90 nm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1127--1130}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572049}, doi = {10.1109/ISCAS.2013.6572049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DashCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavisFRDP13, author = {Sara H. Davis and Megan N. Frankle and Ravi Prakash Ramachandran and Kevin D. Dahm and Robi Polikar}, title = {A freshman level module in biometric systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2767--2770}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572452}, doi = {10.1109/ISCAS.2013.6572452}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DavisFRDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Deng13, author = {Guang Deng}, title = {Image enlargement using the giga-vision sensor model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {757--760}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571957}, doi = {10.1109/ISCAS.2013.6571957}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Deng13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengZCTW13, author = {Jiao{-}Jiao Deng and Tian{-}Qi Zhao and Hsiao{-}Dong Chiang and Yong Tang and Yi Wang}, title = {Convergence regions of Newton method in power flow studies: Numerical studies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1532--1535}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572150}, doi = {10.1109/ISCAS.2013.6572150}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DengZCTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DinakarraoY13, author = {Sai Manoj Pudukotai Dinakarrao and Hao Yu}, title = {Cyber-physical management for heterogeneously integrated 3D thousand-core on-chip microprocessor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {533--536}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571898}, doi = {10.1109/ISCAS.2013.6571898}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DinakarraoY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingMK13, author = {Chongjun Ding and Yiannos Manoli and Matthias Keller}, title = {Approaches to the implementation of noise-coupling in continuous-time Delta-Sigma modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1047--1050}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572029}, doi = {10.1109/ISCAS.2013.6572029}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingWHKI13, author = {Li Ding and Jing Wang and Zhangcai Huang and Atsushi Kurokawa and Yasuaki Inoue}, title = {An analytical model of the overshooting effect for multiple-input gates in nanometer technologies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1712--1715}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572194}, doi = {10.1109/ISCAS.2013.6572194}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingWHKI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoJPCSYK13, author = {Anh{-}Tuan Do and Karthik G. Jayaraman and Vincent Pott and Chua Geng Li and Pushpapraj Singh and Kiat Seng Yeo and Tony Tae{-}Hyoung Kim}, title = {An improved read/write scheme for anchorless {NEMS-CMOS} non-volatile memory}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1456--1459}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572131}, doi = {10.1109/ISCAS.2013.6572131}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoJPCSYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoTXCKY13, author = {Anh{-}Tuan Do and Yung Sern Tan and Gordon M. Xiong and Cleo Choong and Zhi{-}Hui Kong and Kiat Seng Yeo}, title = {A current-mode stimulator circuit with two-step charge balancing background calibration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {409--412}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571867}, doi = {10.1109/ISCAS.2013.6571867}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoTXCKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoanND13, author = {Vu{-}Hiep Doan and Viet Anh Nguyen and Minh N. Do}, title = {Efficient view synthesis based error concealment method for multiview video plus depth}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2900--2903}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572485}, doi = {10.1109/ISCAS.2013.6572485}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DoanND13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DominguesQ13, author = {Marcelo Domingues and Ant{\^{o}}nio Carlos M. de Queiroz}, title = {Ultra-low-power control systems for electrostatic energy harvesters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2960--2963}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572500}, doi = {10.1109/ISCAS.2013.6572500}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DominguesQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongLDZS13, author = {Lu Dong and Weisi Lin and Chenwei Deng and Ce Zhu and Hock Soon Seah}, title = {To exploit uncertainty masking for adaptive image rendering}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2848--2851}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572472}, doi = {10.1109/ISCAS.2013.6572472}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongLDZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongZ13, author = {Yikui Jen Dong and Freeman Zhong}, title = {A self-calibrating multi-VCO {PLL} scheme with leakage and capacitive modulation mitigations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1400--1403}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572117}, doi = {10.1109/ISCAS.2013.6572117}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongenS13, author = {Marijn N. van Dongen and Wouter A. Serdijn}, title = {A switched-mode multichannel neural stimulator with a minimum number of external components}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1877--1880}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572232}, doi = {10.1109/ISCAS.2013.6572232}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongenS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DooghabadiHL13, author = {Malihe Zarre Dooghabadi and H{\aa}kon A. Hjortland and Tor Sverre Lande}, title = {An ultra-wideband receiving antenna array}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2373--2376}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572355}, doi = {10.1109/ISCAS.2013.6572355}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DooghabadiHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuHJSUM13, author = {Yun Du and Tao He and Yang Jiang and Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A continuous-time VCO-assisted VCO-based {\(\Sigma\)}{\(\Delta\)} modulator with 76.6dB {SNDR} and 10MHz {BW}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {373--376}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571858}, doi = {10.1109/ISCAS.2013.6571858}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuHJSUM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanGCLH13, author = {Ling{-}Yu Duan and Feng Gao and Jie Chen and Jie Lin and Tiejun Huang}, title = {Compact descriptors for mobile visual search and {MPEG} {CDVS} standardization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {885--888}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571989}, doi = {10.1109/ISCAS.2013.6571989}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuanGCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuboisB13, author = {Martin Dubois and Mounir Boukadoum}, title = {Rules maps for scheduling algorithm knowledge}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1728--1731}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572198}, doi = {10.1109/ISCAS.2013.6572198}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuboisB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DunwellGC13, author = {Dustin Dunwell and Atul Gupta and Anthony Chan Carusone}, title = {Channel characterization using jitter measurements}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2666--2669}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572427}, doi = {10.1109/ISCAS.2013.6572427}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DunwellGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuportSPSDSHM13, author = {Fran{\c{c}}ois Duport and Anteo Smerieri and Yvan Paquot and Bendix Schneider and Joni Dambre and Benjamin Schrauwen and Marc Haelterman and Serge Massar}, title = {Recent advances in optical Reservoir Computing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {333--336}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571848}, doi = {10.1109/ISCAS.2013.6571848}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuportSPSDSHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElshurafaSH13, author = {Amro M. Elshurafa and Khaled N. Salama and P. H. Ho}, title = {Modeling and fabrication of an {RF} {MEMS} variable capacitor with a fractal geometry}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2711--2714}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572438}, doi = {10.1109/ISCAS.2013.6572438}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElshurafaSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnachescuLBIC13, author = {Marius Enachescu and Mihai Lefter and Antonios Bazigos and Adrian M. Ionescu and Sorin Dan Cotofana}, title = {Ultra low power {NEMFET} based logic}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {566--569}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571905}, doi = {10.1109/ISCAS.2013.6571905}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnachescuLBIC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanQDZ13, author = {Kui Fan and Honggang Qi and Dawei Du and Changhua Zhang}, title = {Recover image details from {LDR} photographs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2884--2887}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572481}, doi = {10.1109/ISCAS.2013.6572481}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FanQDZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangLCLFD13, author = {Yuming Fang and Weisi Lin and Zhenzhong Chen and Chia{-}Wen Lin and Zhijun Fang and Chenwei Deng}, title = {A saliency detection model based on sparse features and visual acuity}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2888--2891}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572482}, doi = {10.1109/ISCAS.2013.6572482}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangLCLFD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangWC13, author = {Yi Fang and Lin Wang and Guanrong Chen}, title = {Performance of a multiple-access {DCSK-CC} system over Nakagami-m fading channels}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {277--280}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571836}, doi = {10.1109/ISCAS.2013.6571836}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FangWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarahiniLTSCHY13, author = {Nasim Farahini and Shuo Li and Muhammad Adeel Tajammul and Muhammad Ali Shami and Guo Chen and Ahmed Hemani and Wei Ye}, title = {39.9 GOPs/watt multi-mode {CGRA} accelerator for a multi-standard basestation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1448--1451}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572129}, doi = {10.1109/ISCAS.2013.6572129}, timestamp = {Fri, 24 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarahiniLTSCHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FattahDISZA13, author = {Shaikh Anowarul Fattah and Abul Barkat Mollah Sayeed Ud Doulah and Md. Asif Iqbal and Celia Shahnaz and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {Identification of motor neuron disease using wavelet domain features extracted from {EMG} signal}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1308--1311}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572094}, doi = {10.1109/ISCAS.2013.6572094}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FattahDISZA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FeldmannST13, author = {Ute Feldmann and Torsten Schmidt and Ronald Tetzlaff}, title = {Analysis of multi-memristor circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {429--432}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571872}, doi = {10.1109/ISCAS.2013.6571872}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FeldmannST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FenaroliLSL13, author = {Andrea Fenaroli and Salvatore Levantino and Carlo Samori and Andrea L. Lacaita}, title = {Background adaptive linearization of high-speed digital-to-analog Converters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {582--585}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571909}, doi = {10.1109/ISCAS.2013.6571909}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FenaroliLSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fernandez-BerniCR13, author = {Jorge Fern{\'{a}}ndez{-}Berni and Ricardo Carmona{-}Gal{\'{a}}n and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {An ultra-low-power voltage-mode asynchronous {WTA-LTA} circuit}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1817--1820}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572218}, doi = {10.1109/ISCAS.2013.6572218}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fernandez-BerniCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FilanovskyO13, author = {Igor M. Filanovsky and Agustin Ochoa}, title = {On loop gain in linear networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2702--2705}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572436}, doi = {10.1109/ISCAS.2013.6572436}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FilanovskyO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ForaPBGGVMDL13, author = {David Gascon Fora and Eduardo Picatoste and Carlos Abellan Beteta and Eugeni Grauges and Llu{\'{\i}}s Garrido and Xavier Vilas{\'{\i}}s{-}Cardona and F. Machefert and O. Duarte and J. Lefrancois}, title = {Analog signal processing solutions for particle physics detectors: Upgrade of the LHCb calorimeter electronics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1051--1054}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572030}, doi = {10.1109/ISCAS.2013.6572030}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ForaPBGGVMDL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ForbesHSG13, author = {Travis Forbes and Wei{-}Gi Ho and Nan Sun and Ranjit Gharpurey}, title = {A frequency-folded {ADC} architecture with digital {LO} synthesis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {149--152}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571804}, doi = {10.1109/ISCAS.2013.6571804}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ForbesHSG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FranciscoRSCF13, author = {Nelson C. Francisco and Nuno M. M. Rodrigues and Eduardo A. B. da Silva and Murilo B. de Carvalho and S{\'{e}}rgio M. M. de Faria}, title = {Video compression using 3D multiscale recurrent patterns}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1412--1415}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572120}, doi = {10.1109/ISCAS.2013.6572120}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FranciscoRSCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrancoGCRLBKRJH13, author = {Murillo Franco and Jairo G{\"{u}}iza and Erasmo Chiappetta and Sergio Rueda and H. Luis and J. Bertuzzo and Jim Koeppe and Tim Robins and Julian Jenkins and Tara Julia Hamilton}, title = {Electronically programmable test points for on-chip analog/digital measurements}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2670--2673}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572428}, doi = {10.1109/ISCAS.2013.6572428}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrancoGCRLBKRJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FranzonPLDT13, author = {Paul D. Franzon and Shivam Priyadarshi and Steve Lipa and W. Rhett Davis and Thorlindur Thorolfsson}, title = {Exploring early design tradeoffs in 3DIC}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {545--549}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571901}, doi = {10.1109/ISCAS.2013.6571901}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FranzonPLDT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreebornME13, author = {Todd J. Freeborn and Brent Maundy and Ahmed S. Elwakil}, title = {Accurate time domain extraction of supercapacitor fractional-order model parameters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2259--2262}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572327}, doi = {10.1109/ISCAS.2013.6572327}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreebornME13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuTF13, author = {Wei Fu and Siang Tong Tan and Ayman A. Fayed}, title = {Switching and conduction loss analysis of buck converters operating in DCM-only scenarios}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {921--924}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571998}, doi = {10.1109/ISCAS.2013.6571998}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuZC13, author = {Zening Fu and Zhiguo Zhang and Shing{-}Chow Chan}, title = {Estimation of time-varying autocorrelation and its application to time-frequency analysis of nonstationary signals}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572148}, doi = {10.1109/ISCAS.2013.6572148}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalaykoB13, author = {Dimitri Galayko and Elena Blokhina}, title = {Nonlinear effects in electrostatic vibration energy harvesters: Current progress and perspectives}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2719--2722}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572440}, doi = {10.1109/ISCAS.2013.6572440}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalaykoB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaliasT13, author = {Zbigniew Galias and Warwick Tucker}, title = {Combination of exhaustive search and continuation method for the study of sinks in the H{\'{e}}non map}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2751--2754}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572448}, doi = {10.1109/ISCAS.2013.6572448}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaliasT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GandeGM13, author = {Manideep Gande and Jon Guerber and Un{-}Ku Moon}, title = {Analysis of back-end flash in a 1.5b/stage pipelined {ADC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2247--2250}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572324}, doi = {10.1109/ISCAS.2013.6572324}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GandeGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gao0MLRB13, author = {Hao Gao and Yan Wu and Marion K. Matters{-}Kammerer and Jean{-}Paul M. G. Linnartz and Arthur H. M. van Roermund and Peter G. M. Baltus}, title = {System analysis and energy model for radio-triggered battery-less monolithic wireless sensor receiver}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1572--1575}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572160}, doi = {10.1109/ISCAS.2013.6572160}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Gao0MLRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoD0YWTCK13, author = {Wen Gao and Ling{-}Yu Duan and Jun Sun and Junsong Yuan and Yonggang Wen and Yap{-}Peng Tan and Jianfei Cai and Alex C. Kot}, title = {Mobile media communication, processing, and analysis: {A} review of recent advances}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {869--872}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571985}, doi = {10.1109/ISCAS.2013.6571985}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoD0YWTCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoJMMSRB13, author = {Hao Gao and Ulf Johannsen and Marion K. Matters{-}Kammerer and Dusan M. Milosevic and Adrianus Bart Smolders and Arthur H. M. van Roermund and Peter G. M. Baltus}, title = {A 60-GHz rectenna for monolithic wireless sensor tags}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2796--2799}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572459}, doi = {10.1109/ISCAS.2013.6572459}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoJMMSRB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoLIB13, author = {Zhe Gao and John C. Liobe and Zeljko Ignjatovic and Mark F. Bocko}, title = {Noise model of indirect-feedback sigma-delta image sensors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2195--2198}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572311}, doi = {10.1109/ISCAS.2013.6572311}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoLIB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoMZG13, author = {Min Gao and Siwei Ma and Debin Zhao and Wen Gao}, title = {A spatial inter-view auto-regressive super-resolution scheme for multi-view image via scene matching algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2880--2883}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572480}, doi = {10.1109/ISCAS.2013.6572480}, timestamp = {Mon, 21 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoMZG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GargiuloTSMT13, author = {Gaetano D. Gargiulo and Jonathan Tapson and Andr{\'{e}} van Schaik and Alistair Lee McEwan and Aravinda Thiagalingam}, title = {Unipolar {ECG} circuits: Towards more precise cardiac event identification}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {662--665}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571932}, doi = {10.1109/ISCAS.2013.6571932}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GargiuloTSMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GengM13, author = {Yongtao Geng and Dongsheng Ma}, title = {Design of reliable 2{\texttimes}VDD and 3{\texttimes}VDD series-parallel charge pumps in nanoscale {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {705--708}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571944}, doi = {10.1109/ISCAS.2013.6571944}, timestamp = {Fri, 06 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GengM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GengXLYRW13, author = {Shuli Geng and Ni Xu and Jun Li and Xueyi Yu and Woogeun Rhee and Zhihua Wang}, title = {A {PLL/DLL} based {CDR} with {\(\Delta\)}{\(\Sigma\)} frequency tracking and low algorithmic jitter generation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1179--1182}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572062}, doi = {10.1109/ISCAS.2013.6572062}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GengXLYRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgievGH13, author = {Mihail Georgiev and Atanas P. Gotchev and Miska M. Hannuksela}, title = {Influence Of camera imaging pipeline on stereo-matching quality: An experimental study}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2908--2911}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572487}, doi = {10.1109/ISCAS.2013.6572487}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgievGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GharaviD13, author = {Sam Gharavi and Babak Daneshrad}, title = {A new, delay-line-discriminator-based, hybrid RF/digital phase noise cancellation technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1131--1134}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572050}, doi = {10.1109/ISCAS.2013.6572050}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GharaviD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhoshP13, author = {Abhishek Ghosh and Sudhakar Pamarti}, title = {Mitigating timing errors in time-interleaved ADCs: {A} signal conditioning approach}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {357--360}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571854}, doi = {10.1109/ISCAS.2013.6571854}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhoshP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GimenoGACA13, author = {Cecilia Gimeno and Erick Guerrero and Concepci{\'{o}}n Aldea and Santiago Celma and Cristina Azcona}, title = {A fully-differential adaptive equalizer using the spectrum-balancing technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1187--1190}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572064}, doi = {10.1109/ISCAS.2013.6572064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GimenoGACA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoncalvesFM13, author = {Hugo B. Goncalves and Jorge R. Fernandes and Miguel A. Martins}, title = {A study on {MOSFET} rectifiers maximum output voltage for {RF} power harvesting circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2964--2967}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572501}, doi = {10.1109/ISCAS.2013.6572501}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GoncalvesFM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoodarzySS13, author = {Farhad Goodarzy and Behnam Sedighi and Efstratios Skafidas}, title = {Micro-watt inductorless gm-boost {LNA} for biomedical implants}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1914--1917}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572241}, doi = {10.1109/ISCAS.2013.6572241}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GoodarzySS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GopiD13, author = {Neela Gopi and Jeffrey Draper}, title = {Logic-on-logic partitioning techniques for 3-dimensional integrated circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {789--792}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571965}, doi = {10.1109/ISCAS.2013.6571965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GopiD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrafBKM13, author = {Roman Graf and Ahmed Nabil Belbachir and Ross King and Manfred Mayerhofer}, title = {Quality control of real-time panoramic views from the smart camera 360SCAN}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {650--653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571926}, doi = {10.1109/ISCAS.2013.6571926}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrafBKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZS13, author = {Jian{-}Feng Gu and Wei{-}Ping Zhu and M. N. S. Swamy}, title = {Sparse linear arrays for estimating and tracking DOAs of signals with known waveforms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2187--2190}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572309}, doi = {10.1109/ISCAS.2013.6572309}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZYZ13, author = {Ke Gu and Guangtao Zhai and Xiaokang Yang and Wenjun Zhang}, title = {A new reduced-reference image quality assessment using structural degradation model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1095--1098}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572041}, doi = {10.1109/ISCAS.2013.6572041}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuZYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZYZ13a, author = {Ke Gu and Guangtao Zhai and Xiaokang Yang and Wenjun Zhang}, title = {Self-adaptive scale transform for {IQA} metric}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2365--2368}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572353}, doi = {10.1109/ISCAS.2013.6572353}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuZYZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoATPSXLZ13, author = {Yuanfang Guo and Oscar C. Au and Ketan Tang and Jiahao Pang and Wenxiu Sun and Lingfeng Xu and Jiali Li and Xingyu Zhang}, title = {Data hiding in error diffused color halftone images}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2996--2999}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572509}, doi = {10.1109/ISCAS.2013.6572509}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoATPSXLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoGCZ13, author = {Yong Guo and Zhiyong Gao and Li Chen and Xiaoyun Zhang}, title = {Effective early termination using adaptive search order for frame rate up-conversion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1416--1419}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572121}, doi = {10.1109/ISCAS.2013.6572121}, timestamp = {Fri, 16 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoGCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoKSTS13, author = {Wenjuan Guo and Youngchun Kim and Arindam Sanyal and Ahmed H. Tewfik and Nan Sun}, title = {A single {SAR} {ADC} converting multi-channel sparse signals}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2235--2238}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572321}, doi = {10.1109/ISCAS.2013.6572321}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoKSTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoLCL13, author = {Jing{-}Ming Guo and Chen{-}Chi Lin and Che{-}Hao Chang and Yun{-}Fu Liu}, title = {Face gender recognition with halftoning-based adaboost classifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2497--2500}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572386}, doi = {10.1109/ISCAS.2013.6572386}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoLLW13, author = {Sanchuan Guo and Zhenyu Liu and Guohong Li and Dongsheng Wang}, title = {Content-aware write reduction mechanism of phase-change {RAM} based Frame Store in {H.264} Video codec system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {273--276}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571835}, doi = {10.1109/ISCAS.2013.6571835}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoLLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaAMR13, author = {Priyank Gupta and Ali Akoglu and Kathleen L. Melde and Janet Meiling Wang Roveda}, title = {{FPGA} based single cycle, reconfigurable router for NoC applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2428--2431}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572369}, doi = {10.1109/ISCAS.2013.6572369}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuptaAMR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaSKEBR13, author = {Anurag Gupta and Mitchell Spryn and Bruce C. Kim and Eugene Edwards and Christina Brantley and Paul Ruffin}, title = {Design of nanosensing platform based on zinc oxide nanowire arrays}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2335--2338}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572346}, doi = {10.1109/ISCAS.2013.6572346}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaSKEBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GustafssonE13, author = {Oscar Gustafsson and Andreas Ehliar}, title = {Low-complexity general {FIR} filters based on Winograd's inner product algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {93--96}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571790}, doi = {10.1109/ISCAS.2013.6571790}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GustafssonE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaCY13, author = {Unsoo Ha and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.7pJ/bit 2Gbps self-synchronous serial link receiver using gated-ring oscillator for inductive coupling communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572063}, doi = {10.1109/ISCAS.2013.6572063}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaYCK13, author = {Junghyun Ha and Janghyuk Yoon and Ik Joon Chang and Jinsang Kim}, title = {Low-complexity decision directed method for carrier frequency offset estimation of {IEEE} 802.11ad}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2163--2166}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572303}, doi = {10.1109/ISCAS.2013.6572303}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaYCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HammamiH13, author = {Omar Hammami and Khawla Hamwi}, title = {{MHYNESYS} {II:} Multi-stage hybrid Network on chip synthesis for Next Generation 3D {IC} Manycore}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {325--328}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571847}, doi = {10.1109/ISCAS.2013.6571847}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HammamiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamoudaFWU13, author = {Mohamed Hamouda and Georg Fischer and Robert Weigel and Thomas Ussm{\"{u}}ller}, title = {A compact analog active time delay line using SiGe BiCMOS technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1055--1058}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572031}, doi = {10.1109/ISCAS.2013.6572031}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamoudaFWU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanG13, author = {Te Han and Weixin Gai}, title = {A 2.7-GHz digitally-controlled ring oscillator with supply sensitivity of 0.0014{\%}-fDCO/1{\%}-VDD using digital current-regulated tuning}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2690--2693}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572433}, doi = {10.1109/ISCAS.2013.6572433}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanRSZ13, author = {Song Han and Na Rong and Ting Sun and Jing Zhang}, title = {An approach for estimating mode shape for participation of inter-area oscillation mode}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2968--2971}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572502}, doi = {10.1109/ISCAS.2013.6572502}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanRSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanWL013, author = {Yinhe Han and Ying Wang and Huawei Li and Xiaowei Li}, title = {Enabling Near-Threshold Voltage(NTV) operation in Multi-VDD cache for power reduction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {337--340}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571849}, doi = {10.1109/ISCAS.2013.6571849}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanWL013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hanyu13, author = {Takahiro Hanyu}, title = {Challenge of MTJ/MOS-hybrid logic-in-memory architecture for nonvolatile {VLSI} processor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {117--120}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571796}, doi = {10.1109/ISCAS.2013.6571796}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hanyu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarounACM13, author = {Reham Haroun and Abdelali El Aroudi and Angel Cid{-}Pastor and Luis Mart{\'{\i}}nez{-}Salamero}, title = {Stability issues in cascade connected switching converters for {DC} microgrid applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1324--1327}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572098}, doi = {10.1109/ISCAS.2013.6572098}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HarounACM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarounH13, author = {Mostafa A. N. Haroun and Anas A. Hamoui}, title = {A current-mirror opamp with switchable transconductances for low-power switched-capacitor integrators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {393--396}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571863}, doi = {10.1109/ISCAS.2013.6571863}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarounH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasanuzzamanSKRS13, author = {Md. Hasanuzzaman and Guillaume Simard and Nedialko I. Krouchev and Rabin Raut and Mohamad Sawan}, title = {Capacitive-data links, energy-efficient and high-voltage compliant visual intracortical microstimulation system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {646--649}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571925}, doi = {10.1109/ISCAS.2013.6571925}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasanuzzamanSKRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HashimB13, author = {Ahmed Hashim and Bertan Bakkaloglu}, title = {Fast transient digitally controlled buck regulator with inductor current slew-rate boost}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2944--2947}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572496}, doi = {10.1109/ISCAS.2013.6572496}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HashimB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HausmairCV13, author = {Katharina Hausmair and Shuli Chi and Christian Vogel}, title = {How to reach 100{\%} coding efficiency in multilevel burst-mode {RF} transmitters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2255--2258}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572326}, doi = {10.1109/ISCAS.2013.6572326}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HausmairCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeHZHZ13, author = {Yandong He and Jie Hong and Ganggang Zhang and Lin Han and Xing Zhang}, title = {A monitoring circuit for {NBTI} degradation at 65nm technology node}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2083--2086}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572284}, doi = {10.1109/ISCAS.2013.6572284}, timestamp = {Sun, 14 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeHZHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeoHE13, author = {Seok Won Heo and Suk Joong Huh and Milos D. Ercegovac}, title = {Power optimization in a parallel multiplier using voltage islands}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {345--348}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571851}, doi = {10.1109/ISCAS.2013.6571851}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeoHE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezPP13, author = {Luis Hern{\'{a}}ndez and Enrique Prefasi and Susana Pat{\'{o}}n}, title = {A distortion corrected passive {RC} noise shaping {ADC} for biomedical applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {590--593}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571911}, doi = {10.1109/ISCAS.2013.6571911}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HernandezPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCGC13, author = {Weng{-}Geng Ho and Kwen{-}Siong Chong and Bah{-}Hwee Gwee and Joseph S. Chang}, title = {Low power sub-threshold asynchronous {QDI} Static Logic Transistor-level Implementation {(SLTI)} 32-bit {ALU}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {353--356}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571853}, doi = {10.1109/ISCAS.2013.6571853}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCZW13, author = {Kuan{-}Yu Ho and Yu{-}Hao Chen and Cheng{-}Zhou Zhan and An{-}Yeu Andy Wu}, title = {{VLSI} implementation of real-time motion compensated beamforming in synthetic transmit aperture imaging}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1893--1896}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572236}, doi = {10.1109/ISCAS.2013.6572236}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongXL13, author = {Yibin Hong and Zhixiong Xie and Yong Lian}, title = {Wireless wearable {ECG} sensor design based on level-crossing sampling and linear interpolation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1300--1303}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572092}, doi = {10.1109/ISCAS.2013.6572092}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoCCHY13, author = {Yi{-}Mao Hsiao and Yuan{-}Sun Chu and Chao{-}Yang Chang and Chung{-}Hsun Huang and Hsi{-}Hsun Yeh}, title = {A high throughput {ASIC} design for IPv6 routing lookup system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {505--508}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571891}, doi = {10.1109/ISCAS.2013.6571891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoCCHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehSCCH13, author = {Chi{-}Hsuan Hsieh and Yi{-}Hsiang Shen and Yu{-}Fang Chiu and Ta{-}Shun Chu and Yuan{-}Hao Huang}, title = {Human respiratory feature extraction on an {UWB} radar signal processing platform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1079--1082}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572037}, doi = {10.1109/ISCAS.2013.6572037}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehSCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLC13, author = {Tzu{-}Hsuan Hsu and Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {Using condition flag prediction to improve the performance of out-of-order processors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572077}, doi = {10.1109/ISCAS.2013.6572077}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsueP13, author = {Wen{-}Liang Hsue and Soo{-}Chang Pei}, title = {Closed-form eigenvectors of the discrete Fourier Transform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2597--2600}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572410}, doi = {10.1109/ISCAS.2013.6572410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsueP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuG13, author = {Yuanqi Hu and Pantelis Georgiou}, title = {A direct-capacitive feedback {ISFET} interface for pH reaction monitoring}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {189--192}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571814}, doi = {10.1109/ISCAS.2013.6571814}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuG13a, author = {Yuanqi Hu and Pantelis Georgiou}, title = {A study of the partitioned dynamic programming algorithm for genome comparison in {FPGA}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1897--1900}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572237}, doi = {10.1109/ISCAS.2013.6572237}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuG13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuM13, author = {Xiaohao Hu and Philip K. T. Mok}, title = {Analysis and design of three-state controlled transition mode for a buck-boost converter with efficiency and stability enhancement}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {697--700}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571942}, doi = {10.1109/ISCAS.2013.6571942}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuNM13, author = {Linjia Hu and Saeid Nooshabadi and Todor Mladenov}, title = {Forward error correction with RaptorQ code on {GPU}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {281--284}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571837}, doi = {10.1109/ISCAS.2013.6571837}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuNM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuSMP13, author = {Ping Hu and Qi Sun and Xiangxu Meng and Jingliang Peng}, title = {Data-driven human motion synthesis based on angular momentum analysis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {929--932}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572000}, doi = {10.1109/ISCAS.2013.6572000}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuSMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuWLPZSW13, author = {Tongning Hu and Bo Wang and Shan Liu and Yi Peng and Jinghai Zhang and Jinpeng Shen and Xin'an Wang}, title = {A super-regenerative pulsed {UWB} receiver combined with injection-locking}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1584--1587}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572163}, doi = {10.1109/ISCAS.2013.6572163}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuWLPZSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuY13, author = {Tingxiao Hu and Bo Yan}, title = {Effective retargeting for image coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {873--876}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571986}, doi = {10.1109/ISCAS.2013.6571986}, timestamp = {Fri, 29 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuaLZ13, author = {Zhe Hua and Hoi Lee and Xiwen Zhang}, title = {An auto-reconfigurable dual-output {SC} {DC-DC} regulator with sub-harmonic fixed on-time control for energy-harvesting applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1472--1475}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572135}, doi = {10.1109/ISCAS.2013.6572135}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuaLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Huang13, author = {Chun{-}Hsian Huang}, title = {An FPGA-based point target detection system using morphological clutter elimination}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2436--2439}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572371}, doi = {10.1109/ISCAS.2013.6572371}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Huang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangAGL13, author = {Hai Huang and Kun Ao and Zhiyong Guo and Qiang Li}, title = {A 0.5V rate-resolution scalable {SAR} {ADC} with 63.7dB {SFDR}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2030--2033}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572270}, doi = {10.1109/ISCAS.2013.6572270}, timestamp = {Thu, 13 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangAGL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC13, author = {Xinping Huang and Mario Caron}, title = {Multi-carrier {LINC} amplifier calibration by min-minimum criterion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1135--1138}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572051}, doi = {10.1109/ISCAS.2013.6572051}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCC13, author = {De{-}Kai Huang and Kwang{-}Yu Cheng and Shyi{-}Chyi Cheng}, title = {Video object detection by model-based tracking}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2384--2387}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572358}, doi = {10.1109/ISCAS.2013.6572358}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCMK13, author = {Cheng Huang and Lin Cheng and Philip K. T. Mok and Wing{-}Hung Ki}, title = {High-side {NMOS} power switch and bootstrap driver for high-frequency fully-integrated converters with enhanced efficiency}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {693--696}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571941}, doi = {10.1109/ISCAS.2013.6571941}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangCMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCZY13, author = {Bo Huang and Song Chen and Wei Zhong and Takeshi Yoshimura}, title = {Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1732--1735}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572199}, doi = {10.1109/ISCAS.2013.6572199}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangH13, author = {Chen{-}Chou Huang and Hsu{-}Feng Hsiao}, title = {Perceptual rate distortion optimization for block mode selection in hybrid video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {489--492}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571887}, doi = {10.1109/ISCAS.2013.6571887}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangKC13, author = {Tsung{-}Yau Huang and Chieh{-}Kai Kao and Homer H. Chen}, title = {Acceleration of rate-distortion optimized quantization for {H.264/AVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {473--476}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571883}, doi = {10.1109/ISCAS.2013.6571883}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLW13, author = {Yuzhu Huang and Derong Liu and Qinglai Wei}, title = {Convergence analysis of continuous-time systems based on feedforward neural networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2095--2098}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572287}, doi = {10.1109/ISCAS.2013.6572287}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSK13, author = {Yan Huang and Horst Schleifer and Dirk Killat}, title = {A current mode 6-bit self-clocked tracking {ADC} with adaptive clock frequency for {DC-DC} converters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {145--148}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571803}, doi = {10.1109/ISCAS.2013.6571803}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSLF13, author = {Kuan{-}Ju Huang and Wei{-}Yeh Shih and Jui{-}Chieh Liao and Wai{-}Chi Fang}, title = {A {VLSI} design of singular value decomposition processor used in real-time {ICA} computation for multi-channel {EEG} system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {413--416}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571868}, doi = {10.1109/ISCAS.2013.6571868}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangSLF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangTVG13, author = {Yi Huang and Yun Tie and Anastasios N. Venetsanopoulos and Ling Guan}, title = {Human emotion recognition using the adaptive sub-layer-compensation based facial edge detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2876--2879}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572479}, doi = {10.1109/ISCAS.2013.6572479}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangTVG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangWSXLGW13, author = {Yadong Huang and Jianfeng Wang and Tianjia Sun and Xiang Xie and Guolin Li and Yingke Gu and Zhihua Wang}, title = {An efficiency-enhanced wireless power transfer system with segmented transmitting coils for endoscopic capsule}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2279--2282}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572332}, doi = {10.1109/ISCAS.2013.6572332}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangWSXLGW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangY13, author = {Shih{-}Ming Huang and Jar{-}Ferr Yang}, title = {Improved discriminant nearest feature space analysis for variable lighting face recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2984--2987}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572506}, doi = {10.1109/ISCAS.2013.6572506}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungCC13, author = {Szu{-}Yao Hung and Kai{-}Hsiang Chan and Charlie Chung{-}Ping Chen}, title = {A high dynamic range programmable gain amplifier for HomePlug {AV} powerline communication system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2715--2718}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572439}, doi = {10.1109/ISCAS.2013.6572439}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungLT13, author = {Tzu{-}Yi Hung and Jiwen Lu and Yap{-}Peng Tan}, title = {Cross-scene abnormal event detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2844--2847}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572471}, doi = {10.1109/ISCAS.2013.6572471}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HussainSB13, author = {Wasim Hussain and Yvon Savaria and Yves Blaqui{\`{e}}re}, title = {An interface for the I\({}^{\mbox{2}}\)C protocol in the WaferBoard{\texttrademark}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1492--1495}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572140}, doi = {10.1109/ISCAS.2013.6572140}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HussainSB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IdrusKUN13, author = {Muhammad Izzat bin Mohd Idrus and Yoshihiro Kato and Yoko Uwate and Yoshifumi Nishio}, title = {Image processing by three-layer cellular neural networks with a new layer arrangement}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2315--2318}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572341}, doi = {10.1109/ISCAS.2013.6572341}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IdrusKUN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IgarashiSYT13, author = {Hiroaki Igarashi and Youhua Shi and Masao Yanagisawa and Nozomu Togawa}, title = {Concurrent faulty clock detection for crypto circuits against clock glitch based {DFA}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1432--1435}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572125}, doi = {10.1109/ISCAS.2013.6572125}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IgarashiSYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IkutaUNY13, author = {Chihiro Ikuta and Yoko Uwate and Yoshifumi Nishio and Guoan Yang}, title = {Multi-Layer Perceptron including glial pulse and switching between learning and non-learning}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2107--2110}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572290}, doi = {10.1109/ISCAS.2013.6572290}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IkutaUNY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Imaizumi13, author = {Shoko Imaizumi}, title = {A collusion-free key assignment scheme for hierarchical access control using recursive hash chains}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {445--448}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571876}, doi = {10.1109/ISCAS.2013.6571876}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Imaizumi13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImranGR13, author = {Muhammad Imran and Abdul Ghafoor and Muhammad Mohsin Riaz}, title = {Adaptive watermarking technique based on human visual system and fuzzy inference system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2816--2819}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572464}, doi = {10.1109/ISCAS.2013.6572464}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ImranGR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InoueUN13, author = {Takuya Inoue and Yoko Uwate and Yoshifumi Nishio}, title = {Genetic Algorithm with virus infection for finding approximate solution}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1604--1607}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572168}, doi = {10.1109/ISCAS.2013.6572168}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InoueUN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JainP13, author = {Ankesh Jain and Shanthi Pavan}, title = {Improved characterization of high speed continuous-time {\(\Delta\)}{\(\Sigma\)} modulators using a duobinary test interface}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1252--1255}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572080}, doi = {10.1109/ISCAS.2013.6572080}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JainP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JamalB13, author = {Lafifa Jamal and Hafiz Md. Hasan Babu}, title = {Efficient approaches to design a reversible floating point divider}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3004--3007}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572511}, doi = {10.1109/ISCAS.2013.6572511}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JamalB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangKK13, author = {Jeonghoon Jang and Giwon Kim and Chong{-}Min Kyung}, title = {Lifetime elongation of event-driven wireless video sensor networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {437--440}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571874}, doi = {10.1109/ISCAS.2013.6571874}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JavidILD13, author = {Farakh Javid and Ramy Iskander and Marie{-}Minerve Lou{\"{e}}rat and Fran{\c{c}}ois Durbin}, title = {A structured {DC} analysis methodology for accurate verification of analog circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2662--2665}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572426}, doi = {10.1109/ISCAS.2013.6572426}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JavidILD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JenkinsRS13, author = {W. Kenneth Jenkins and Chandrasekhar Radhakrishnan and D. Sova}, title = {Special properties of the modified {DFT} to achieve algorithmic fault tolerance in Adaptive Filters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {525--528}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571896}, doi = {10.1109/ISCAS.2013.6571896}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JenkinsRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangC13, author = {Yan{-}Feng Jiang and Hsiao{-}Dong Chiang}, title = {Saddle-node bifurcation in three-phase unbalanced distribution networks with distributed generators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {461--464}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571880}, doi = {10.1109/ISCAS.2013.6571880}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangH13, author = {Sheng{-}Jhih Jiang and Tsung{-}Yi Ho}, title = {A rapid analog amendment framework using the incremental floorplanning technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572195}, doi = {10.1109/ISCAS.2013.6572195}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangHWXH13, author = {Junjun Jiang and Ruimin Hu and Zhongyuan Wang and Zixiang Xiong and Zhen Han}, title = {Support-driven sparse coding for face hallucination}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2980--2983}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572505}, doi = {10.1109/ISCAS.2013.6572505}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JiangHWXH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangQLZM13, author = {Shuhui Jiang and Xueming Qian and Ke Lan and Lei Zhang and Tao Mei}, title = {Mobile multimedia travelogue generation by exploring geo-locations and image tags}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {881--884}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571988}, doi = {10.1109/ISCAS.2013.6571988}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JiangQLZM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangXYG13, author = {Ning Jiang and Jiu Xu and Wenxin Yu and Satoshi Goto}, title = {Gradient Local Binary Patterns for human detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {978--981}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572012}, doi = {10.1109/ISCAS.2013.6572012}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangXYG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinLWC13, author = {Yichao Jin and Xiao Liu and Yonggang Wen and Jianfei Cai}, title = {Inter-screen interaction for session recognition and transfer based on cloud centric media network}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {877--880}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571987}, doi = {10.1109/ISCAS.2013.6571987}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JingYZSY13, author = {Ming{-}e Jing and Zhiyi Yu and Xiaoyang Zeng and Jiayi Sheng and Haofan Yang}, title = {Implementation and optimization of 3780-point {FFT} on multi-core system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1656--1659}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572181}, doi = {10.1109/ISCAS.2013.6572181}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JingYZSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JingYZZ13, author = {Ming{-}e Jing and Zhiyi Yu and Xiaoyang Zeng and Liyang Zhou}, title = {Time-Division-Multiplexer based routing algorithm for NoC system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572180}, doi = {10.1109/ISCAS.2013.6572180}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JingYZZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonE13, author = {H{\aa}kan Johansson and Amir Eghbali}, title = {{FIR} filter with variable fractional delay and phase shift: Efficient realization and design using reweighted l1-norm minimization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {81--84}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571787}, doi = {10.1109/ISCAS.2013.6571787}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuanGM13, author = {Da{-}Cheng Juan and Siddharth Garg and Diana Marculescu}, title = {Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {541--544}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571900}, doi = {10.1109/ISCAS.2013.6571900}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuanGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungCBCB13, author = {Seungwoo Jung and John D. Cressler and Jeff A. Babcock and Greg Cestra and Alan Buchholz}, title = {A design methodology to achieve low input impedance and non-constant gain-bandwidth product in TIAs for optical communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {598--601}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571913}, doi = {10.1109/ISCAS.2013.6571913}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungCBCB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungJ13, author = {Cheolkon Jung and Junwei Ju}, title = {Improving dictionary based image super-resolution with nonlocal total variation regularization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1207--1211}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572069}, doi = {10.1109/ISCAS.2013.6572069}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungKHL13, author = {Oh{-}Yong Jung and Seungjin Kim and Seok{-}Kyun Han and Sang{-}Gug Lee}, title = {A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1087--1090}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572039}, doi = {10.1109/ISCAS.2013.6572039}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JungKHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KalaNMNN13, author = {S. Kala and Nalesh Sivanandan and Arka Maity and S. K. Nandy and Ranjani Narayan}, title = {High throughput, low latency, memory optimized 64K point {FFT} architecture using novel radix-4 butterfly unit}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3034--3037}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572518}, doi = {10.1109/ISCAS.2013.6572518}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KalaNMNN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KannanKKC13, author = {Kaushal Kannan and Sukeshwar Kannan and Bruce C. Kim and Sang{-}Bock Cho}, title = {Development of hybrid electrical model for {CNT} based Through Silicon Vias}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1022--1026}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572023}, doi = {10.1109/ISCAS.2013.6572023}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KannanKKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KappelAPHH13, author = {Robert Kappel and Mario Auer and Wolfgang Pribyl and G{\"{u}}nter Hofer and Gerald Holweg}, title = {A process-variation compensation scheme to operate {CMOS} digital logic cells in deep sub-threshold region at 80mV}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {562--565}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571904}, doi = {10.1109/ISCAS.2013.6571904}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KappelAPHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarkvandiPY13, author = {Hamed Rafiei Karkvandi and Efraim Pecht and Orly Yadid{-}Pecht}, title = {Improved lifetime routing for Wireless Sensor Networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1580--1583}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572162}, doi = {10.1109/ISCAS.2013.6572162}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarkvandiPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KassiriD13, author = {Hossein Kassiri and M. Jamal Deen}, title = {Slew-rate enhancement for a single-ended low-power two-stage amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1829--1832}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572221}, doi = {10.1109/ISCAS.2013.6572221}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KassiriD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KauC13, author = {Lih{-}Jen Kau and Chih{-}Shen Chen}, title = {Speeding up the runtime performance for lossless image coding on GPUs with {CUDA}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2868--2871}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572477}, doi = {10.1109/ISCAS.2013.6572477}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KauC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KauffmanRCBO13, author = {John G. Kauffman and Rudolf Ritter and Chao Chu and Joachim Becker and Maurits Ortmanns}, title = {Low power quantizer design in {CT} Delta Sigma modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1990--1993}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572260}, doi = {10.1109/ISCAS.2013.6572260}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KauffmanRCBO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KauffmanRCO13, author = {John G. Kauffman and Rudolf Ritter and Chao Chu and Maurits Ortmanns}, title = {A {DAC} cell with improved {ISI} and noise performance using native switching for multi-bit {CT} Delta Sigma modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {574--577}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571907}, doi = {10.1109/ISCAS.2013.6571907}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KauffmanRCO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawamuraTYT13, author = {Kazushi Kawamura and Sho Tanaka and Masao Yanagisawa and Nozomu Togawa}, title = {A partial redundant fault-secure high-level synthesis algorithm for {RDR} architectures}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1736--1739}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572200}, doi = {10.1109/ISCAS.2013.6572200}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawamuraTYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KeikhosravyKMTL13, author = {Kamyar Keikhosravy and Pouya Kamalinejad and Shahriar Mirabbasi and Kenichi Takahata and Victor C. M. Leung}, title = {An ultra-low-power monitoring system for inductively coupled biomedical implants}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2283--2286}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572333}, doi = {10.1109/ISCAS.2013.6572333}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KeikhosravyKMTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KennedyFD13, author = {Michael Peter Kennedy and Brian Fitzgibbon and Kerry Dobmeier}, title = {Spurious tones in digital delta sigma modulators with pseudorandom dither}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2747--2750}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572447}, doi = {10.1109/ISCAS.2013.6572447}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KennedyFD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhalidMU13, author = {Farhan Bin Khalid and Shahid Masud and Momin Uppal}, title = {Design and implementation of an {ML} decoder for tail-biting convolutional codes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {285--288}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571838}, doi = {10.1109/ISCAS.2013.6571838}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhalidMU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanK13, author = {Muhammad Umar Karim Khan and Chong{-}Min Kyung}, title = {Energy reduction of ultra-low voltage {VLSI} circuits by digit-serial architectures}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3012--3017}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572513}, doi = {10.1109/ISCAS.2013.6572513}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanKY13, author = {Muhammad Umar Karim Khan and Chong{-}Min Kyung and Khawaja M. Yahya}, title = {Optimized learning rate for energy waste minimization in a background subtraction based surveillance system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2355--2360}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572351}, doi = {10.1109/ISCAS.2013.6572351}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhaterSJ13, author = {Mohammad Abu Khater and Serkan Sayilir and Byunghoo Jung}, title = {Control methodology for on-chip switching power supplies for biomedical implants}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {614--617}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571917}, doi = {10.1109/ISCAS.2013.6571917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhaterSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhazraeeZHEMPS13, author = {Moein Khazraee and Ali Reza Zamani and Mohammad Hallajian and Seyed Pooya Ehsani and Hadi Asghari Moghaddam and Alireza Parsafar and Mahdi Shabany}, title = {A novel hardware implementation for joint heart rate, respiration rate, and gait analysis applied to body area networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1889--1892}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572235}, doi = {10.1109/ISCAS.2013.6572235}, timestamp = {Sat, 11 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhazraeeZHEMPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhwajaZ13, author = {Ahmed Shaharyar Khwaja and Xiao{-}Ping (Steven) Zhang}, title = {Compressed sensing {SAR} moving target imaging in the presence of basis mismatch}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1809--1812}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572216}, doi = {10.1109/ISCAS.2013.6572216}, timestamp = {Thu, 12 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KhwajaZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimCCCKJ13, author = {Dong{-}Wook Kim and Hankyu Chi and Yu{-}Sang Chun and Myung{-}Heon Chin and Gyungock Kim and Deog{-}Kyoon Jeong}, title = {12.5-Gb/s analog front-end of an optical transceiver in 0.13-{\(\mu\)}m {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1115--1118}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572046}, doi = {10.1109/ISCAS.2013.6572046}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimCCCKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKCCK13, author = {Wooseok Kim and Joohan Kim and Minsu Choi and Ik Joon Chang and Jinsang Kim}, title = {Low complexity image correction using color and focus matching for stereo video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2912--2915}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572488}, doi = {10.1109/ISCAS.2013.6572488}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKCCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLPKKSKJCSS13, author = {Min{-}Su Kim and Hyoungwook Lee and Jin{-}Soo Park and Chunghee Kim and Juhyun Kang and Ken Shin and Emil Kagramanyan and Gunok Jung and Ukrae Cho and Youngmin Shin and Jae{-}Cheol Son}, title = {Scan-controlled pulse flip-flops for mobile application processors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {769--772}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571960}, doi = {10.1109/ISCAS.2013.6571960}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLPKKSKJCSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimPY13, author = {Youchang Kim and Junyoung Park and Hoi{-}Jun Yoo}, title = {A 34.1fps scale-space processor with two-dimensional cache for real-time object recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {689--692}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571940}, doi = {10.1109/ISCAS.2013.6571940}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSK13, author = {Tae{-}Sun Kim and Myung Hoon Sunwoo and Sung Dae Kim}, title = {Efficient loop accelerator for Motion Estimation Specific Instruction-set Processor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {889--892}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571990}, doi = {10.1109/ISCAS.2013.6571990}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoayCC13, author = {Kuan Chuang Koay and Sau Siong Chong and Pak Kwong Chan}, title = {A {FVF} based output capacitorless {LDO} regulator with wide load capacitance range}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572139}, doi = {10.1109/ISCAS.2013.6572139}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoayCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KokluELMC13, author = {Gozen Koklu and Ralph Etienne{-}Cummings and Yusuf Leblebici and Giovanni De Micheli and Sandro Carrara}, title = {Characterization of standard {CMOS} compatible photodiodes and pixels for Lab-on-Chip devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1075--1078}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572036}, doi = {10.1109/ISCAS.2013.6572036}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KokluELMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KonradBTAKW13, author = {Karsten Konrad and Dieter Br{\"{u}}ckmann and Nima Tavangaran and Jidan Al{-}Eryani and Rainer Kokozinski and Thomas Werthwein}, title = {Delay element concept for Continuous Time Digital Signal Processing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2775--2778}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572454}, doi = {10.1109/ISCAS.2013.6572454}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KonradBTAKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KopruKY13, author = {Ramazan K{\"{o}}pr{\"{u}} and Hakan Kuntman and B. Siddik Yarman}, title = {A novel method to design wideband power amplifier for wireless communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1942--1945}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572248}, doi = {10.1109/ISCAS.2013.6572248}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KopruKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KopsinisSTM13, author = {Yannis Kopsinis and Konstantinos Slavakis and Sergios Theodoridis and Stephen McLaughlin}, title = {Thresholding-based online algorithms of complexity comparable to sparse {LMS} methods}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {513--516}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571893}, doi = {10.1109/ISCAS.2013.6571893}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KopsinisSTM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoseFSH13, author = {Sel{\c{c}}uk K{\"{o}}se and Eby G. Friedman and Radu M. Secareanu and Olin L. Hartin}, title = {Current profile of a microcontroller to determine electromagnetic emissions}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2650--2653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572423}, doi = {10.1109/ISCAS.2013.6572423}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoseFSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoseVF13, author = {Sel{\c{c}}uk K{\"{o}}se and Inna Vaisband and Eby G. Friedman}, title = {Digitally controlled wide range pulse width modulator for on-chip power supplies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2251--2254}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572325}, doi = {10.1109/ISCAS.2013.6572325}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoseVF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KouretasP13, author = {Ioannis Kouretas and Vassilis Paliouras}, title = {Delay-variation-tolerant {FIR} filter architectures based on the Residue Number System}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2223--2226}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572318}, doi = {10.1109/ISCAS.2013.6572318}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KouretasP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoutsosPC13, author = {Ermis Koutsos and Sivylla E. Paraskevopoulou and Timothy G. Constandinou}, title = {A 1.5 {\(\mu\)}W NEO-based spike detector with adaptive-threshold for calibration-free multichannel neural interfaces}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1922--1925}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572243}, doi = {10.1109/ISCAS.2013.6572243}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KoutsosPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KubendranKY13, author = {Rajkumar Chinnakonda Kubendran and Sunyoung Kim and Refet Firat Yazicioglu}, title = {Error correction algorithm for high accuracy bio-impedance measurement in wearable healthcare applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1292--1295}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572090}, doi = {10.1109/ISCAS.2013.6572090}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KubendranKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KubotaW13, author = {Takayuki Kubota and Minoru Watanabe}, title = {0.18 {\(\mu\)}m {CMOS} process photodiode memory}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1464--1467}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572133}, doi = {10.1109/ISCAS.2013.6572133}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KubotaW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuiavaRAP13, author = {Roman Kuiava and Rodrigo A. Ramos and Lu{\'{\i}}s F. C. Alberto and Hemanshu Roy Pota}, title = {Practical stability assessement of distributed synchronous generators under load variations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {457--460}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571879}, doi = {10.1109/ISCAS.2013.6571879}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KuiavaRAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KummMZ13, author = {Martin Kumm and Konrad M{\"{o}}ller and Peter Zipf}, title = {Partial {LUT} size analysis in distributed arithmetic {FIR} Filters on FPGAs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2054--2057}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572276}, doi = {10.1109/ISCAS.2013.6572276}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KummMZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KummMZ13a, author = {Martin Kumm and Konrad M{\"{o}}ller and Peter Zipf}, title = {Reconfigurable {FIR} filter using distributed arithmetic on FPGAs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2058--2061}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572277}, doi = {10.1109/ISCAS.2013.6572277}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KummMZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KunduH13, author = {Soumya Kundu and Ian A. Hiskens}, title = {Distributed control of reactive power from photovoltaic inverters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {249--252}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571829}, doi = {10.1109/ISCAS.2013.6571829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KunduH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KurniawanYP13, author = {Iput Heri Kurniawan and Ji{-}Hwan Yoon and Jongsun Park}, title = {Multidimensional Householder based high-speed {QR} decomposition architecture for {MIMO} receivers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2159--2162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572302}, doi = {10.1109/ISCAS.2013.6572302}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KurniawanYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuttyBA13, author = {Jithin Sankar Sankaran Kutty and Farid Boussa{\"{\i}}d and Abbes Amira}, title = {A high speed configurable {FPGA} architecture for k-mean clustering}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1801--1804}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572215}, doi = {10.1109/ISCAS.2013.6572215}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuttyBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KynclHN13, author = {Jan Kyncl and Adithya Hariram and Martin Novotn{\'{y}}}, title = {On measurement of synchronous phasors in electrical grids}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2972--2975}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572503}, doi = {10.1109/ISCAS.2013.6572503}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KynclHN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LahmiriB13, author = {Salim Lahmiri and Mounir Boukadoum}, title = {Lobe asymmetry-based automatic classification of brain magnetic resonance images}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1516--1519}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572146}, doi = {10.1109/ISCAS.2013.6572146}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LahmiriB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiCL13, author = {Yeong{-}Kang Lai and Yu{-}Chieh Chung and Yu{-}Fan Lai}, title = {Hardware implementation for real-time 3D rendering in 2D-to-3D conversion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {893--896}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571991}, doi = {10.1109/ISCAS.2013.6571991}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiCLLLL13, author = {Shin{-}Chi Lai and Wei{-}Che Chien and Chien{-}Sheng Lan and Meng{-}Kun Lee and Ching{-}Hsing Luo and Sheau{-}Fang Lei}, title = {An efficient DCT-IV-based {ECG} compression algorithm and its hardware accelerator design}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1296--1299}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572091}, doi = {10.1109/ISCAS.2013.6572091}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiCLLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiJLL13, author = {Shin{-}Chi Lai and Wen{-}Ho Juang and Yueh{-}Shu Lee and Sheau{-}Fang Lei}, title = {High-performance {RDFT} design for applications of digital radio mondiale}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2601--2604}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572411}, doi = {10.1109/ISCAS.2013.6572411}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiJLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiL13, author = {Xiaoping Lai and Zhiping Lin}, title = {Design and application of allpass filters with equiripple group delay errors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2924--2927}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572491}, doi = {10.1109/ISCAS.2013.6572491}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiTD13, author = {Wei{-}Sheng Lai and Chi{-}Jung Tseng and Jian{-}Jiun Ding}, title = {Improved structural similarity measurement for vocal signals}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {301--304}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571842}, doi = {10.1109/ISCAS.2013.6571842}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiTD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LairdL13, author = {Ian Laird and Dylan Dah{-}Chuan Lu}, title = {Steady state reliability of maximum power point tracking algorithms used with a thermoelectric generator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572096}, doi = {10.1109/ISCAS.2013.6572096}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LairdL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LankaranyZS13, author = {Milad Lankarany and Wei{-}Ping Zhu and M. N. S. Swamy}, title = {Parameter estimation of Hodgkin-Huxley neuronal model using dual extended Kalman filter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2493--2496}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572385}, doi = {10.1109/ISCAS.2013.6572385}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LankaranyZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LapotreMGBDBH13, author = {Vianney Lapotre and Purushotham Murugappa and Guy Gogniat and Amer Baghdadi and Jean{-}Philippe Diguet and Jean{-}Noel Bazin and Michael H{\"{u}}bner}, title = {Optimizations for an efficient reconfiguration of an ASIP-based turbo decoder}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {493--496}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571888}, doi = {10.1109/ISCAS.2013.6571888}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LapotreMGBDBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LarrasLAS13, author = {Benoit Larras and Cyril Lahuec and Matthieu Arzel and Fabrice Seguin}, title = {Analog implementation of encoded neural networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1612--1615}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572170}, doi = {10.1109/ISCAS.2013.6572170}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LarrasLAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeCIP13, author = {Duc{-}Hung Le and Tran Bao Thuong Cao and Katsumi Inoue and Cong{-}Kha Pham}, title = {A fast CAM-based image matching system on {FPGA}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1797--1800}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572214}, doi = {10.1109/ISCAS.2013.6572214}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeCIP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeH13, author = {Cuong Phu Le and Einar Halvorsen}, title = {Impact-based electrostatic harvesters considered as a multi-source problem}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2731--2734}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572443}, doi = {10.1109/ISCAS.2013.6572443}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeboeufMA13, author = {Karl Leboeuf and Roberto Muscedere and Majid Ahmadi}, title = {A {GPU} implementation of the Montgomery multiplication algorithm for elliptic curve cryptography}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2593--2596}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572409}, doi = {10.1109/ISCAS.2013.6572409}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeboeufMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LecerfTS13, author = {Gwendal Lecerf and Jean Tomas and Sylvain Sa{\"{\i}}ghi}, title = {Excitatory and Inhibitory Memristive Synapses for Spiking Neural Networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1616--1619}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572171}, doi = {10.1109/ISCAS.2013.6572171}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LecerfTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee13, author = {Jong{-}Seok Lee}, title = {Paired comparison for subjective multimedia quality assessment: Theory and practice}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1099--1102}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572042}, doi = {10.1109/ISCAS.2013.6572042}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee13a, author = {Edward K. F. Lee}, title = {A low voltage low output impedance {CMOS} bandgap voltage reference}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1480--1483}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572137}, doi = {10.1109/ISCAS.2013.6572137}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCBK13, author = {Byung Moo Lee and JinHyeock Choi and Jongho Bang and Byung{-}Chang Kang}, title = {An energy efficient antenna selection for large scale green {MIMO} systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {950--953}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572005}, doi = {10.1109/ISCAS.2013.6572005}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCC13, author = {Sheng{-}Cheng Lee and Tsan{-}Jieh Chen and Herming Chiueh}, title = {A multi-channel multi-mode physiological signals acquisition and analysis platform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {397--400}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571864}, doi = {10.1109/ISCAS.2013.6571864}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeH13, author = {Boram Lee and Ted Higman}, title = {1V rail-to-rail constant Gm amplifier with common-mode elimination technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {385--388}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571861}, doi = {10.1109/ISCAS.2013.6571861}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHYL13, author = {Kuan{-}Hui Lee and Jenq{-}Neng Hwang and Jen{-}Yu Yu and Kual{-}Zheng Lee}, title = {Vehicle tracking iterative by Kalman-based constrained multiple-kernel and 3-D model-based localization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2396--2399}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572361}, doi = {10.1109/ISCAS.2013.6572361}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePKHY13, author = {Kyuho Jason Lee and Junyoung Park and Gyeonghoon Kim and Injoon Hong and Hoi{-}Jun Yoo}, title = {A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1608--1611}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572169}, doi = {10.1109/ISCAS.2013.6572169}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeePKHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeS13, author = {Seungyeol Lee and Wonyong Sung}, title = {{DRAM} access reduction in GPUs by thread-block scheduling for overlapped data reuse}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {901--904}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571993}, doi = {10.1109/ISCAS.2013.6571993}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSCCHL13, author = {Gwo Giun Chris Lee and Ciao{-}Siang Siao and Chunhui Cui and Chun{-}Fu Chen and Yan Huo and Huan{-}Hsiang Lin}, title = {Depth map enhancement based on Z-displacement of objects}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2361--2364}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572352}, doi = {10.1109/ISCAS.2013.6572352}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSCCHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYS13, author = {Kyoungtae Lee and Yeonam Yoon and Nan Sun}, title = {A 10MHz-BW, 5.6mW, 70dB {SNDR} {\(\Delta\)}{\(\Sigma\)} {ADC} using VCO-based integrators with intrinsic {DEM}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2006--2009}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572264}, doi = {10.1109/ISCAS.2013.6572264}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeneLC13, author = {Lieuwe B. Leene and Song Luan and Timothy G. Constandinou}, title = {A 890fJ/bit {UWB} transmitter for {SOC} integration in high bit-rate transcutaneous bio-implants}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2271--2274}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572330}, doi = {10.1109/ISCAS.2013.6572330}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeneLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeiWM13, author = {Chi{-}Un Lei and Ngai Wong and Ka Lok Man}, title = {Integration of a wireless sensor network project for introductory circuits and systems teaching}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2569--2572}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572403}, doi = {10.1109/ISCAS.2013.6572403}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeiWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeiZS13, author = {Lei Qiu and Yuanjin Zheng and Liter Siek}, title = {Analysis and design of high performance frequency-interleaved {ADC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2022--2025}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572268}, doi = {10.1109/ISCAS.2013.6572268}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeiZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lenero-BardalloBH13, author = {Juan A. Le{\~{n}}ero{-}Bardallo and Dag Halvdan Bryn and Philipp H{\"{a}}fliger}, title = {Flame monitoring with an {AER} color vision sensor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2404--2407}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572363}, doi = {10.1109/ISCAS.2013.6572363}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lenero-BardalloBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungMBH13, author = {Ho Yan Leung and Daniel McCormick and David Budgett and Aiguo Patrick Hu}, title = {Design methodology for inductive power transfer systems targeting high power implantable devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2787--2791}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572457}, doi = {10.1109/ISCAS.2013.6572457}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungMBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiAFSSS13, author = {Jiali Li and Oscar C. Au and Lu Fang and Lin Sun and Wenxiu Sun and Dinuka Soysa}, title = {A parallel deblocking filter based on {H.264/AVC} video coding standard}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {233--236}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571825}, doi = {10.1109/ISCAS.2013.6571825}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiAFSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCCSB13, author = {Will X. Y. Li and Ray C. C. Cheung and Rosa H. M. Chan and Dong Song and Theodore W. Berger}, title = {A reconfigurable architecture for real-time prediction of neural activity}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1869--1872}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572230}, doi = {10.1109/ISCAS.2013.6572230}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiCCSB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCCT13, author = {Maodong Li and Zhenzhong Chen and Seong{-}Ping Chuah and Yap{-}Peng Tan}, title = {A fast rate adaptation scheme for {SVC} based on the packet dependencies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {433--436}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571873}, doi = {10.1109/ISCAS.2013.6571873}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiH13, author = {Yan Li and Jianhao Hu}, title = {A novel implementation scheme for high area-efficient {DCT} based on signed stochastic computation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {990--993}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572015}, doi = {10.1109/ISCAS.2013.6572015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLRG13, author = {Mading Li and Jiaying Liu and Jie Ren and Zongming Guo}, title = {Adaptive general scale interpolation based on similar pixels weighting}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2143--2146}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572298}, doi = {10.1109/ISCAS.2013.6572298}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLT13, author = {Xiang Li and Xin Liu and Chi K. Tse}, title = {Recent advances in bridging time series and complex networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2505--2508}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572388}, doi = {10.1109/ISCAS.2013.6572388}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiMG13, author = {Yin Li and Manjusri Misra and Stefano Gregori}, title = {Model and design considerations for multistage electrostatic microgenerators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {21--24}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571772}, doi = {10.1109/ISCAS.2013.6571772}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiMHM13, author = {Yang{-}Guo Li and Qingyun Ma and Mohammad Rafiqul Haider and Yehia Massoud}, title = {Ultra-low-power high sensitivity spike detectors based on modified nonlinear energy operator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {137--140}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571801}, doi = {10.1109/ISCAS.2013.6571801}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiMHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiN13, author = {Yifei Li and Nathan M. Neihart}, title = {Mathematical analysis of inter-band intermodulation for concurrent dual-band mixers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {829--832}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571975}, doi = {10.1109/ISCAS.2013.6571975}, timestamp = {Mon, 11 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSLW13, author = {Weitao Li and Cao Sun and Fule Li and Zhihua Wang}, title = {A 14-bit pipelined {ADC} with digital background nonlinearity calibration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2448--2451}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572374}, doi = {10.1109/ISCAS.2013.6572374}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiSLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSXC13, author = {Yingyu Li and Guangming Shi and Xuemei Xie and Chongyu Chen}, title = {Compressive modulation in digital communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1966--1969}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572254}, doi = {10.1109/ISCAS.2013.6572254}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiSXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiW13, author = {Ke Li and Peter Wilson}, title = {An improved push-pull driver using 0.13{\(\mu\)}m {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1958--1961}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572252}, doi = {10.1109/ISCAS.2013.6572252}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiWT13, author = {Zhen Li and Siu Chung Wong and Chi Kong Tse}, title = {A set of independent admittance bases for decoupled analysis of unbalanced three-phase systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {469--472}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571882}, doi = {10.1109/ISCAS.2013.6571882}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXL13, author = {Bin Li and Jizheng Xu and Houqiang Li}, title = {Rate-distortion optimization with adaptive weighted distortion in high Efficiency Video Coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {481--484}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571885}, doi = {10.1109/ISCAS.2013.6571885}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXZL13, author = {Bin Li and Jizheng Xu and Dong Zhang and Houqiang Li}, title = {{QP} refinement according to Lagrange multiplier for High Efficiency Video Coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {477--480}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571884}, doi = {10.1109/ISCAS.2013.6571884}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiXZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZC13, author = {You Li and Tao Zeng and Degang Chen}, title = {A high resolution and high accuracy {R-2R} {DAC} based on ordered element matching}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1974--1977}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572256}, doi = {10.1109/ISCAS.2013.6572256}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZJXG13, author = {Yuan Li and Shanghang Zhang and Huizhu Jia and Xiaodong Xie and Wen Gao}, title = {A high-throughput low-latency arithmetic encoder design for {HDTV}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {998--1001}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572017}, doi = {10.1109/ISCAS.2013.6572017}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZJXG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZJZ13, author = {Xi Li and Zongwei Zhu and Gangyong Jia and Xuehai Zhou}, title = {Power-aware buddy system and task group scheduler}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1232--1235}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572075}, doi = {10.1109/ISCAS.2013.6572075}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZJZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangCL13, author = {Luhong Liang and King Hung Chiu and Edmund Y. Lam}, title = {Fast single frame super-resolution using scale-invariant self-similarity}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1191--1194}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572065}, doi = {10.1109/ISCAS.2013.6572065}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangHL13, author = {Ming{-}Chun Liang and Cheng{-}Han Hsieh and Shuenn{-}Yuh Lee}, title = {A 1.5-bit/stage pipeline {ADC} with FFT-based calibration method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2042--2045}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572273}, doi = {10.1109/ISCAS.2013.6572273}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangYL13, author = {Yujie Liang and Rendong Ying and Peilin Liu}, title = {Efficient middleware for network evaluation and optimization in Wireless Sensor Network design}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1749--1752}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572203}, doi = {10.1109/ISCAS.2013.6572203}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoHR13, author = {Ran Liao and Chriswell Hutchens and Robert L. Rennaker}, title = {A 2{\(\mu\)}W digital baseband core for wireless Micro-Neural-Interface in 0.18{\(\mu\)}m {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3008--3011}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572512}, doi = {10.1109/ISCAS.2013.6572512}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoHR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoSH13, author = {Te{-}Wen Liao and Jun{-}Ren Su and Chung{-}Chih Hung}, title = {Ring-VCO based low noise and low spur frequency synthesizer}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1861--1864}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572228}, doi = {10.1109/ISCAS.2013.6572228}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LieL13, author = {Wen{-}Nung Lie and Guan{-}Hua Lin}, title = {Error concealment for 3D video transmission}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2856--2559}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572474}, doi = {10.1109/ISCAS.2013.6572474}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LieL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimHLXC13, author = {Yong Ching Lim and Chaogeng Huang and Gang Li and Hong Xu and Anthony G. Constantinides}, title = {Error spectrum shaping approach for lattice filter roundoff noise reduction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {85--88}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571788}, doi = {10.1109/ISCAS.2013.6571788}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimHLXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimSSK13, author = {Kyomuk Lim and Jindeok Seo and Changho Seok and Hyoungho Ko}, title = {A 16-channel neural stimulator with {DAC} sharing scheme for visual prostheses}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1873--1876}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572231}, doi = {10.1109/ISCAS.2013.6572231}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimSSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCHCK13, author = {Chin{-}Teng Lin and Chun{-}Hsiang Chuang and Chih{-}Sheng Huang and Yen{-}Hsuan Chen and Li{-}Wei Ko}, title = {Real-time assessment of vigilance level using an innovative Mindo4 wireless {EEG} system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1528--1531}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572149}, doi = {10.1109/ISCAS.2013.6572149}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCHCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinGS13, author = {Yingkan Lin and Perena Gouma and Milutin Stanacevic}, title = {A low-power wide-dynamic-range readout {IC} for breath analyzer system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1821--1824}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572219}, doi = {10.1109/ISCAS.2013.6572219}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHELCWC13, author = {Moris Lin and Yung{-}Sheng Huang and Andreas Ehrhart and Yu{-}Huei Lee and Chao{-}Chang Chiu and Bernhard Wicht and Ke{-}Horng Chen}, title = {Authentic mode-toggled detector with fast transient response under wide load range buck-boost converter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2952--2955}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572498}, doi = {10.1109/ISCAS.2013.6572498}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHELCWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinS13, author = {Yingkan Lin and Milutin Stanacevic}, title = {Low-noise readout {IC} with integrated analog-to-digital conversion for radiation detection system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2199--2202}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572312}, doi = {10.1109/ISCAS.2013.6572312}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinT13, author = {Kuan{-}Ting Lin and Kea{-}Tiong Tang}, title = {A {SAR} {ADC} with energy-efficient {DAC} and tri-level switching scheme}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2243--2246}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572323}, doi = {10.1109/ISCAS.2013.6572323}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinW13, author = {Qiuzhen Lin and Kwok{-}Wo Wong}, title = {Improving the error correction capability of arithmetic coding by forecasting forbidden symbols}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1139--1142}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572052}, doi = {10.1109/ISCAS.2013.6572052}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWO13, author = {Zhiping Lin and Yau Wong and Raimund J. Ober}, title = {Influence of prior knowledge on the accuracy limit of parameter estimation in single-molecule fluorescence microscopy}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1304--1307}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572093}, doi = {10.1109/ISCAS.2013.6572093}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinY13, author = {Jun Lin and Zhiyuan Yan}, title = {A decoding algorithm with reduced complexity for non-binary {LDPC} codes over large fields}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1688--1691}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572189}, doi = {10.1109/ISCAS.2013.6572189}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinZYQM13, author = {Song Lin and Xinfeng Zhang and Qin Yu and Honggang Qi and Siwei Ma}, title = {Parallelizing video transcoding with load balancing on cloud computing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2864--2867}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572476}, doi = {10.1109/ISCAS.2013.6572476}, timestamp = {Sat, 30 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinZYQM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Liu13, author = {Liang Liu}, title = {High-throughput hardware-efficient soft-input soft-output {MIMO} detector for iterative receivers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2151--2154}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572300}, doi = {10.1109/ISCAS.2013.6572300}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Liu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuAO13, author = {Tianyi Liu and Jens Anders and Maurits Ortmanns}, title = {System level model for transcutaneous optical telemetric link}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {865--868}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571984}, doi = {10.1109/ISCAS.2013.6571984}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuAO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBM13, author = {Yao Liu and Edoardo Bonizzoni and Franco Maloberti}, title = {High-order multi-bit incremental converter with Smart-DEM algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {157--160}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571806}, doi = {10.1109/ISCAS.2013.6571806}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCC13, author = {Chien{-}Te Liu and Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {{CASL} hypervisor and its virtualization platform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1224--1227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572073}, doi = {10.1109/ISCAS.2013.6572073}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCW13, author = {Hui Liu and Ming Cao and Chai Wah Wu}, title = {New spectral graph theoretic conditions for synchronization in directed complex networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2307--2310}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572339}, doi = {10.1109/ISCAS.2013.6572339}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCYWWWZLC13, author = {Leibo Liu and Yingjie Victor Chen and Shouyi Yin and Dong Wang and Xing Wang and Shaojun Wei and Li Zhou and Hao Lei and Peng Cao}, title = {Implementation of multi-standard video decoding algorithms on a coarse-grained reconfigurable multimedia processor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {897--900}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571992}, doi = {10.1109/ISCAS.2013.6571992}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCYWWWZLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCZT13, author = {Heng Liu and Xuejin Chen and Jiawei Zhang and Zhefu Tu}, title = {{LSGP:} Line-SIFT Geometric Pattern for wide-baseline image matching}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {721--724}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571948}, doi = {10.1109/ISCAS.2013.6571948}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDJD13, author = {Xiao Liu and Andreas Demosthenous and Dai Jiang and Nick Donaldson}, title = {Design of an implantable stimulator {ASIC} with self-adapting supply}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1881--1884}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572233}, doi = {10.1109/ISCAS.2013.6572233}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDJD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHLHC13, author = {Pang{-}Kai Liu and Szu{-}Yao Hung and Chang{-}Yi Liu and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 52 dBc {MTPR} line driver for powerline communication HomePlug {AV} standard in 0.18-{\(\mu\)}m {CMOS} technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1404--1407}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572118}, doi = {10.1109/ISCAS.2013.6572118}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuHLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuNCS13, author = {Zhong Liu and King To Ng and Shing{-}Chow Chan and Xiaowei Song}, title = {A new multi-view articulated human motion tracking algorithm with improved silhouette extraction and view adaptive fusion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {713--716}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571946}, doi = {10.1109/ISCAS.2013.6571946}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuNCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuS13, author = {Yao Liu and Wouter A. Serdijn}, title = {An {LNA} with optimally mismatched antenna interface for energy harvesting sensor nodes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1853--1856}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572226}, doi = {10.1109/ISCAS.2013.6572226}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSC13, author = {Jian{-}Hong Liu and Jia{-}Long Shyu and Chia{-}Chi Chu}, title = {Probabilistic load margins of power systems embedded with wind farms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1540--1543}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572152}, doi = {10.1109/ISCAS.2013.6572152}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSLOS13, author = {Weiqiang Liu and Saket Srivastava and Liang Lu and M{\'{a}}ire O'Neill and Earl E. Swartzlander Jr.}, title = {Power analysis attack of {QCA} circuits: {A} case study of the Serpent cipher}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2075--2078}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572282}, doi = {10.1109/ISCAS.2013.6572282}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuSLOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuW13, author = {Qipeng Liu and Xiaofan Wang}, title = {Social learning with bounded confidence and probabilistic neighbors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2303--2306}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572338}, doi = {10.1109/ISCAS.2013.6572338}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuWZLZ13, author = {Lei Liu and Anhong Wang and Kongfen Zhu and Chunyu Lin and Yao Zhao}, title = {Directional block compressed sensing for image coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1644--1647}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572178}, doi = {10.1109/ISCAS.2013.6572178}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuWZLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYLW13, author = {Dajiang Liu and Shouyi Yin and Leibo Liu and Shaojun Wei}, title = {Affine transformations for communication and reconfiguration optimization of loops on CGRAs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2541--2544}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572396}, doi = {10.1109/ISCAS.2013.6572396}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuYLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWH13, author = {Ruey{-}Wen Liu and Rendong Ying and Xu Wang and Bo Hu}, title = {A method for optimal {SINR} under non-i.i.d. interferences}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2613--2616}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572414}, doi = {10.1109/ISCAS.2013.6572414}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWHLHCJC13, author = {Wei{-}Chang Liu and Fu{-}Chun Yeh and Ting{-}Chen Wei and Ya{-}Shiue Huang and Tai{-}Yang Liu and Shen{-}Jui Huang and Ching{-}Da Chan and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {A {SC/HSI} dual-mode baseband receiver with frequency-domain equalizer for {IEEE} 802.15.3c}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {793--796}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571966}, doi = {10.1109/ISCAS.2013.6571966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWHLHCJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYZC13, author = {Jing Liu and Xiaokang Yang and Guangtao Zhai and Li Chen}, title = {Hybrid image interpolation with soft-decision kernel regression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {765--768}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571959}, doi = {10.1109/ISCAS.2013.6571959}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuYZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZS13, author = {Xilin Liu and Milin Zhang and Jan Van der Spiegel}, title = {A low power multi-mode {CMOS} image sensor with integrated on-chip motion detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2416--2419}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572366}, doi = {10.1109/ISCAS.2013.6572366}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Loeches-SanchezG13, author = {Raul Loeches{-}Sanchez and Roberto G{\'{o}}mez{-}Garc{\'{\i}}a}, title = {A type of lumped-element-based analog filters based on transversal circuit networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1272--1275}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572085}, doi = {10.1109/ISCAS.2013.6572085}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Loeches-SanchezG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoiK13, author = {K. C. Cinnati Loi and Seok{-}Bum Ko}, title = {High performance scalable elliptic curve cryptosystem processor in GF(2\({}^{\mbox{m}}\))}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2585--2588}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572407}, doi = {10.1109/ISCAS.2013.6572407}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LoiK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LorenzBRO13, author = {Matthias Lorenz and Timon Br{\"{u}}ckner and Rudolf Ritter and Maurits Ortmanns}, title = {Concurrent estimation of amplifier nonidealities and excess loop delay in continuous-time sigma-delta modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1031--1034}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572025}, doi = {10.1109/ISCAS.2013.6572025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LorenzBRO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LowJ13, author = {Joshua Yung Lih Low and Ching{-}Chuen Jong}, title = {Non-iterative high speed division computation based on Mitchell logarithmic method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2219--2222}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572317}, doi = {10.1109/ISCAS.2013.6572317}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LowJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LowTC13, author = {Jeremy Yung Shern Low and Thian Fatt Tay and Chip{-}Hong Chang}, title = {A signed integer programmable power-of-two scaler for \{2\({}^{\mbox{n}}\)-1, 2\({}^{\mbox{n}}\), 2\({}^{\mbox{n}}\)+1\} {RNS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2211--2214}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572315}, doi = {10.1109/ISCAS.2013.6572315}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LowTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu13, author = {Chi{-}Chang Lu}, title = {A 1.2V 10-bit 5 MS/s {CMOS} cyclic {ADC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1986--1989}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572259}, doi = {10.1109/ISCAS.2013.6572259}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuCOT13, author = {Jinhu Lu and Guanrong Chen and Maciej J. Ogorzalek and Ljiljana Trajkovic}, title = {Theory and applications of complex networks: Advances and challenges}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2291--2294}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572335}, doi = {10.1109/ISCAS.2013.6572335}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuCOT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuG13, author = {Jingxue Lu and Ranjit Gharpurey}, title = {Phase-locked loop based {PWM} wireless transmitter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {177--180}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571811}, doi = {10.1109/ISCAS.2013.6571811}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH13, author = {Junjie Lu and Jeremy Holleman}, title = {A floating-gate analog memory with bidirectional sigmoid updates in a standard digital process}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1600--1603}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572167}, doi = {10.1109/ISCAS.2013.6572167}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH13a, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {New algorithm for minimax design of sparse {IIR} filters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2920--2923}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572490}, doi = {10.1109/ISCAS.2013.6572490}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuHHJX13, author = {Tao Lu and Ruimin Hu and Zhen Han and Junjun Jiang and Yang Xia}, title = {Robust super-resolution for face images via principle component sparse representation and least squares regression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1199--1202}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572067}, doi = {10.1109/ISCAS.2013.6572067}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuHHJX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuLZYYS13, author = {Huimin Lu and Yujie Li and Lifeng Zhang and Akira Yamawaki and Shiyuan Yang and Seiichi Serikawa}, title = {Underwater optical image dehazing using guided trigonometric bilateral filtering}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2147--2150}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572299}, doi = {10.1109/ISCAS.2013.6572299}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuLZYYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoDCYMA13, author = {Junwen Luo and Patrick Degenaar and Graeme Coapes and Alex Yakovlev and Terrence S. T. Mak and Peter Andras}, title = {Towards reliable hybrid bio-silicon integration using novel adaptive control system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2311--2314}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572340}, doi = {10.1109/ISCAS.2013.6572340}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoDCYMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoLLTR13, author = {Fei Luo and Yuk{-}Ming Lai and Ka Hong Loo and Chi Kong Tse and Xinbo Ruan}, title = {A generalized droop-control scheme for decentralized control of inverter-interfaced microgrids}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1320--1323}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572097}, doi = {10.1109/ISCAS.2013.6572097}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuoLLTR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LvXLXHSW13, author = {Zhong Lv and Yi Xu and Guolin Li and Xiang Xie and Jun Hu and Wei Song and Zhihua Wang}, title = {A new finger touch detection algorithm and prototype system architecture for pervasive bare-hand human computer interaction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {725--728}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571949}, doi = {10.1109/ISCAS.2013.6571949}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LvXLXHSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LyuWLC13, author = {Yuan{-}Fu Lyu and Chung{-}Yu Wu and Li{-}Chen Liu and Wei{-}Ming Chen}, title = {A Low power 10bit 500kS/s delta-modulated {SAR} {ADC} {(DMSAR} {ADC)} for implantable medical devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2046--2049}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572274}, doi = {10.1109/ISCAS.2013.6572274}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LyuWLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaDNL13, author = {Lin Ma and Chenwei Deng and King N. Ngan and Weisi Lin}, title = {Overview of quality assessment for visual signals and newly emerged trends}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1091--1094}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572040}, doi = {10.1109/ISCAS.2013.6572040}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaDNL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaMTZLW13, author = {Jieming Ma and Ka Lok Man and T. O. Ting and Nan Zhang and Chi{-}Un Lei and Ngai Wong}, title = {A hybrid {MPPT} method for Photovoltaic systems via estimation and revision method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {241--244}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571827}, doi = {10.1109/ISCAS.2013.6571827}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaMTZLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaMTZLW13a, author = {Jieming Ma and Ka Lok Man and T. O. Ting and Nan Zhang and Chi{-}Un Lei and Ngai Wong}, title = {Low-cost global {MPPT} scheme for Photovoltaic systems under partially shaded conditions}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {245--248}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571828}, doi = {10.1109/ISCAS.2013.6571828}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaMTZLW13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaSE13, author = {Yitao Ma and Tadashi Shibata and Tetsuo Endoh}, title = {An MTJ-based nonvolatile associative memory architecture with intelligent power-saving scheme for high-speed low-power recognition applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1248--1251}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572079}, doi = {10.1109/ISCAS.2013.6572079}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaSE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MagalhaesMVGC13, author = {Jos{\'{e}} Pedro Magalh{\~{a}}es and Teofilo Monteiro and Jos{\'{e}} M. N. Vieira and Roberto G{\'{o}}mez{-}Garc{\'{\i}}a and Nuno Borges Carvalho}, title = {Papoulis-Gerchberg Hybrid Filter Bank receiver for cognitive-/Software-Defined Radio systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {69--72}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571784}, doi = {10.1109/ISCAS.2013.6571784}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MagalhaesMVGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiLZZW13, author = {Songping Mai and Chunhong Li and Yixin Zhao and Chun Zhang and Zhihua Wang}, title = {A high-performance low-power SoC for mobile one-time password applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1436--1439}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572126}, doi = {10.1109/ISCAS.2013.6572126}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiLZZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakinoIJHSA13, author = {Takaki Makino and Yukiko Iwata and Yutaka Jitsumatsu and Masao Hotta and Hao San and Kazuyuki Aihara}, title = {Rigorous analysis of quantization error of an {A/D} converter based on {\(\beta\)}-map}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {369--372}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571857}, doi = {10.1109/ISCAS.2013.6571857}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakinoIJHSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakipaaB13, author = {Jani M{\"{a}}kip{\"{a}}{\"{a}} and Olivier Billoint}, title = {{FDSOI} versus {BULK} {CMOS} at 28 nm node which technology for ultra-low power design?}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {554--557}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571903}, doi = {10.1109/ISCAS.2013.6571903}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakipaaB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakosiejTAV13, author = {Adam Makosiej and Olivier Thomas and Amara Amara and Andrei Vladimirescu}, title = {{CMOS} {SRAM} scaling limits under optimum stability constraints}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1460--1463}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572132}, doi = {10.1109/ISCAS.2013.6572132}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MakosiejTAV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalAAAGB13, author = {Kuntal Mandal and Abdullah Abusorrah and Mohammed M. Al{-}Hindawi and Yusuf Al{-}Turki and Damian Giaouris and Soumitro Banerjee}, title = {Dynamical analysis of single-inductor dual-output {DC-DC} converters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2755--2758}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572449}, doi = {10.1109/ISCAS.2013.6572449}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalAAAGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansourARD13, author = {Moussa Reda Mansour and Lu{\'{\i}}s F. C. Alberto and Rodrigo A. Ramos and Alexandre C. B. Delbem}, title = {Identifying groups of preventive controls for a set of critical contingencies in the context of voltage stability}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {453--456}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571878}, doi = {10.1109/ISCAS.2013.6571878}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MansourARD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarucciLMS13, author = {Giovanni Marucci and Salvatore Levantino and Paolo Maffezzoni and Carlo Samori}, title = {Minimum-jitter design of bang-bang PLLs in the presence of 1/f\({}^{\mbox{2}}\) and 1/f\({}^{\mbox{3}}\) {DCO} noise}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {173--176}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571810}, doi = {10.1109/ISCAS.2013.6571810}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarucciLMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarucciLMS13a, author = {Giovanni Marucci and Salvatore Levantino and Paolo Maffezzoni and Carlo Samori}, title = {An efficient method to compute phase-noise in injection-locked frequency dividers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1753--1756}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572204}, doi = {10.1109/ISCAS.2013.6572204}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarucciLMS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarzinFMLSL13, author = {Giovanni Marzin and Andrea Fenaroli and Giovanni Marucci and Salvatore Levantino and Carlo Samori and Andrea L. Lacaita}, title = {A spur cancellation technique for MDLL-based frequency synthesizers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {165--168}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571808}, doi = {10.1109/ISCAS.2013.6571808}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarzinFMLSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatteisDCBB13, author = {Marcello De Matteis and Stefano D'Amico and Giuseppe Cocciolo and Marco De Blasi and Andrea Baschirotto}, title = {A 54dB-DR 1-GHz-bandwidth continuous-time low-pass filter with in-band noise reduction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1280--1283}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572087}, doi = {10.1109/ISCAS.2013.6572087}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatteisDCBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MayrPNS13, author = {Christian Mayr and Johannes Partzsch and Marko Noack and Ren{\'{e}} Sch{\"{u}}ffny}, title = {Live demonstration: Multiple-timescale plasticity in a neuromorphic system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {666--670}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571933}, doi = {10.1109/ISCAS.2013.6571933}, timestamp = {Thu, 06 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MayrPNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/McCulloughIM13, author = {M. H. McCullough and Herbert H. C. Iu and B. Muthuswamy}, title = {Chaotic behaviour in a three element memristor based circuit using fourth order polynomial and {PWL} nonlinearity}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2743--2746}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572446}, doi = {10.1109/ISCAS.2013.6572446}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/McCulloughIM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MehtaM13, author = {Nandish Mehta and Kofi A. A. Makinwa}, title = {Minimum energy point tracking for sub-threshold digital {CMOS} circuits using an in-situ energy sensor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {570--573}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571906}, doi = {10.1109/ISCAS.2013.6571906}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MehtaM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Melo13, author = {Jo{\~{a}}o L. A. de Melo}, title = {A low power 1-MHz continuous-time {\(\Sigma\)}{\(\Delta\)}M Using a passive loop filter designed with a genetic algorithm tool}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {586--589}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571910}, doi = {10.1109/ISCAS.2013.6571910}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Melo13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLL13, author = {Fanman Meng and Hongliang Li and Guanghui Liu}, title = {Segmenting specific object based on logo detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {986--989}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572014}, doi = {10.1109/ISCAS.2013.6572014}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLSZBK13, author = {Lili Meng and Jie Liang and Upul Samarawickrama and Yao Zhao and Huihui Bai and Andr{\'{e}} Kaup}, title = {Multiple description coding with randomly offset quantizers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {261--264}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571832}, doi = {10.1109/ISCAS.2013.6571832}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MengLSZBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengSHLC13, author = {Che{-}Hao Meng and Yi{-}Ping Su and Yu{-}Ping Huang and Yu{-}Huei Lee and Ke{-}Horng Chen}, title = {94{\%} Performance improvement by time-shift control {(TSC)} technique in cloud computing voltage regulator module {(VRM)}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {909--912}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571995}, doi = {10.1109/ISCAS.2013.6571995}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengSHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerajiSASO13, author = {Reza Meraji and S. M. Yasser Sherazi and John B. Anderson and Henrik Sj{\"{o}}land and Viktor {\"{O}}wall}, title = {Analog and digital approaches for an energy efficient low complexity channel decoder}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1564--1567}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572158}, doi = {10.1109/ISCAS.2013.6572158}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MerajiSASO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MesgaraniFYTYA13, author = {Ali Mesgarani and Haipeng Fu and Mei Yan and A. Tekin and Hao Yu and Suat U. Ay}, title = {A 5-bit 1.25GS/s 4.7mW delay-based pipelined {ADC} in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2018--2021}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572267}, doi = {10.1109/ISCAS.2013.6572267}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MesgaraniFYTYA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiguelLRC13, author = {Jos{\'{e}} Mar{\'{\i}}a Algueta{-}Miguel and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Improved technique for continuous tuning of {CMOS} transconductor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1288--1291}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572089}, doi = {10.1109/ISCAS.2013.6572089}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiguelLRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MilovanovicZ13, author = {Vladimir M. Milovanovic and Horst Zimmermann}, title = {A fully differential {CMOS} self-biased two-stage preamplifier-latch threshold detection comparator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {606--609}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571915}, doi = {10.1109/ISCAS.2013.6571915}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MilovanovicZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MitrosASTWFA13, author = {Piotr F. Mitros and Khurram K. Affidi and Gerald J. Sussman and Chris J. Terman and Jacob K. White and Lyla Fischer and Anant Agarwal}, title = {Teaching electronic circuits online: Lessons from MITx's 6.002x on edX}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2763--2766}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572451}, doi = {10.1109/ISCAS.2013.6572451}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MitrosASTWFA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoazzenA13, author = {Iman Moazzen and Panajotis Agathoklis}, title = {An approach for joint blind space-time equalization and {DOA} estimation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2183--2186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572308}, doi = {10.1109/ISCAS.2013.6572308}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoazzenA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoazzeniCS13, author = {Shahaboddin Moazzeni and Glenn E. R. Cowan and Mohamad Sawan}, title = {A mismatch-robust period-based {VCO} frequency comparison technique for {ULP} receivers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1765--1768}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572207}, doi = {10.1109/ISCAS.2013.6572207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoazzeniCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohanHS13, author = {Rachit Mohan and Senad Hiseni and Wouter A. Serdijn}, title = {A highly linear, Sigma-Delta based, sub-Hz high-pass filtered ExG readout system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {181--184}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571812}, doi = {10.1109/ISCAS.2013.6571812}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohanHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MonteiroTS13, author = {C{\^{a}}ncio Monteiro and Yasuhiro Takahashi and Toshikazu Sekine}, title = {{DPA} resistance of charge-sharing symmetric adiabatic logic}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2581--2584}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572406}, doi = {10.1109/ISCAS.2013.6572406}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MonteiroTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuellerMZNH13, author = {Jan Henning Mueller and Bastian Mohr and Ye Zhang and Renato Negra and Stefan Heinen}, title = {A digital centric transmitter architecture with arbitrary ratio baseband-to-LO upsampling}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {954--957}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572006}, doi = {10.1109/ISCAS.2013.6572006}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuellerMZNH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaRKKJ13, author = {Taehui Na and Kyungho Ryu and Jisu Kim and Seung{-}Hyuk Kang and Seong{-}Ook Jung}, title = {A comparative study of {STT-MTJ} based non-volatile flip-flops}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {109--112}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571794}, doi = {10.1109/ISCAS.2013.6571794}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NaRKKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NanHG13, author = {Xiaoming Nan and Yifeng He and Ling Guan}, title = {Optimal resource allocation for multimedia application providers in multi-site cloud}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {449--452}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571877}, doi = {10.1109/ISCAS.2013.6571877}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NanHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NanHG13a, author = {Xiaoming Nan and Yifeng He and Ling Guan}, title = {Optimization of workload scheduling for multimedia cloud computing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2872--2875}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572478}, doi = {10.1109/ISCAS.2013.6572478}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NanHG13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NarwariaSCP13, author = {Manish Narwaria and Matthieu Perreira Da Silva and Patrick Le Callet and Romuald P{\'{e}}pion}, title = {Adaptive contrast adjustment for postprocessing of tone mapped high dynamic range images}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1103--1106}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572043}, doi = {10.1109/ISCAS.2013.6572043}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NarwariaSCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NatsuiHSS13, author = {Masanori Natsui and Takahiro Hanyu and Noboru Sakimura and Tadahiko Sugibayashi}, title = {MTJ/MOS-hybrid logic-circuit design flow for nonvolatile logic-in-memory {LSI}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {105--109}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571793}, doi = {10.1109/ISCAS.2013.6571793}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NatsuiHSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgL13, author = {William Wai{-}Lam Ng and Daniel Pak{-}Kong Lun}, title = {Image enhancement for fringe projection profilometry}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {729--732}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571950}, doi = {10.1109/ISCAS.2013.6571950}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgLCC13, author = {Shao Siang Ng and Kuei{-}Liang Lin and Ke{-}Horng Chen and Yu{-}Wen Chen}, title = {A 94{\%} efficiency near-constant frequency self-oscillating class-D audio amplifier with voltage control resistor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {602--605}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571914}, doi = {10.1109/ISCAS.2013.6571914}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenJOMSLTN13, author = {Minh{-}Tien Nguyen and Chadi Jabbour and Cyrius Ouffoue and Rayan Mina and Florent Sibille and Patrick Loumeau and Pascal Triaire and Van Tam Nguyen}, title = {Direct delta-sigma receiver: Analysis, modelization and simulation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1035--1038}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572026}, doi = {10.1109/ISCAS.2013.6572026}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenJOMSLTN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NicholsonJIPSHL13, author = {Andrew P. Nicholson and Julian Jenkins and Astria Nur Irfansyah and Nonie Politi and Andr{\'{e}} van Schaik and Tara Julia Hamilton and Torsten Lehmann}, title = {A 0.3mm\({}^{\mbox{2}}\) 10-b 100MS/s pipelined {ADC} using Nauta structure op-amps in 180nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1833--1836}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572222}, doi = {10.1109/ISCAS.2013.6572222}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NicholsonJIPSHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NidhiPHK13, author = {U. Nidhi and Kolin Paul and Ahmed Hemani and Anshul Kumar}, title = {High performance 3D-FFT implementation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2227--2230}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572319}, doi = {10.1109/ISCAS.2013.6572319}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NidhiPHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NieLZT13, author = {Tingyuan Nie and Yansheng Li and Lijian Zhou and Masahiko Toyonaga}, title = {A multilevel fingerprinting method for {FPGA} {IP} protection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1789--1792}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572212}, doi = {10.1109/ISCAS.2013.6572212}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NieLZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishimuraMH13, author = {Shotaro Nishimura and Aloys Mvuma and Takao Hinamoto}, title = {Tracking properties of complex adaptive notch filter for detection of multiple real sinusoids}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2928--2931}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572492}, doi = {10.1109/ISCAS.2013.6572492}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NishimuraMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NithinCBPM13, author = {Kumar Y. B. Nithin and Herv{\'{e}} Caracciolo and Edoardo Bonizzoni and A. Parra and Franco Maloberti}, title = {A 1.96-mW, 2.6-MHz bandwidth discrete time quadrature band-pass {\(\Sigma\)}{\(\Delta\)} modulator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1998--2001}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572262}, doi = {10.1109/ISCAS.2013.6572262}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NithinCBPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NjugunaG13, author = {Raphael Njuguna and Viktor Gruev}, title = {Velocity saturation current-mode {CMOS} imaging sensor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2630--2633}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572418}, doi = {10.1109/ISCAS.2013.6572418}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NjugunaG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NurminenSHG13, author = {Jani Nurminen and Hanna Sil{\'{e}}n and Elina Helander and Moncef Gabbouj}, title = {Evaluation of detailed modeling of the {LP} residual in statistical speech synthesis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {313--316}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571844}, doi = {10.1109/ISCAS.2013.6571844}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NurminenSHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NwankpaJJ13, author = {Chika O. Nwankpa and Juan C. Jim{\'{e}}nez and Sachi Jayasuriya}, title = {Modeling and simulation of information-embedded multi-converter power systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1544--1547}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572153}, doi = {10.1109/ISCAS.2013.6572153}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NwankpaJJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhJS13, author = {Reum Oh and Ji{-}Woong Jang and Man Young Sung}, title = {Design and verification of an all-digital on-chip process variation sensor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1684--1687}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572188}, doi = {10.1109/ISCAS.2013.6572188}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhtaNSTTKF13, author = {Jun Ohta and Toshihiko Noda and Kiyotaka Sasagawa and Takashi Tokuda and Yasuo Terasawa and Hironari Kanda and Takashi Fujikado}, title = {A {CMOS} microchip-based retinal prosthetic device for large numbers of stimulation in wide area}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {642--645}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571924}, doi = {10.1109/ISCAS.2013.6571924}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OhtaNSTTKF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OjaniMA13, author = {Amin Ojani and Behzad Mesgarzadeh and Atila Alvandpour}, title = {A quadrature {UWB} frequency synthesizer with dynamic settling-time calibration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2480--2483}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572382}, doi = {10.1109/ISCAS.2013.6572382}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OjaniMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OnkaraiahTRCVPM13, author = {Santhosh Onkaraiah and Ogun Turkyilmaz and Marina Reyboz and Fabien Clermidy and Elisa Vianello and Jean{-}Michel Portal and Christophe Muller}, title = {A hybrid {CBRAM/CMOS} Look-Up-Table structure for improving performance efficiency of Field-Programmable-Gate-Array}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2440--2443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572372}, doi = {10.1109/ISCAS.2013.6572372}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OnkaraiahTRCVPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrfeiNVG13, author = {Francesco Orfei and Igor Neri and Helios Vocca and Luca Gammaitoni}, title = {Nonlinear vibration energy harvesting at work: An application for the automotive sector}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2735--2738}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572444}, doi = {10.1109/ISCAS.2013.6572444}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OrfeiNVG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ortiz-LeonVM13, author = {Gabriela Ortiz{-}Le{\'{o}}n and Marta V{\'{\i}}lchez{-}Monge and Juan J. Montero{-}Rodr{\'{\i}}guez}, title = {An updated Cardiovascular Simulation Toolbox}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1901--1904}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572238}, doi = {10.1109/ISCAS.2013.6572238}, timestamp = {Thu, 10 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Ortiz-LeonVM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OsmanFFE13, author = {Mohamed T. A. Osman and Hossam A. H. Fahmy and Yasmine A. H. Fahmy and Maha Elsabrouty}, title = {Two programmable {BCH} soft decoders for high rate codes with large word length}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1556--1559}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572156}, doi = {10.1109/ISCAS.2013.6572156}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OsmanFFE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PagkalosHG13, author = {Ilias Pagkalos and Pau Herrero and Pantelis Georgiou}, title = {An analogue implementation of the beta cell insulin release model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2489--2492}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572384}, doi = {10.1109/ISCAS.2013.6572384}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PagkalosHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PangAFLL13, author = {Chao Pang and Oscar C. Au and Jingjing Fu and Yan Lu and Shipeng Li}, title = {Rate-distortion optimized block classification and bit allocation in screen video compression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {237--240}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571826}, doi = {10.1109/ISCAS.2013.6571826}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PangAFLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PantLA13, author = {Jeevan K. Pant and Wu{-}Sheng Lu and Andreas Antoniou}, title = {A new algorithm for compressive sensing based on total-variation norm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1352--1355}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572105}, doi = {10.1109/ISCAS.2013.6572105}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PantLA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkGKSO13, author = {Chang{-}Joon Park and Hemasundar Mohan Geddada and Aydin I. Karsilayan and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Marvin Onabajo}, title = {A current-mode flash {ADC} for low-power continuous-time sigma delta modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {141--144}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571802}, doi = {10.1109/ISCAS.2013.6571802}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkGKSO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkM13, author = {Sang Yoon Park and Pramod Kumar Meher}, title = {Flexible integer {DCT} architectures for {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1376--1379}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572111}, doi = {10.1109/ISCAS.2013.6572111}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPHY13, author = {Seongwook Park and Junyoung Park and Injoon Hong and Hoi{-}Jun Yoo}, title = {A 32.8mW 60fps cortical vision processor for spatio-temporal action recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572018}, doi = {10.1109/ISCAS.2013.6572018}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkPHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParvinAM13, author = {Ayesa Parvin and Majid Ahmadi and Roberto Muscedere}, title = {Application of neural networks with {CSD} coefficients for human face recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1628--1631}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572174}, doi = {10.1109/ISCAS.2013.6572174}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParvinAM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParviziANE13, author = {Mahdi Parvizi and Karim Allidina and Frederic Nabki and Mourad N. El{-}Gamal}, title = {A 0.4V ultra low-power {UWB} {CMOS} {LNA} employing noise cancellation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2369--2372}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572354}, doi = {10.1109/ISCAS.2013.6572354}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParviziANE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatraCG13, author = {Srijita Patra and Degang Chen and Randy Geiger}, title = {Reliability degradation with electrical, thermal and thermal gradient stress in interconnects}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1063--1066}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572033}, doi = {10.1109/ISCAS.2013.6572033}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatraCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaulinoOS13, author = {Nuno Paulino and Jo{\~{a}}o Pedro Oliveira and Rui Santos{-}Tavares}, title = {The design of an audio power amplifier as a class project for undergraduate students}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2565--2568}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572402}, doi = {10.1109/ISCAS.2013.6572402}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PaulinoOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiH13, author = {Soo{-}Chang Pei and Yu{-}Zhe Hsiao}, title = {Demosaicking of Color Filter Array patterns using Quaternion Fourier Transform and low pass filter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2800--2803}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572460}, doi = {10.1109/ISCAS.2013.6572460}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengDZY13, author = {Bin Peng and Dandan Ding and Xingguo Zhu and Lu Yu}, title = {A hardware {CABAC} encoder for {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1372--1375}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572110}, doi = {10.1109/ISCAS.2013.6572110}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengDZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PepeBLMSL13, author = {Federico Pepe and Andrea Bonfanti and Salvatore Levantino and Paolo Maffezzoni and Carlo Samori and Andrea L. Lacaita}, title = {Simulating phase noise induced from cyclostationary noise sources}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2686--2689}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572432}, doi = {10.1109/ISCAS.2013.6572432}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PepeBLMSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PillaiJ13, author = {Anu Kalidas Muralidharan Pillai and H{\aa}kan Johansson}, title = {Low-complexity two-rate based multivariate impulse response reconstructor for time-skew error correction in m-channel time-interleaved ADCs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2936--2939}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572494}, doi = {10.1109/ISCAS.2013.6572494}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PillaiJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PiresPMP13, author = {Rafael Goncalves Pires and Lu{\'{\i}}s A. M. Pereira and Alex F. Mansano and Jo{\~{a}}o P. Papa}, title = {A hybrid image restoration algorithm based on Projections Onto Convex Sets and Harmony Search}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2824--2827}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572466}, doi = {10.1109/ISCAS.2013.6572466}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PiresPMP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PolHO13, author = {Ketan J. Pol and Hans Hegt and Sotir Ouzounov}, title = {Sigma delta feedback {DAC} architectures for high accuracy and extremely low charge transfer}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1813--1816}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572217}, doi = {10.1109/ISCAS.2013.6572217}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PolHO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PolaCAH13, author = {Ariel L. Pola and Juan E. Cousseau and Oscar E. Agazzi and Mario Rafael Hueda}, title = {Efficient decision feedforward equalizer with parallelizable architecture}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2771--2774}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572453}, doi = {10.1109/ISCAS.2013.6572453}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PolaCAH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PourKK13, author = {Naser Khosro Pour and Fran{\c{c}}ois Krummenacher and Maher Kayal}, title = {A reconfigurable micro power solar energy harvester for ultra-low power autonomous microsystems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {33--36}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571775}, doi = {10.1109/ISCAS.2013.6571775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PourKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrabhaR13, author = {Rajiv Damodaran Prabha and Gabriel A. Rinc{\'{o}}n{-}Mora}, title = {Battery-assisted and photovoltaic-sourced switched-inductor {CMOS} harvesting charger-supply}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {253--256}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571830}, doi = {10.1109/ISCAS.2013.6571830}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrabhaR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PunWCWKW13, author = {Alan Pun and Jeff Wong and Gigi Chan and William Wong and David Kwong and K. C. Wang}, title = {A 0.3mm\({}^{\mbox{2}}\) 60{\(\mu\)}W 11.2b {ENOB} signal acquisition {ASIC} for resistive bridge sensors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1500--1503}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572142}, doi = {10.1109/ISCAS.2013.6572142}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PunWCWKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QiSCWRW13, author = {Nan Qi and Zheng Song and Baoyong Chi and Albert Z. Wang and Tianling Ren and Zhihua Wang}, title = {A multi-mode complex bandpass filter with gm-assisted power optimization and {I/Q} calibration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1845--1848}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572224}, doi = {10.1109/ISCAS.2013.6572224}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QiSCWRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QiWJL13, author = {Jun Qi and Dong Wang and Yi Jiang and Runsheng Liu}, title = {Auditory features based on Gammatone filters for robust speech recognition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {305--308}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571843}, doi = {10.1109/ISCAS.2013.6571843}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QiWJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QianC13, author = {Hanhua Qian and Chip{-}Hong Chang}, title = {Microchannel splitting and scaling for thermal balancing of liquid-cooled 3DIC}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {801--804}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571968}, doi = {10.1109/ISCAS.2013.6571968}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QianC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QinZWYZ13, author = {Chuan Qin and Lei Zhang and Yan Wang and Zhiping Yu and Dajie Zeng}, title = {An inductorless wideband low noise amplifier with current reuse and linearity enhancement}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {389--392}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571862}, doi = {10.1109/ISCAS.2013.6571862}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QinZWYZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuerliozT13, author = {Damien Querlioz and Vincent Trauchessec}, title = {Stochastic resonance in an analog current-mode neuromorphic circuit}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1596--1599}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572166}, doi = {10.1109/ISCAS.2013.6572166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QuerliozT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RabuskeFRRS13, author = {Taimur Gibran Rabuske and Jorge R. Fernandes and Fabio Gibran Rabuske and Cesar Ramos Rodrigues and Marcelino Bicho Dos Santos}, title = {A self-calibrated 10-bit 1 MSps {SAR} {ADC} with reduced-voltage charge-sharing {DAC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2452--2455}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572375}, doi = {10.1109/ISCAS.2013.6572375}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RabuskeFRRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RabuskeRFR13, author = {Taimur Gibran Rabuske and Fabio Gibran Rabuske and Jorge R. Fernandes and Cesar Ramos Rodrigues}, title = {A 5-bit 1.5GSps calibration-less binary search {ADC} using threshold reconfigurable comparators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {365--368}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571856}, doi = {10.1109/ISCAS.2013.6571856}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RabuskeRFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RanaQPGDPH13, author = {Sunil Rana and Tian Qin and Dinesh Pamunuwa and Daniel Grogg and Michel Despont and Yu Pu and Christoph Hagleitner}, title = {Modelling {NEM} relays for digital circuit applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {805--808}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571969}, doi = {10.1109/ISCAS.2013.6571969}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RanaQPGDPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RangachariC13, author = {Sundarrajan Rangachari and Nitin Chandrachoodan}, title = {Scalable low power digital filter architectures for varying input dynamic range}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3018--3021}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572514}, doi = {10.1109/ISCAS.2013.6572514}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RangachariC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaoDLW13, author = {Wengui Rao and Yan Dong and Fang Lu and Shu Wang}, title = {Log-likelihood ratio algorithm for rate compatible modulation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1938--1941}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572247}, doi = {10.1109/ISCAS.2013.6572247}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RaoDLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RazmpourSFDN13, author = {Sedigheh Razmpour and Amir M. Sodagar and Milad Faizollah and Mohammad Y. Darmani and Morteza Nourian}, title = {Reconfigurable biological signal co-processor for feature extraction dedicated to implantable biomedical microsystems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {861--864}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571983}, doi = {10.1109/ISCAS.2013.6571983}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RazmpourSFDN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RediHMF13, author = {Judith Redi and Ingrid Heynderickx and Bruno Macchiavello and Myl{\`{e}}ne C. Q. Farias}, title = {On the impact of packet-loss impairments on visual attention mechanisms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1107--1110}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572044}, doi = {10.1109/ISCAS.2013.6572044}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RediHMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReimannPFJR13, author = {Tiago Reimann and Gracieli Posser and Guilherme Flach and Marcelo O. Johann and Ricardo Reis}, title = {Simultaneous gate sizing and Vt assignment using Fanin/Fanout ratio and Simulated Annealing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2549--2552}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572398}, doi = {10.1109/ISCAS.2013.6572398}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ReimannPFJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RenLYWWH13, author = {Yu Ren and Leibo Liu and Shouyi Yin and Qinghua Wu and Shaojun Wei and Jie Han}, title = {A {VLSI} architecture for enhancing the fault tolerance of NoC using quad-spare mesh topology and dynamic reconfiguration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1793--1796}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572213}, doi = {10.1109/ISCAS.2013.6572213}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RenLYWWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiazG13, author = {Muhammad Mohsin Riaz and Abdul Ghafoor}, title = {Ground penetrating radar image enhancement using singular value decomposition}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2388--2391}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572359}, doi = {10.1109/ISCAS.2013.6572359}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiazG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RickersEBKBJ13, author = {Sebastian Rickers and Mohammad Elikaee and Zijian Bai and Christian Kocks and Guido Horst Bruck and Peter Jung}, title = {Wireless Power Transfer H-Bridge design with serial resonance and varying supply voltage}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {630--633}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571921}, doi = {10.1109/ISCAS.2013.6571921}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RickersEBKBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RickettsC13, author = {David S. Ricketts and Matthew J. Chabalko}, title = {On the efficient wireless power transfer in resonant multi-receiver systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2779--2782}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572455}, doi = {10.1109/ISCAS.2013.6572455}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RickettsC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RitterKLO13, author = {Rudolf Ritter and John G. Kauffman and Matthias Lorenz and Maurits Ortmanns}, title = {Integrator swing reduction in feedback compensated Sigma-Delta modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2026--2029}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572269}, doi = {10.1109/ISCAS.2013.6572269}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RitterKLO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoaJ13, author = {Elkim Roa and Byunghoo Jung}, title = {A 40Gb/s 860{\(\mu\)}W single-phase 4: 1 multiplexer in 45nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1396--1399}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572116}, doi = {10.1109/ISCAS.2013.6572116}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoaJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguesPAPSRY13, author = {Douglas Rodrigues and Lu{\'{\i}}s A. M. Pereira and T. N. S. Almeida and Jo{\~{a}}o Paulo Papa and Andr{\'{e}} N. de Souza and Caio C. O. Ramos and Xin{-}She Yang}, title = {{BCS:} {A} Binary Cuckoo Search algorithm for feature selection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {465--468}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571881}, doi = {10.1109/ISCAS.2013.6571881}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RodriguesPAPSRY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rosa13, author = {Jos{\'{e}} M. de la Rosa}, title = {An empirical and statistical comparison of state-of-the-art sigma-delta modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {825--828}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571974}, doi = {10.1109/ISCAS.2013.6571974}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rosa13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RottavaCSL13, author = {Rodrigo Eduardo Rottava and S. Carlyle Camara and Fernando Rangel de Sousa and Robson Nunes de Lima}, title = {Ultra-low-power 2.4 GHz Colpitts oscillator based on double feedback technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1785--1788}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572211}, doi = {10.1109/ISCAS.2013.6572211}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RottavaCSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoyPRD13, author = {Pranab Roy and Mahua Raha Patra and Hafizur Rahaman and Parthasarathi Dasgupta}, title = {Digital microfluidic system: {A} new design for heterogeneous sample based integration of multiple DMFBs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1905--1909}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572239}, doi = {10.1109/ISCAS.2013.6572239}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoyPRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RutzigBC13, author = {Mateus Beck Rutzig and Antonio Carlos Schneider Beck and Luigi Carro}, title = {A run-time adaptive multiprocessor system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1664--1667}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572183}, doi = {10.1109/ISCAS.2013.6572183}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RutzigBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RymanARDEL13, author = {Erik Ryman and Stefan Back Andersson and J. Riesbeck and S. Dejanovic and Anders Emrich and Per Larsson{-}Edefors}, title = {A SiGe 8-channel comparator for application in a synthetic aperture radiometer}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {845--848}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571979}, doi = {10.1109/ISCAS.2013.6571979}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RymanARDEL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RyuHCL13, author = {Seonghan Ryu and In{-}Chul Hwang and Ara Cho and Sangyub Lee}, title = {Multi-band wide tuning range {CMOS} {VCO} with Hybrid Inductor for {LTE} standard}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {741--744}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571953}, doi = {10.1109/ISCAS.2013.6571953}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RyuHCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaberkariFMPA13, author = {Alireza Saberkari and Rasoul Fathipour and Herminio Mart{\'{\i}}nez and Alberto Poveda and Eduard Alarc{\'{o}}n}, title = {Output-capacitorless {CMOS} {LDO} regulator based on high slew-rate current-mode transconductance amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572138}, doi = {10.1109/ISCAS.2013.6572138}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaberkariFMPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadeghifarW13, author = {M. Reza Sadeghifar and J. Jacob Wikner}, title = {Modeling and analysis of aliasing image spurs problem in digital-RF-converter-based {IQ} modulators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {578--581}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571908}, doi = {10.1109/ISCAS.2013.6571908}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadeghifarW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahYBK13, author = {Maheshwar Pd. Sah and Changju Yang and Ram Kaji Budhathoki and Hyongsuk Kim}, title = {Features of memristor emulator-based artificial neural synapses}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {421--424}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571870}, doi = {10.1109/ISCAS.2013.6571870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SahYBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahZNYH13, author = {Suman Prasad Sah and Siqi Zhu and Tai N. Nguyen and Xinmin Yu and Deuk Hyoun Heo}, title = {A 12-40 GHz low phase variation highly linear BiCMOS variable gain amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1119--1122}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572047}, doi = {10.1109/ISCAS.2013.6572047}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SahZNYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SakaiNS13, author = {Yoichi Sakai and Kiyoshi Nakayama and Norihiko Shinomiya}, title = {A node-weight equalization problem with circuit-based computations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2525--2528}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572392}, doi = {10.1109/ISCAS.2013.6572392}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SakaiNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalahRRI13, author = {Khaled Salah and Alaa B. El{-}Rouby and Hani F. Ragai and Yehea I. Ismail}, title = {TSV-based on-chip inductive coupling communications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1672--1675}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572185}, doi = {10.1109/ISCAS.2013.6572185}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalahRRI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalahuddinJK13, author = {Shairfe Muhammad Salahuddin and Hailong Jiao and Volkan Kursun}, title = {Low-leakage hybrid FinFET {SRAM} cell with asymmetrical gate overlap / underlap bitline access transistors for enhanced read data stability}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2331--2334}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572345}, doi = {10.1109/ISCAS.2013.6572345}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalahuddinJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalgadoDR13, author = {Gerardo Molina Salgado and Gordana Jovanovic{-}Dolecek and Jos{\'{e}} M. de la Rosa}, title = {Power and area efficient comb-based decimator for Sigma-Delta ADCs with high decimation factors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1260--1263}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572082}, doi = {10.1109/ISCAS.2013.6572082}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SalgadoDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sanchez-AzquetaGACA13, author = {Carlos S{\'{a}}nchez{-}Azqueta and Cecilia Gimeno and Concepci{\'{o}}n Aldea and Santiago Celma and Cristina Azcona}, title = {{CMOS} receiver with equalizer and {CDR} for short-reach optical communications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {497--500}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571889}, doi = {10.1109/ISCAS.2013.6571889}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sanchez-AzquetaGACA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sanchez-AzquetaGACA13a, author = {Carlos S{\'{a}}nchez{-}Azqueta and Cecilia Gimeno and Concepci{\'{o}}n Aldea and Santiago Celma and Cristina Azcona}, title = {Bang-bang phase detector model revisited}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1761--1764}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572206}, doi = {10.1109/ISCAS.2013.6572206}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sanchez-AzquetaGACA13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanchezKSGHM13, author = {Giovanny S{\'{a}}nchez and Thomas Jacob Koickal and T. A. Athul Sripad and Luiz Carlos Gouveia and Alister Hamilton and Jordi Madrenas}, title = {Spike-based analog-digital neuromorphic information processing system for sensor applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1624--1627}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572173}, doi = {10.1109/ISCAS.2013.6572173}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanchezKSGHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SandbornA13, author = {Phillip A. M. Sandborn and Pamela Abshire}, title = {2D motion sensor with programmable feature extraction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2408--2411}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572364}, doi = {10.1109/ISCAS.2013.6572364}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SandbornA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarkarC13, author = {Pikul Sarkar and Shantanu Chakrabartty}, title = {A compressive piezoelectric front-end circuit for self-powered mechanical impact detectors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2207--2210}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572314}, doi = {10.1109/ISCAS.2013.6572314}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarkarC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawigunJ13, author = {Chutham Sawigun and Amorn Jiraseree{-}amornkun}, title = {A switched gain cell parametric amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {594--597}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571912}, doi = {10.1109/ISCAS.2013.6571912}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawigunJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchinianakisS13, author = {Dimitrios Schinianakis and Thanos Stouraitis}, title = {Hardware-fault attack handling in RNS-based Montgomery multipliers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3042--3045}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572280}, doi = {10.1109/ISCAS.2013.6572280}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchinianakisS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchleckerOAF13, author = {Benedikt Schlecker and Maurits Ortmanns and Jens Anders and Georg E. Fantner}, title = {PLL-based high-speed demodulation of {FM} signals for real-time {AFM} applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {197--200}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571816}, doi = {10.1109/ISCAS.2013.6571816}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchleckerOAF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerraPG13, author = {Hugo Serra and Nuno Paulino and Jo{\~{a}}o Goes}, title = {A switched-capacitor biquad using a simple quasi-unity gain amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1841--1844}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572223}, doi = {10.1109/ISCAS.2013.6572223}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SerraPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Serrano-GotarredonaPLJBL13, author = {Teresa Serrano{-}Gotarredona and Jongkil Park and Alejandro Linares{-}Barranco and Angel Jim{\'{e}}nez{-}Fernandez and Ryad Benosman and Bernab{\'{e}} Linares{-}Barranco}, title = {Improved contrast sensitivity {DVS} and its application to event-driven stereo vision}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2420--2423}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572367}, doi = {10.1109/ISCAS.2013.6572367}, timestamp = {Tue, 05 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Serrano-GotarredonaPLJBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahnazNFZA13, author = {Celia Shahnaz and Shamima Najnin and Shaikh Anowarul Fattah and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {A detection method of nasalised vowels based on an acoustic parameter derived from phase spectrum}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {297--300}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571841}, doi = {10.1109/ISCAS.2013.6571841}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahnazNFZA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShangXY13, author = {Delong Shang and Fei Xia and Alex Yakovlev}, title = {Wide-range, reference free, on-chip voltage sensor for variable Vdd operations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {37--40}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571776}, doi = {10.1109/ISCAS.2013.6571776}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShangXY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShayeiES13, author = {Ali Shayei and Seyed Pooya Ehsani and Mahdi Shabany}, title = {Efficient implementation of real-time {ECG} derived respiration system using cubic spline interpolation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1083--1086}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572038}, doi = {10.1109/ISCAS.2013.6572038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShayeiES13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenLLHP13, author = {Chih{-}Tsung Shen and Hung{-}Hsun Liu and Ming{-}Sui Lee and Yi{-}Ping Hung and Soo{-}Chang Pei}, title = {Spatially-varying super-resolution for {HDTV}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1195--1198}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572066}, doi = {10.1109/ISCAS.2013.6572066}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenLLHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenMTG13, author = {Junge Shen and Tao Mei and Qi Tian and Xinbo Gao}, title = {Image search reranking with multi-latent topical graph}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571767}, doi = {10.1109/ISCAS.2013.6571767}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenMTG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenSSFZ13, author = {Weiwei Shen and Qing Shang and Sha Shen and Yibo Fan and Xiaoyang Zeng}, title = {A high-throughput {VLSI} architecture for deblocking filter in {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {673--676}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571936}, doi = {10.1109/ISCAS.2013.6571936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenSSFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenWWLLRZC13, author = {Jinpeng Shen and Xin'an Wang and Bo Wang and Shan Liu and Shoucheng Li and Zhengkun Ruan and Xiangrong Zhang and Ying Cao}, title = {Fully integrated passive {UHF} {RFID} transponder {IC} with a sensitivity of -12 dBm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {289--292}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571839}, doi = {10.1109/ISCAS.2013.6571839}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShenWWLLRZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiAZZMJ13, author = {Yongfang Shi and Oscar C. Au and Xingyu Zhang and Hong Zhang and Rui Ma and Luheng Jia}, title = {Content based fast prediction unit quadtree depth decision algorithm for {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {225--228}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571823}, doi = {10.1109/ISCAS.2013.6571823}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiAZZMJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiBC13, author = {Kan Shi and David Boland and George A. Constantinides}, title = {Overclocking datapath for latency-error tradeoff}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2537--2540}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572395}, doi = {10.1109/ISCAS.2013.6572395}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiXYHPYQHM13, author = {Jian Shi and Shimao Xiao and Yunfeng Yu and Wei Huang and Wenguang Pan and Tianchun Ye and Min Qian and Xiaofeng He and Chengyan Ma}, title = {A 5.8GHz integrated {CMOS} transmitter for Chinese electronic toll collection system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {509--512}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571892}, doi = {10.1109/ISCAS.2013.6571892}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiXYHPYQHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiahM13, author = {Jack Shiah and Shahriar Mirabbasi}, title = {A 5-V 555-{\(\mu\)}W 0.8-{\(\mu\)}m {CMOS} {MEMS} capacitive sensor interface using correlated level shifting}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572143}, doi = {10.1109/ISCAS.2013.6572143}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiahM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimadaZS13, author = {Atsushi Shimada and Hongbo Zhu and Tadashi Shibata}, title = {A {VLSI} {DBSCAN} processor composed as an array of micro agents having self-growing interconnects}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2062--2065}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572278}, doi = {10.1109/ISCAS.2013.6572278}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimadaZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShoaranLPLS13, author = {Mahsa Shoaran and Mariazel Maqueda Lopez and Vijaya Sankara Rao Pasupureddi and Yusuf Leblebici and Alexandre Schmid}, title = {A low-power area-efficient compressive sensing approach for multi-channel neural recording}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2191--2194}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572310}, doi = {10.1109/ISCAS.2013.6572310}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShoaranLPLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShomalnasabHZ13, author = {Gholamreza Shomalnasab and Howard M. Heys and Lihong Zhang}, title = {Analytic modeling of interconnect capacitance in submicron and nanometer technologies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2553--2556}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572399}, doi = {10.1109/ISCAS.2013.6572399}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShomalnasabHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmailiM13, author = {Sami Smaili and Yehia Massoud}, title = {Differential pair sense amplifier for a robust reading scheme for memristor-based memories}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1676--1679}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572186}, doi = {10.1109/ISCAS.2013.6572186}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SmailiM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Small13, author = {Michael Small}, title = {Complex networks from time series: Capturing dynamics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2509--2512}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572389}, doi = {10.1109/ISCAS.2013.6572389}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Small13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SohbatiGT13, author = {Mohammadreza Sohbati and Pantelis Georgiou and Christofer Toumazou}, title = {{REFET} replication for ISFET-based {SNP} detection arrays}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {185--188}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571813}, doi = {10.1109/ISCAS.2013.6571813}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SohbatiGT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongRRMRC13, author = {Shuang Song and Michael Johannes Rooijakkers and Chiara Rabotti and Massimo Mischi and Arthur H. M. van Roermund and Eugenio Cantatore}, title = {A low-power noise scalable instrumentation amplifier for fetal monitoring applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1926--1929}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572244}, doi = {10.1109/ISCAS.2013.6572244}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SongRRMRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SouzaM13, author = {Gustavo Botelho de Souza and Aparecido Nilceu Marana}, title = {{HTS:} {A} new shape descriptor based on Hough Transform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {974--977}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572011}, doi = {10.1109/ISCAS.2013.6572011}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SouzaM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SturmXP13, author = {Johannes Sturm and Xinbo Xiang and Harald Pretl}, title = {A 65nm {CMOS} wide-band {LNA} with continuously tunable gain from 0dB to 24dB}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {733--736}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571951}, doi = {10.1109/ISCAS.2013.6571951}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SturmXP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuLZHCZ13, author = {Xiaoqiong Su and Weiyao Lin and Xiaozhen Zheng and Xintong Han and Hang Chu and Xiaoyun Zhang}, title = {A new Local-Main-Gradient-Orientation {HOG} and contour differences based algorithm for object classification}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2892--2895}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572483}, doi = {10.1109/ISCAS.2013.6572483}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuLZHCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuY13, author = {Ching{-}Yao Su and Jar{-}Ferr Yang}, title = {A two-stage low complexity face recognition system for face images with alignment errors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2131--2134}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572295}, doi = {10.1109/ISCAS.2013.6572295}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SudaniCG13, author = {Siva Sudani and Degang Chen and Randall L. Geiger}, title = {High resolution {ADC} spectral test with known impure source and non-coherent sampling}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2674--2677}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572429}, doi = {10.1109/ISCAS.2013.6572429}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SudaniCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunK13, author = {Yanan Sun and Volkan Kursun}, title = {Low-power and compact {NP} dynamic {CMOS} adder with 16nm carbon nanotube transistors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2119--2122}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572292}, doi = {10.1109/ISCAS.2013.6572292}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunLI13, author = {Lei Sun and Zhenyu Liu and Takeshi Ikenaga}, title = {A mode-mapping and optimized {MV} conjunction based MGS-scalable {SVC} to {AVC} {IPPP} transcoder}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1648--1651}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572179}, doi = {10.1109/ISCAS.2013.6572179}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunLI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunMR13, author = {Ted Sun and Ayhan A. Mutlu and Mahmud Rahman}, title = {Statistical Electromigration analysis of a chip with the consideration of a within-die temperature map}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2343--2346}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572348}, doi = {10.1109/ISCAS.2013.6572348}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunMR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanMZD13, author = {Ying Tan and Guyue Mi and Yuanchun Zhu and Chao Deng}, title = {Artificial immune system based methods for spam filtering}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2484--2488}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572383}, doi = {10.1109/ISCAS.2013.6572383}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanMZD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangAP13, author = {Liang Tang and Jude Angelo Ambrose and Sri Parameswaran}, title = {Variable increment step based reconfigurable interleaver for multimode communication application}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {73--76}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571785}, doi = {10.1109/ISCAS.2013.6571785}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangBWJJ13, author = {Yangyang Tang and Emmanuel Boutillon and Chris Winstead and Christophe J{\'{e}}go and Michel J{\'{e}}z{\'{e}}quel}, title = {Muller C-element based Decoder {(MCD):} {A} decoder against transient faults}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1680--1683}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572187}, doi = {10.1109/ISCAS.2013.6572187}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangBWJJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangSJXZ13, author = {Yibin Tang and Yuan Shen and Aimin Jiang and Ning Xu and Changping Zhu}, title = {Image denoising via Graph regularized {K-SVD}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2820--2823}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572465}, doi = {10.1109/ISCAS.2013.6572465}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangSJXZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangWW13, author = {Chengzhou Tang and Ronggang Wang and Wenmin Wang}, title = {Adaptive motion estimation order for frame rate up-conversion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2992--2995}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572508}, doi = {10.1109/ISCAS.2013.6572508}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanigawaW13, author = {Akira Tanigawa and Minoru Watanabe}, title = {Dependability-increasing technique for a multi-context optically reconfigurable gate array}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1568--1571}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572159}, doi = {10.1109/ISCAS.2013.6572159}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanigawaW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TeiMSH13, author = {Yin Zhen Tei and Muhammad N. Marsono and Nasir Shaikh{-}Husin and Yuan Wen Hau}, title = {Network partitioning and {GA} heuristic crossover for NoC application mapping}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1228--1231}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572074}, doi = {10.1109/ISCAS.2013.6572074}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TeiMSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TorrenoPHPPP13, author = {Juan A. Torre{\~{n}}o and Susana Pat{\'{o}}n and Luis Hern{\'{a}}ndez and Enrique Prefasi and M. Presicce and G. Paoli}, title = {A multi-stage and time-based continuous time {\(\Sigma\)}{\(\Delta\)} Architecture using a Gated Ring Oscillator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1043--1046}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572028}, doi = {10.1109/ISCAS.2013.6572028}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TorrenoPHPPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TorresBCS13, author = {Lionel Torres and Raphael Martins Brum and Luis Vit{\'{o}}rio Cargnini and Gilles Sassatelli}, title = {Trends on the application of emerging nonvolatile memory to processors and programmable devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {101--104}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571792}, doi = {10.1109/ISCAS.2013.6571792}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TorresBCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiL13, author = {Min{-}Jen Tsai and Jung Liu}, title = {Digital forensics for printed source identification}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2347--2350}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572349}, doi = {10.1109/ISCAS.2013.6572349}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiLLH13, author = {Tsung{-}Han Tsai and Zong{-}Hong Li and Hsueh{-}Yi Lin and Li{-}Yang Huang}, title = {Memory-efficient scalable video encoder architecture for multi-source digital home environment}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {685--688}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571939}, doi = {10.1109/ISCAS.2013.6571939}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiS13, author = {Tsung{-}Heng Tsai and Bo{-}Han Song}, title = {A self-sustaining integrated {CMOS} regulator for solar and {UHF} {RFID} energy harvesting systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {25--28}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571773}, doi = {10.1109/ISCAS.2013.6571773}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TsaiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsangLCS13, author = {Sik{-}Ho Tsang and Tsz{-}Kwan Lee and Yui{-}Lam Chan and Wan{-}Chi Siu}, title = {Region-based weighted prediction algorithm for {H.264/AVC} video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {269--272}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571834}, doi = {10.1109/ISCAS.2013.6571834}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsangLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL13, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Design of two-dimensional notch filter using bandpass filter and fractional delay filter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {89--92}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571789}, doi = {10.1109/ISCAS.2013.6571789}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL13a, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Closed-form design of fractional order differentiator using discrete cosine transform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2609--2612}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572413}, doi = {10.1109/ISCAS.2013.6572413}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengL13b, author = {Chien{-}Cheng Tseng and Su{-}Ling Lee}, title = {Computation of partial fractional derivative of digital image using discrete cosine transform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2828--2831}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572467}, doi = {10.1109/ISCAS.2013.6572467}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengL13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsouCJ13, author = {Yu{-}Lin Tsou and Nai{-}Chen Daniel Cheng and Christina F. Jou}, title = {A 32.4 {\(\mu\)}W {RF} front end for 2.4 GHz wake-up receiver}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {125--128}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571798}, doi = {10.1109/ISCAS.2013.6571798}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsouCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TurkyilmazCAGM13, author = {Ogun Turkyilmaz and Fabien Clermidy and Luca Gaetano Amar{\`{u}} and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, title = {Self-checking ripple-carry adder with Ambipolar Silicon NanoWire {FET}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2127--2130}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572294}, doi = {10.1109/ISCAS.2013.6572294}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TurkyilmazCAGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UhligHGSRG13, author = {Johannes Uhlig and Stefan H{\"{a}}nzsche and Johannes Gorner and Ren{\'{e}} Sch{\"{u}}ffny and Thomas Reichel and Lars Gopfert}, title = {Hybrid incremental-{\(\Sigma\)}{\(\Delta\)}-ADC for ambient light sensing applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1256--1259}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572081}, doi = {10.1109/ISCAS.2013.6572081}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UhligHGSRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UmohK13, author = {Ime J. Umoh and Tom J. Kazmierski}, title = {A floating gate graphene {FET} complementary inverter with symmetrical transfer characteristics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2071--2074}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572281}, doi = {10.1109/ISCAS.2013.6572281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UmohK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UranoYKI13, author = {Yuki Urano and Won{-}Joo Yun and Tadahiro Kuroda and Hiroki Ishikuro}, title = {A 1.26mW/Gbps 8 locking cycles versatile all-digital {CDR} with {TDC} combined {DLL}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1576--1579}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572161}, doi = {10.1109/ISCAS.2013.6572161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UranoYKI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UwateN13, author = {Yoko Uwate and Yoshifumi Nishio}, title = {Frustrated synchronization in two coupled polygonal oscillatory networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1781--1784}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572210}, doi = {10.1109/ISCAS.2013.6572210}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UwateN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VemuruKH13, author = {Srinivasa Vemuru and Sami Khorbotly and Firas Hassan}, title = {A spiral learning approach to hardware description languages}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2759--2762}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572450}, doi = {10.1109/ISCAS.2013.6572450}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VemuruKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VerdantDVAMD13, author = {Arnaud Verdant and Antoine Dupret and Patrick Villard and Laurent Alacoque and Herv{\'{e}} Mathias and Flavien Delgehier}, title = {A 120{\(\mu\)}W 240{\texttimes}110@25fps vision chip with {ROI} detection {SIMD} processing unit}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2412--2415}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572365}, doi = {10.1109/ISCAS.2013.6572365}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VerdantDVAMD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VidwansAG13, author = {Amogh Vidwans and Karim Abdelhalim and Roman Genov}, title = {Similarity-index early seizure detector {VLSI} architecture}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {853--856}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571981}, doi = {10.1109/ISCAS.2013.6571981}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VidwansAG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VornicuCR13, author = {Ion Vornicu and Ricardo Carmona{-}Gal{\'{a}}n and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {A {CMOS} 8{\texttimes}8 {SPAD} array for Time-of-Flight measurement and light-spot statistics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2626--2629}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572417}, doi = {10.1109/ISCAS.2013.6572417}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VornicuCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuHNL13, author = {Tuan Anh Vu and H{\aa}kon A. Hjortland and {\O}ivind N{\ae}ss and Tor Sverre Lande}, title = {A 3-5 GHz {IR-UWB} receiver front-end for wireless sensor networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2380--2383}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572357}, doi = {10.1109/ISCAS.2013.6572357}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VuHNL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuSHNL13, author = {Tuan Anh Vu and Shanthi Sudalaiyandi and H{\aa}kon A. Hjortland and {\O}ivind N{\ae}ss and Tor Sverre Lande}, title = {An inductorless 3-5 GHz band-pass filter with tunable center frequency in 90 nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1284--1287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572088}, doi = {10.1109/ISCAS.2013.6572088}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VuSHNL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangA13, author = {Wenwen Wang and Saman S. Abeysekera}, title = {Novel adaptive soft input soft output demodulator for serially concatenated {CPM} signals}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1143--1146}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572053}, doi = {10.1109/ISCAS.2013.6572053}, timestamp = {Fri, 09 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangB13, author = {Lu Wang and Guoan Bi}, title = {Harmonic signal recovery and order estimation based on cascaded sparse processing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2171--2174}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572305}, doi = {10.1109/ISCAS.2013.6572305}, timestamp = {Thu, 14 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangC13, author = {Chong Wang and Shing{-}Chow Chan}, title = {A new bandwidth adaptive non-local kernel regression algorithm for image/video restoration and its {GPU} realization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1388--1391}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572114}, doi = {10.1109/ISCAS.2013.6572114}, timestamp = {Wed, 24 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCG13, author = {Yen{-}Ting Wang and Degang Chen and Randall L. Geiger}, title = {Practical methods for verifying removal of Trojan stable operating points}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2658--2661}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572425}, doi = {10.1109/ISCAS.2013.6572425}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCHTS13, author = {Runchun Wang and Gregory Cohen and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {An improved aVLSI axon with programmable delay using spike timing dependent delay plasticity}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1592--1595}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572165}, doi = {10.1109/ISCAS.2013.6572165}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCHTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCSY13, author = {Nan Wang and Song Chen and Yuhuan Sun and Takeshi Yoshimura}, title = {Mobility overlap-removal based leakage power aware scheduling in high-level synthesis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1745--1748}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572202}, doi = {10.1109/ISCAS.2013.6572202}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCWZ13, author = {Yaohua Wang and Shuming Chen and Jianghua Wan and Kai Zhang}, title = {Redefining the relationship between scalar and parallel units in {SIMD} architectures}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {781--784}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571963}, doi = {10.1109/ISCAS.2013.6571963}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangCWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangGL13, author = {Hsuan{-}Tsung Wang and Suvradip Ghosh and Walter D. Leon{-}Salas}, title = {Compressive sensing recovery from non-ideally quantized measurements}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1368--1371}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572109}, doi = {10.1109/ISCAS.2013.6572109}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangGL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangH13, author = {Wei Wang and Xinming Huang}, title = {An {FPGA} co-processor for adaptive lane departure warning system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1380--1383}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572112}, doi = {10.1109/ISCAS.2013.6572112}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangH13a, author = {Yi Wang and Yajun Ha}, title = {An area-efficient shuffling scheme for {AES} implementation on {FPGA}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2577--2580}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572405}, doi = {10.1109/ISCAS.2013.6572405}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangH13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangH13b, author = {Wei Wang and Xinming Huang}, title = {{FPGA} implementation of a large-number multiplier for fully homomorphic encryption}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2589--2592}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572408}, doi = {10.1109/ISCAS.2013.6572408}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangH13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCLC13, author = {Yuh{-}Jiun Wang and Szu{-}Lu Hsu and Teng{-}Yuan Cheng and Chia{-}Han Lee and Shao{-}Yi Chien}, title = {Low-complexity feedback-channel-free distributed video coding with enhanced classifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {257--260}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571831}, doi = {10.1109/ISCAS.2013.6571831}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangKHMCS13, author = {Shiwei Wang and Thomas Jacob Koickal and Alister Hamilton and Enrico Mastropaolo and Rebecca Cheung and Leslie S. Smith}, title = {A floating active inductor based {CMOS} cochlea filter with high tunability and sharp cut-off}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {193--196}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571815}, doi = {10.1109/ISCAS.2013.6571815}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangKHMCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangL13, author = {Hsuan{-}Tsung Wang and Walter D. Leon{-}Salas}, title = {A hybrid {CMOS} imager with sensing and energy harvesting capabilities}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2634--2637}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572419}, doi = {10.1109/ISCAS.2013.6572419}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLBG13, author = {Mengyan Wang and Jiaying Liu and Wei Bai and Zongming Guo}, title = {Illumination-invariance and nonlocal means based super resolution}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1203--1206}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572068}, doi = {10.1109/ISCAS.2013.6572068}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLC13, author = {Aili Wang and Lianming Li and Tiejun Cui}, title = {A transformer neutralization based 60GHz {LNA} in 65 nm {LP} {CMOS} with 22dB gain and 5.5dB {NF}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1111--1114}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572045}, doi = {10.1109/ISCAS.2013.6572045}, timestamp = {Mon, 06 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLC13a, author = {Ting{-}Chun Wang and Yi{-}Nung Liu and Shao{-}Yi Chien}, title = {Algorithm adaptive video deinterlacing using self-validation framework}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2804--2807}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572461}, doi = {10.1109/ISCAS.2013.6572461}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLCW13, author = {Pei Wang and Renquan Lu and Yao Chen and Xiaoqun Wu}, title = {Hybrid modelling of the general middle-sized genetic regulatory networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2103--2106}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572289}, doi = {10.1109/ISCAS.2013.6572289}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLHYH13, author = {Xu Wang and Ruey{-}Wen Liu and Fan He and Tao Yang and Bo Hu}, title = {Blind identifiability of general constellations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1147--1150}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572054}, doi = {10.1109/ISCAS.2013.6572054}, timestamp = {Wed, 11 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLHYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLL13, author = {Sying{-}Jyan Wang and Cheng{-}Hao Lin and Katherine Shu{-}Min Li}, title = {Synthesis of 3D clock tree with pre-bond testability}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2654--2657}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572424}, doi = {10.1109/ISCAS.2013.6572424}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLT13, author = {Chua{-}Chin Wang and Wen{-}Je Lu and Hsin{-}Yuan Tseng}, title = {A high-speed 2{\texttimes}VDD output buffer with {PVT} detection using 40-nm {CMOS} technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2079--2082}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572283}, doi = {10.1109/ISCAS.2013.6572283}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangMZWZG13, author = {Shanshe Wang and Siwei Ma and Li Zhang and Shiqi Wang and Debin Zhao and Wen Gao}, title = {Multi layer based rate control algorithm for {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {41--44}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571777}, doi = {10.1109/ISCAS.2013.6571777}, timestamp = {Thu, 07 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangMZWZG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangOK13, author = {Yongsheng Wang and M{\'{a}}ire O'Neill and Fatih Kurugollu}, title = {Partial encryption by randomized zig-zag scanning for video encoding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {229--232}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571824}, doi = {10.1109/ISCAS.2013.6571824}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangPLCHCSHLSNCTH13, author = {Hua Wang and C. H. Peng and Chao Lu and Yaopei Chang and Richard Z. Huang and Andy Chang and Genie Shih and Ray Hsu and Paul C. P. Liang and SangWon Son and Ali M. Niknejad and George Chien and Chao Long Tsai and H. C. Hwang}, title = {A highly-efficient multi-band multi-mode digital quadrature transmitter with 2D pre-distortion}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {501--504}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571890}, doi = {10.1109/ISCAS.2013.6571890}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangPLCHCSHLSNCTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangS13, author = {Li{-}Li Wang and Wan{-}Chi Siu}, title = {Improved hierarchial intra prediction based on adaptive interpolation filtering for lossless compression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {265--268}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571833}, doi = {10.1109/ISCAS.2013.6571833}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangSWXC13, author = {Peng Wang and Guangyu Sun and Tao Wang and Yuan Xie and Jason Cong}, title = {Designing scratchpad memory architecture with emerging {STT-RAM} memory technologies}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1244--1247}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572078}, doi = {10.1109/ISCAS.2013.6572078}, timestamp = {Sun, 19 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangSWXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangVSCG13, author = {Guohui Wang and Aida Vosoughi and Hao Shen and Joseph R. Cavallaro and Yuanbin Guo}, title = {Parallel interleaver architecture with new scheduling scheme for high throughput configurable turbo decoder}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1340--1343}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572102}, doi = {10.1109/ISCAS.2013.6572102}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangVSCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangY13, author = {Li Wang and Lu Yu}, title = {Rate-Distortion Optimization for depth map coding with distortion estimation of synthesized view}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {17--20}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571771}, doi = {10.1109/ISCAS.2013.6571771}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYWMZDWSCCR13, author = {Shijun Wang and Fai Yao and Li Wang and Rui Ma and C. Zhang and Zongyu Dong and Albert Z. Wang and Zitao Shi and Yuhua Cheng and Baoyong Chi and Tianling Ren}, title = {Design and analysis of full-chip {HV} {ESD} protection in {BCD30V} for mixed-signal ICs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1059--1062}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572032}, doi = {10.1109/ISCAS.2013.6572032}, timestamp = {Sun, 03 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangYWMZDWSCCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangZDT13, author = {Baoxian Wang and Baojun Zhao and Chenwei Deng and Linbo Tang}, title = {Adaptive parameter estimation for total variation image denoising}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2832--2835}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572468}, doi = {10.1109/ISCAS.2013.6572468}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangZDT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeberPZLH13, author = {Matthew Weber and Mateja Putic and Hang Zhang and John C. Lach and Jiawei Huang}, title = {Balancing Adder for error tolerant applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3038--3041}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572519}, doi = {10.1109/ISCAS.2013.6572519}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WeberPZLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiLYZYKY13, author = {Zhenqi Wei and Peilin Liu and Cun Yu and Hongbin Zhou and Ying Ye and Ji Kong and Rendong Ying}, title = {Optimization of {ETSI} {DSR} frontend software on a high-efficient audio {DSP}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {994--997}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572016}, doi = {10.1109/ISCAS.2013.6572016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiLYZYKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiNSS13, author = {Xiuqin Wei and Tomoharu Nagashima and Hiroo Sekiya and Tadashi Suetsugu}, title = {Effect of {MOSFET} parasitic capacitances on {EER} transmitter with class-E amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {913--916}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571996}, doi = {10.1109/ISCAS.2013.6571996}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiNSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WenHHL13, author = {Fu{-}Chun Wen and Hung{-}Sheng Hsu and Zhi{-}Hao Hong and Yu{-}Te Liao}, title = {A low-power 0.5V regulator with settling enhancement for wireless sensor nodes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1982--1985}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572258}, doi = {10.1109/ISCAS.2013.6572258}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WenHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WenTHG13, author = {Haocheng Wen and Yonghong Tian and Tiejun Huang and Wen Gao}, title = {Single underwater image enhancement with a new optical model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {753--756}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571956}, doi = {10.1109/ISCAS.2013.6571956}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WenTHG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WengWC13, author = {Ro{-}Min Weng and Yi{-}Han Wu and Huo{-}Ying Chang}, title = {A high gain ultra-wideband low noise amplifier with 802.11a interference rejection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2377--2379}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572356}, doi = {10.1109/ISCAS.2013.6572356}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WengWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WiessfleckerHRGHPKP13, author = {Martin Wiessflecker and G{\"{u}}nter Hofer and Hannes Reinisch and Stefan Gruber and Gerald Holweg and Walther Pachler and Michael Klamminger and Wolfgang Pribyl}, title = {A remotely powered multi frequency {RFID} sensing tag with integrated impedance measurement interface}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1910--1913}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572240}, doi = {10.1109/ISCAS.2013.6572240}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WiessfleckerHRGHPKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijenayakeMBD13, author = {Chamith Wijenayake and Arjuna Madanayake and Len T. Bruton and Vijay Kumar Devabhaktuni}, title = {DOA-estimation and source-localization in CR-networks using steerable 2-D {IIR} beam filters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {65--68}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571783}, doi = {10.1109/ISCAS.2013.6571783}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WijenayakeMBD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijenayakeMXBB13, author = {Chamith Wijenayake and Arjuna Madanayake and Yongsheng Xu and Leonid Belostotski and Len T. Bruton}, title = {A Steerable {DC-1} GHz all-pass filter-Sum {RF} space-time 2-D beam filter in 65 nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1276--1279}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572086}, doi = {10.1109/ISCAS.2013.6572086}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WijenayakeMXBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilkersonK13, author = {Benjamin P. Wilkerson and Jin{-}Ku Kang}, title = {A low power {BPSK} demodulator for wireless implantable biomedical devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {626--629}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571920}, doi = {10.1109/ISCAS.2013.6571920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilkersonK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WilliamsPS13, author = {R. Stanley Williams and Matthew D. Pickett and John Paul Strachan}, title = {Physics-based memristor models}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {217--220}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571821}, doi = {10.1109/ISCAS.2013.6571821}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WilliamsPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WinzkerSKT13, author = {Marco Winzker and Andrea Schwandt and Tobias Krumkamp and Alexander Tieke}, title = {Architecture and implementation of a development board for low-power education}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2561--2564}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572401}, doi = {10.1109/ISCAS.2013.6572401}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WinzkerSKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WongOHMS13, author = {Sieng Wong and Chia Yee Ooi and Yuan Wen Hau and Muhammad N. Marsono and Nasir Shaikh{-}Husin}, title = {Feasible transition path generation for EFSM-based system testing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1724--1727}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572197}, doi = {10.1109/ISCAS.2013.6572197}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WongOHMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WooS13, author = {Sung Sik Woo and Rahul Sarpeshkar}, title = {A spiking-neuron collective analog adder with scalable precision}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1620--1623}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572172}, doi = {10.1109/ISCAS.2013.6572172}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WooS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu0Y13, author = {Kejun Wu and Peng Liu and Qiaoyan Yu}, title = {A novel energy-efficient serializer design method for gigascale systems}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1978--1981}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572257}, doi = {10.1109/ISCAS.2013.6572257}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu0Y13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu13, author = {Huapeng Wu}, title = {Low complexity {LFSR} based bit-serial montgomery multiplier in GF(2\({}^{\mbox{m}}\))}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1962--1965}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572253}, doi = {10.1109/ISCAS.2013.6572253}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuBH13, author = {Ge Wu and Leonid Belostotski and James W. Haslett}, title = {A broadband Variable Gain Amplifier for the Square Kilometer Array}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2267--2270}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572329}, doi = {10.1109/ISCAS.2013.6572329}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCC13, author = {Lifang Wu and Lianchao Cao and Chang Wen Chen}, title = {Fast and improved seam carving with strip partition and neighboring probability constraints}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2812--2815}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572463}, doi = {10.1109/ISCAS.2013.6572463}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCZ13, author = {Yue Wu and Xu Cheng and Xiaoyang Zeng}, title = {A split-capacitor vcm-based capacitor-switching scheme for low-power {SAR} ADCs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2014--2017}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572266}, doi = {10.1109/ISCAS.2013.6572266}, timestamp = {Wed, 17 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuEMK13, author = {Qiyang Wu and Salma Elabd and Jamin J. McCue and Waleed Khalil}, title = {Analytical and experimental study of tuning range limitation in mm-wave {CMOS} LC-VCOs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2468--2471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572379}, doi = {10.1109/ISCAS.2013.6572379}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuEMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuGH13, author = {Bohan Wu and Weixin Gai and Te Han}, title = {A novel frequency search algorithm to achieve fast locking without phase tracking in {ADPLL}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2464--2467}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572378}, doi = {10.1109/ISCAS.2013.6572378}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLMM13, author = {Jiangchao Wu and Man{-}Kay Law and Pui{-}In Mak and Rui Paulo Martins}, title = {A 1.83 {\(\mu\)}W, 0.78 {\(\mu\)}Vrms input referred noise neural recording front end}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {405--408}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571866}, doi = {10.1109/ISCAS.2013.6571866}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuLMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLS13, author = {Jinjian Wu and Weisi Lin and Guangming Shi}, title = {Visual masking estimation based on structural uncertainty}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {933--936}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572001}, doi = {10.1109/ISCAS.2013.6572001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuTLH13, author = {Jiajing Wu and Chi Kong Tse and Francis Chung{-}Ming Lau and Ivan Wang Hei Ho}, title = {An adaptive routing algorithm for load balancing in communication networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2295--2298}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572336}, doi = {10.1109/ISCAS.2013.6572336}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuTLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuXL13, author = {Bo Wu and Linfeng Xu and Guanghui Liu}, title = {A visual attention model for news video}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {941--944}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572003}, doi = {10.1109/ISCAS.2013.6572003}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuYVSCD13, author = {Michael Wu and Bei Yin and Aida Vosoughi and Christoph Studer and Joseph R. Cavallaro and Chris Dick}, title = {Approximate matrix inversion for high-throughput data detection in the large-scale {MIMO} uplink}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2155--2158}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572301}, doi = {10.1109/ISCAS.2013.6572301}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuYVSCD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuZDCCSUM13, author = {Wen{-}Lan Wu and Yan Zhu and Li Ding and Chi{-}Hang Chan and U. Fat Chio and Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A 0.6V 8b 100MS/s {SAR} {ADC} with minimized {DAC} capacitance and switching energy in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2239--2242}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572322}, doi = {10.1109/ISCAS.2013.6572322}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuZDCCSUM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuZZSP13, author = {Xiaotie Wu and Chengjie Zuo and Milin Zhang and Jan Van der Spiegel and Gianluca Piazza}, title = {A 47{\(\mu\)}W 204MHz AlN Contour-Mode {MEMS} based tunable oscillator in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1757--1760}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572205}, doi = {10.1109/ISCAS.2013.6572205}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuZZSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaJL13, author = {Mo Xia and Ming Jin and Guiming Luo}, title = {Automatic verification of transition systems with unspecified components}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1740--1744}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572201}, doi = {10.1109/ISCAS.2013.6572201}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiangSWG13, author = {Guang{-}Ping Xiang and Ji{-}Zhong Shen and Xue{-}Xiang Wu and Liang Geng}, title = {Design of a low-power pulse-triggered flip-flop with conditional clock technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {121--124}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571797}, doi = {10.1109/ISCAS.2013.6571797}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiangSWG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiangYLX13, author = {Sen Xiang and Li Yu and Qiong Liu and Zixiang Xiong}, title = {A gradient-based approach for interference cancelation in systems with multiple Kinect cameras}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {13--16}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571770}, doi = {10.1109/ISCAS.2013.6571770}, timestamp = {Fri, 20 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiangYLX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoZ13, author = {Min Xiao and Wei Xing Zheng}, title = {On oscillatory dynamics of small-RNAs-mediated two-gene regulatory networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1777--1780}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572209}, doi = {10.1109/ISCAS.2013.6572209}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoZ13a, author = {Min Xiao and Wei Xing Zheng}, title = {Bifurcation analysis of delayed bidirectional associative memory neural networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2319--2322}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572342}, doi = {10.1109/ISCAS.2013.6572342}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieHFS13, author = {Jun Xie and Yu{-}Feng Hsu and Rog{\'{e}}rio Schmidt Feris and Ming{-}Ting Sun}, title = {Fine registration of 3D point clouds with iterative closest point using an {RGB-D} camera}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2904--2907}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572486}, doi = {10.1109/ISCAS.2013.6572486}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XieHFS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieN13, author = {Shuang Xie and Wai Tung Ng}, title = {A low power all-digital self-calibrated temperature sensor using 65nm FPGAs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2617--2620}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572415}, doi = {10.1109/ISCAS.2013.6572415}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XieN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongTRH13, author = {Xiaoling Xiong and Chi K. Tse and Xinbo Ruan and Meng Huang}, title = {Bifurcation analysis in dual-input buck converter in hybrid power system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {925--928}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571999}, doi = {10.1109/ISCAS.2013.6571999}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XiongTRH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongWT13, author = {Song Xiong and Siu Chung Wong and Siew{-}Chong Tan}, title = {A series of exponential step-down switched-capacitor converters and their applications in two-stage converters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {701--704}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571943}, doi = {10.1109/ISCAS.2013.6571943}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiongWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongWXG13, author = {Ruiqin Xiong and Feng Wu and Jizheng Xu and Wen Gao}, title = {Performance analysis of transform in uncoded wireless visual communication}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1159--1162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572057}, doi = {10.1109/ISCAS.2013.6572057}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiongWXG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiongY13, author = {Chenrong Xiong and Zhiyuan Yan}, title = {Low-complexity layered iterative hard-reliability-based majority-logic decoder for non-binary quasi-cyclic {LDPC} codes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1348--1351}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572104}, doi = {10.1109/ISCAS.2013.6572104}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XiongY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuASFTLG13, author = {Lingfeng Xu and Oscar C. Au and Wenxiu Sun and Lu Fang and Ketan Tang and Jiali Li and Yuanfang Guo}, title = {Stereo matching by adaptive weighting selection based cost aggregation}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1420--1423}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572122}, doi = {10.1109/ISCAS.2013.6572122}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuASFTLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuBBO13, author = {Hongcheng Xu and Ulrich Bihr and Joachim Becker and Maurits Ortmanns}, title = {A multi-channel neural stimulator with resonance compensated inductive receiver and closed-loop smart power management}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {638--641}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571923}, doi = {10.1109/ISCAS.2013.6571923}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuBBO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuC13, author = {Benwei Xu and Yun Chiu}, title = {Background calibration of time-interleaved {ADC} using direct derivative information}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2456--2459}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572376}, doi = {10.1109/ISCAS.2013.6572376}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLZWL13, author = {Linfeng Xu and Hongliang Li and Liaoyuan Zeng and Zhengning Wang and Guanghui Liu}, title = {Saliency detection using a central stimuli sensitivity based model}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {945--949}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572004}, doi = {10.1109/ISCAS.2013.6572004}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuLZWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuPCFNC13, author = {Xuyuan Xu and Lai{-}Man Po and Chun{-}Ho Cheung and Litong Feng and Ka{-}Ho Ng and Kwok{-}Wai Cheung}, title = {Depth-aided exemplar-based hole filling for {DIBR} view synthesis}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2840--2843}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572470}, doi = {10.1109/ISCAS.2013.6572470}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuPCFNC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuS13, author = {Yue Xu and Ayman Shabra}, title = {A time-interleaved {ADC} architecture exploiting correlations between samples}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2460--2463}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572377}, doi = {10.1109/ISCAS.2013.6572377}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuYCGZ13, author = {Jianfei Xu and Na Yan and Qiang Chen and Jianjun Gao and Xiaoyang Zeng}, title = {A 3.4dB {NF} k-band {LNA} in 65nm {CMOS} technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1123--1126}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572048}, doi = {10.1109/ISCAS.2013.6572048}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuYCGZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuZSM13, author = {Hanyang Xu and Zhen Zhou and Bin Sheng and Lizhuang Ma}, title = {Fast vehicle detection based on feature and real-time prediction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2860--2863}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572475}, doi = {10.1109/ISCAS.2013.6572475}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuZSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanC13, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, title = {Post-layout redundant wire insertion for fixing min-delay violations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1720--1723}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572196}, doi = {10.1109/ISCAS.2013.6572196}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanC13a, author = {Wei Qi Yan and Jarrett Chambers}, title = {An empirical approach for digital currency forensics}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2988--2991}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572507}, doi = {10.1109/ISCAS.2013.6572507}, timestamp = {Thu, 22 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanXY13, author = {Qing Yan and Yi Xu and Xiaokang Yang}, title = {Separation of weak reflection from a single superimposed image using gradient profile sharpness}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {937--940}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572002}, doi = {10.1109/ISCAS.2013.6572002}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanXY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHWCC13, author = {Siyu Yang and Deping Huang and Xiaoke Wen and Lei Chen and Jinghong Chen}, title = {A radiation-hardened {DLL} with fine resolution and {DCC} for {DDR2} memory interface in 0.13 {\(\mu\)}m {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1496--1499}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572141}, doi = {10.1109/ISCAS.2013.6572141}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHWCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangKK13, author = {Jeongmin Yang and Young{-}Ju Kim and Lee{-}Sup Kim}, title = {A 7 mW 2.5 GHz spread spectrum clock generator using switch-controlled injection-locked oscillator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1392--1395}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572115}, doi = {10.1109/ISCAS.2013.6572115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLB13, author = {Zhijing Yang and Bingo Wing{-}Kuen Ling and Chris Bingham}, title = {Extracting underlying trend and predicting power usage via joint {SSA} and sparse binary programming}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1312--1315}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572095}, doi = {10.1109/ISCAS.2013.6572095}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLLW13, author = {Changyi Yang and Weitao Li and Fule Li and Zhihua Wang}, title = {A merged first and second stage for low power pipelined {ADC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {153--156}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571805}, doi = {10.1109/ISCAS.2013.6571805}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangTCCC13, author = {Kai{-}Jiun Yang and Shang{-}Ho Tsai and Ruei{-}Ching Chang and Yan{-}Cheng Chen and Gene C. H. Chuang}, title = {{VLSI} implementation of a low complexity 4{\texttimes}4 {MIMO} sphere decoder with table enumeration}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2167--2170}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572304}, doi = {10.1109/ISCAS.2013.6572304}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangTCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangWHC13, author = {Yen{-}Chi Yang and Chun{-}Yao Wang and Ching{-}Yi Huang and Yung{-}Chih Chen}, title = {Pattern generation for Mutation Analysis using Genetic Algorithms}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2545--2548}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572397}, doi = {10.1109/ISCAS.2013.6572397}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangWHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangZ0IH13, author = {Ru Yang and Bo Zhang and Fan Xie and Herbert Ho{-}Ching Iu and Wei Hu}, title = {Detecting bifurcation types in {DC-DC} switching converters by duplicate symbolic sequence}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2940--2943}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572495}, doi = {10.1109/ISCAS.2013.6572495}, timestamp = {Sat, 10 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangZ0IH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoC13, author = {Yiwu Yao and Yuhua Cheng}, title = {High effective medical image segmentation with model adjustable method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1512--1515}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572145}, doi = {10.1109/ISCAS.2013.6572145}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeLAZ13, author = {Dawei Ye and Ping Lu and Pietro Andreani and Ronan A. R. van der Zee}, title = {A wide bandwidth fractional-N synthesizer for {LTE} with phase noise cancellation using a hybrid-{\(\Delta\)}{\(\Sigma\)}-DAC and charge re-timing}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {169--172}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571809}, doi = {10.1109/ISCAS.2013.6571809}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeLAZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeWXNWWW13, author = {Yaoyao Ye and Xiaowen Wu and Jiang Xu and Mahdi Nikdast and Zhehui Wang and Xuan Wang and Zhe Wang}, title = {System-level analysis of mesh-based hybrid optical-electronic network-on-chip}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {321--324}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571846}, doi = {10.1109/ISCAS.2013.6571846}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeWXNWWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeknamiA13, author = {Ali Fazli Yeknami and Atila Alvandpour}, title = {A variable bandwidth amplifier for a dual-mode low-power {\(\Delta\)}{\(\Sigma\)} modulator in cardiac pacemaker system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1918--1921}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572242}, doi = {10.1109/ISCAS.2013.6572242}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeknamiA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeknamiA13a, author = {Ali Fazli Yeknami and Atila Alvandpour}, title = {A 0.5-V 250-nW 65-dB {SNDR} passive {\(\Delta\)}{\(\Sigma\)} modulator for medical implant devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2010--2013}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572265}, doi = {10.1109/ISCAS.2013.6572265}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeknamiA13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeohWYK13, author = {Yuan Lin Yeoh and Bo Wang and Xiangyao Yu and Tony T. Kim}, title = {A 0.4V 7T {SRAM} with write through virtual ground and ultra-fine grain power gating switches}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3030--3033}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572517}, doi = {10.1109/ISCAS.2013.6572517}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeohWYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeonL13, author = {Jewong Yeon and Hanho Lee}, title = {High-performance iterative {BCH} decoder architecture for 100 Gb/s optical communications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1344--1347}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572103}, doi = {10.1109/ISCAS.2013.6572103}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeonL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YiRLS13, author = {Lili Yi and Sirajudeen Gulam Razul and Zhiping Lin and Chong Meng Samson See}, title = {Gating and robust {EKF} based target tracking in mixed {LOS/NLOS} environments}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1364--1367}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572108}, doi = {10.1109/ISCAS.2013.6572108}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YiRLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinXY13, author = {Min Yin and Menwang Xie and Bo Yi}, title = {Optimized algorithms for binary {BCH} codes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1552--1555}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572155}, doi = {10.1109/ISCAS.2013.6572155}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YinXY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YingMZ13, author = {Ying Zhang and Ali Meaamar and Yuanjin Zheng}, title = {A cognitive radio receiver front-end {IC} based on spread spectrum sensing technique}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {61--64}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571782}, doi = {10.1109/ISCAS.2013.6571782}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YingMZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshiokaTJI13, author = {Kentaro Yoshioka and Yosuke Toyama and Teruo Jyo and Hiroki Ishikuro}, title = {A voltage scaling 0.25-1.8 {V} delta-sigma modulator with inverter-opamp self-configuring amplifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {809--812}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571970}, doi = {10.1109/ISCAS.2013.6571970}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YoshiokaTJI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousefianRG13, author = {Alireza Yousefian and S{\'{e}}bastien Roy and Benoit Gosselin}, title = {A Low-power wireless multi-channel surface {EMG} sensor with simplified {ADPCM} data compression}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2287--2290}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572334}, doi = {10.1109/ISCAS.2013.6572334}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YousefianRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YousryPCY13, author = {Ramy Yousry and Henry Park and E{-}Hung Chen and Chih{-}Kong Ken Yang}, title = {A digitally-calibrated 10GS/s reconfigurable flash {ADC} in 65-nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2443--2447}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572373}, doi = {10.1109/ISCAS.2013.6572373}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YousryPCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuCI13, author = {Dongsheng Yu and Hao Chen and Herbert Ho{-}Ching Iu}, title = {A meminductive circuit based on floating memristive emulator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572190}, doi = {10.1109/ISCAS.2013.6572190}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuCI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuCWWRW13, author = {Xiaobao Yu and Baoyong Chi and Meng Wei and Albert Z. Wang and Tianling Ren and Zhihua Wang}, title = {A half rate {CDR} with {DCD} cleaning up and quadrature clock calibration for 20Gbps 60GHz communication in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {962--965}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572008}, doi = {10.1109/ISCAS.2013.6572008}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuCWWRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuLL13, author = {Lei Yu and Houqiang Li and Weiping Li}, title = {Hybrid digital-analog scheme for video transmission over wireless}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1163--1166}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572058}, doi = {10.1109/ISCAS.2013.6572058}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuS13, author = {Qiaoyan Yu and Drew Stock}, title = {Collaborative error control method for sequential logic circuits}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {785--788}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571964}, doi = {10.1109/ISCAS.2013.6571964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuY13, author = {Wenwu Yu and Xinghuo Yu}, title = {A forward step for adaptive synchronization in directed complex networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2091--2094}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572286}, doi = {10.1109/ISCAS.2013.6572286}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuZYZY13, author = {Zheng Yu and Jiajie Zhang and Xueqiu Yu and Xiaoyang Zeng and Zhiyi Yu}, title = {A low power register file with asynchronously controlled read-isolation and software-directed write-discarding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {349--352}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571852}, doi = {10.1109/ISCAS.2013.6571852}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuZYZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanL13, author = {Chao Yuan and Yvonne Y. H. Lam}, title = {A 281-nW 43.3 fJ/conversion-step 8-ENOB 25-kS/s asynchronous {SAR} {ADC} in 65nm {CMOS} for biomedical applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {622--625}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571919}, doi = {10.1109/ISCAS.2013.6571919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YueWW13, author = {Mengyun Yue and Dong Wu and Zheyao Wang}, title = {A 15-bit two-step sigma-delta {ADC} with embedded compression for image sensor array}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2038--2041}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572272}, doi = {10.1109/ISCAS.2013.6572272}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YueWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YueYSW13, author = {Huanjing Yue and Jingyu Yang and Xiaoyan Sun and Feng Wu}, title = {SIFT-based image super-resolution}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2896--2899}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572484}, doi = {10.1109/ISCAS.2013.6572484}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YueYSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengZ13, author = {Zhigang Zeng and Wei Xing Zheng}, title = {Stability analysis of multiple equilibria for recurrent neural networks with time-varying delays}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2099--2102}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572288}, doi = {10.1109/ISCAS.2013.6572288}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaLLS13, author = {Lin Zha and Zhi Liu and Shuhua Luo and Liquan Shen}, title = {A novel region merging based image segmentation approach for automatic object extraction}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {970--973}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572010}, doi = {10.1109/ISCAS.2013.6572010}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaLLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhanL13, author = {Jingyuan Zhan and Xiang Li}, title = {Consensus in networked multi-agent systems via model predictive control with horizon one}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2517--2520}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572390}, doi = {10.1109/ISCAS.2013.6572390}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhanL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangASZTG13, author = {Hong Zhang and Oscar C. Au and Yongfang Shi and Xingyu Zhang and Ketan Tang and Yuanfang Guo}, title = {HEVC-based adaptive quantization for screen content by detecting low contrast edge regions}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {49--52}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571779}, doi = {10.1109/ISCAS.2013.6571779}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangASZTG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangC13, author = {Xiangyu Zhang and Shoushun Chen}, title = {Live demonstration: {A} high-speed-pass asynchronous motion detection sensor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {671}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571934}, doi = {10.1109/ISCAS.2013.6571934}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCA13, author = {Xinmiao Zhang and Fang Cai and M. P. Anantram}, title = {Low-energy and low-latency error-correction for phase change memory}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1236--1239}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572076}, doi = {10.1109/ISCAS.2013.6572076}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCCL13, author = {Yacong Zhang and Xiaolu Chen and Zhongjian Chen and Wengao Lu}, title = {Two-stage charge sensitive amplifier with self-biased {MOS} transistor as continuous reset system}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {841--844}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571978}, doi = {10.1109/ISCAS.2013.6571978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCK13, author = {Li Zhang and Ying Chen and Marta Karczewicz}, title = {Disparity vector based advanced inter-view prediction in 3D-HEVC}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1632--1635}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572175}, doi = {10.1109/ISCAS.2013.6572175}, timestamp = {Thu, 04 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCWH13, author = {Ye Zhang and Zhimiao Chen and Ralf Wunderlich and Stefan Heinen}, title = {Low-effort high-performance viterbi-based receiver for Bluetooth {LE} applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1930--1933}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572245}, doi = {10.1109/ISCAS.2013.6572245}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCWL13, author = {Xiangrong Zhang and Xiaole Cui and Bo Wang and Chung Len Lee}, title = {A {UWB} mixer with a balanced wide band active balun using crossing centertaped inductor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1588--1591}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572164}, doi = {10.1109/ISCAS.2013.6572164}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangD13, author = {Zhe Zhang and Jos{\'{e}} G. Delgado{-}Frias}, title = {{CNTFET} 8T {SRAM} cell performance with near-threshold power supply scaling}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2123--2126}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572293}, doi = {10.1109/ISCAS.2013.6572293}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangG13, author = {Yaming Zhang and Weixin Gai}, title = {{SSC} tracking analysis and a deeper-SSC estimator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1408--1411}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572119}, doi = {10.1109/ISCAS.2013.6572119}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangGM13, author = {Jian Zhang and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, title = {Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2111--2114}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572291}, doi = {10.1109/ISCAS.2013.6572291}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangJLDW13, author = {Lingwei Zhang and Hanjun Jiang and Fule Li and Jingjing Dong and Zhihua Wang}, title = {A LUT-free {DC} offset calibration method for removing the PGA-gain-correlated offset residue}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1704--1707}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572192}, doi = {10.1109/ISCAS.2013.6572192}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangJLDW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangKC13, author = {Le Zhang and Zhi{-}Hui Kong and Chip{-}Hong Chang}, title = {PCKGen: {A} Phase Change Memory based cryptographic key generator}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1444--1447}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572128}, doi = {10.1109/ISCAS.2013.6572128}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangL13, author = {Jinlei Zhang and Houqiang Li}, title = {Line-based distributed coding scheme for onboard lossless compression of high-resolution stereo images}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1424--1427}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572123}, doi = {10.1109/ISCAS.2013.6572123}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLWRD13, author = {Ming Zhang and Nicolas Llaser and Xusheng Wang and Francis Rodes and Romain Denieport}, title = {New implementation of time domain measurement of quality factor}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1700--1703}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572191}, doi = {10.1109/ISCAS.2013.6572191}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLWRD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLWWL13, author = {Zhong Zhang and Weimin Li and Wu Wen and Wei Wu and Yongfeng Li}, title = {A configurable multi-band {GNSS} receiver for Compass/GPS/Galileo applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {161--164}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571807}, doi = {10.1109/ISCAS.2013.6571807}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLWWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLXMZ13, author = {Jian Zhang and Shaohui Liu and Ruiqin Xiong and Siwei Ma and Debin Zhao}, title = {Improved total variation based image compressive sensing recovery by nonlocal regularization}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2836--2839}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572469}, doi = {10.1109/ISCAS.2013.6572469}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLXMZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangM13, author = {Hao Zhang and Zhan Ma}, title = {Early termination schemes for fast intra mode decision in High Efficiency Video Coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {45--48}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571778}, doi = {10.1109/ISCAS.2013.6571778}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangSMCTYE13, author = {Jie Zhang and Yuanming Suo and Srinjoy Mitra and Sang (Peter) Chin and Trac D. Tran and Refet Firat Yazicioglu and Ralph Etienne{-}Cummings}, title = {Reconstruction of neural action potentials using signal dependent sparse representations}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1520--1523}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572147}, doi = {10.1109/ISCAS.2013.6572147}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangSMCTYE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWH13, author = {Ye Zhang and Ralf Wunderlich and Stefan Heinen}, title = {Low complexity image rejection demodulator for bluetooth {LE} applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {341--344}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571850}, doi = {10.1109/ISCAS.2013.6571850}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWLZ13, author = {Junneng Zhang and Chao Wang and Xi Li and Xuehai Zhou}, title = {{FPGA} implementation of a scheduler supporting parallel dataflow execution}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1216--1219}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572071}, doi = {10.1109/ISCAS.2013.6572071}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWZ13, author = {Wei Zhang and Jing Wang and Xinmiao Zhang}, title = {Low-power design of Reed-Solomon encoders}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1560--1563}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572157}, doi = {10.1109/ISCAS.2013.6572157}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangY13, author = {Tao Zhang and Qiaoyan Yu}, title = {A fully integrated video digital-to-analog converter with minimized gain error}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {837--840}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571977}, doi = {10.1109/ISCAS.2013.6571977}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYLW13, author = {Zhen Zhang and Shouyi Yin and Leibo Liu and Shaojun Wei}, title = {An inductive-coupling interconnected application-specific 3D NoC design}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {550--553}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571902}, doi = {10.1109/ISCAS.2013.6571902}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZC13, author = {Yaojun Zhang and Lu Zhang and Yiran Chen}, title = {{MLC} {STT-RAM} design considering probabilistic and asymmetric {MTJ} switching}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {113--116}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571795}, doi = {10.1109/ISCAS.2013.6571795}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZX13, author = {Bo Zhang and Jiancheng Zou and Bo Xu}, title = {Context-dependent audio-visual and temporal features fusion for {TV} commercial detection}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {5--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571768}, doi = {10.1109/ISCAS.2013.6571768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangZXB13, author = {Mengmeng Zhang and Chuan Zhao and Jizheng Xu and Huihui Bai}, title = {A fast depth-map wedgelet partitioning scheme for intra prediction in 3D video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2852--2855}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572473}, doi = {10.1109/ISCAS.2013.6572473}, timestamp = {Sat, 09 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangZXB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoD13, author = {Lihang Zhao and Jeff Draper}, title = {Implementation of hybrid version management in hardware transactional memory}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {777--780}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571962}, doi = {10.1109/ISCAS.2013.6571962}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoDK13, author = {Tian{-}Qi Zhao and Jiao{-}Jiao Deng and Kaoru Koyanagi}, title = {Homotopy-enhanced power flow methods for general distribution networks with distributed generators}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1536--1539}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572151}, doi = {10.1109/ISCAS.2013.6572151}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoDK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoL13, author = {Ruijie Zhao and Xiaoping Lai}, title = {An efficient matrix iterative algorithm for the {WLS} design of 2-D {FIR} filters}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2932--2935}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572493}, doi = {10.1109/ISCAS.2013.6572493}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoLLYCT13, author = {Pinghua Zhao and Yanwei Liu and Jinxia Liu and Ruixiao Yao and Song Ci and Hui Tang}, title = {Low-complexity content-adaptive Lagrange multiplier decision for SSIM-based RD-optimized video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {485--488}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571886}, doi = {10.1109/ISCAS.2013.6571886}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoLLYCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoMZG13, author = {Chen Zhao and Siwei Ma and Jian Zhang and Wen Gao}, title = {A highly effective error concealment method for whole frame loss}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2135--2138}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572296}, doi = {10.1109/ISCAS.2013.6572296}, timestamp = {Tue, 07 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoMZG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoOS13, author = {Wenjun Zhao and Takao Onoye and Tian Song}, title = {High-performance multiplierless transform architecture for {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1668--1671}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572184}, doi = {10.1109/ISCAS.2013.6572184}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoSOL13, author = {Zhijie Zhao and Junyong Si and J{\"{o}}rn Ostermann and Weiping Li}, title = {Inter-layer intra mode coding for the scalable extension of {HEVC}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1636--1639}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572176}, doi = {10.1109/ISCAS.2013.6572176}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoSOL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoWGCG13, author = {Chen Zhao and Yen{-}Ting Wang and David Genzer and Degang Chen and Randall L. Geiger}, title = {A {CMOS} on-chip temperature sensor with -0.21{\textdegree}C 0.17 {\textdegree}C inaccuracy from -20 {\textdegree}C to 100 {\textdegree}C}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2621--2625}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572416}, doi = {10.1109/ISCAS.2013.6572416}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoWGCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoYY13, author = {Heng Zhao and Wen Bin Ye and Ya Jun Yu}, title = {Sparse {FIR} filter design based on Genetic Algorithm}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {97--100}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571791}, doi = {10.1109/ISCAS.2013.6571791}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoZS13, author = {Pushe Zhao and Hongbo Zhu and Tadashi Shibata}, title = {A multiple-candidate-regeneration-based object tracking system with enhanced learning capability by nearest neighbor classifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2392--2395}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572360}, doi = {10.1109/ISCAS.2013.6572360}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengCDCAMM13, author = {Qi Zheng and Yen{-}Po Chen and Ronald G. Dreslinski and Chaitali Chakrabarti and Achilleas Anastasopoulos and Scott A. Mahlke and Trevor N. Mudge}, title = {Parallelization techniques for implementing trellis algorithms on graphics processors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1220--1223}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572072}, doi = {10.1109/ISCAS.2013.6572072}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengCDCAMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengJS13, author = {Yushan Zheng and Cyril Jacquemod and Mohamad Sawan}, title = {A portable lab-on-chip platform for magnetic beads density measuring}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1071--1074}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572035}, doi = {10.1109/ISCAS.2013.6572035}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengSK13, author = {Le Zheng and Sangho Shin and Sung{-}Mo Steve Kang}, title = {Unified modeling for memristive devices based on charge-flux constitutive relationships}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {213--216}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571820}, doi = {10.1109/ISCAS.2013.6571820}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengW13, author = {Yiming Zheng and Zanji Wang}, title = {Macroscopic broadband loss characteristics of power transformer winding extracted by Vector Fitting Method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2956--2959}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572499}, doi = {10.1109/ISCAS.2013.6572499}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengYCLH13, author = {Yongan Zheng and Le Ye and Long Chen and Huailin Liao and Ru Huang}, title = {SAW-less {GNSS} front-end amplifier with 80.4-dB {GSM} blocker suppression using {CMOS} directional coupler notch filter}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {749--752}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571955}, doi = {10.1109/ISCAS.2013.6571955}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengYCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhongW13, author = {Guan{-}Shi Zhong and Jian{-}Jun Wang}, title = {Region incrementing visual secret sharing scheme based on random grids}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2351--2354}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572350}, doi = {10.1109/ISCAS.2013.6572350}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhongW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouABEH13, author = {Wei Zhou and Tughrul Arslan and Khaled Benkrid and Ahmed O. El{-}Rayis and Nakul Haridas}, title = {Reconfigurable feeding network for GSM/GPS/3G/WiFi and global {LTE} applications}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {958--961}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572007}, doi = {10.1109/ISCAS.2013.6572007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouABEH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouFPY13, author = {Yushi Zhou and Norm M. Filiol and Shaul Peker and Fei Yuan}, title = {Low-power programmable charge-domain sampler with embedded N-path bandpass filter for software-defined radio}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1934--1937}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572246}, doi = {10.1109/ISCAS.2013.6572246}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouFPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouR13, author = {Wenting Zhou and Jan M. Rabaey}, title = {Energy detection technique for ultra-low power high sensitivity wake-up receiver}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1857--1860}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572227}, doi = {10.1109/ISCAS.2013.6572227}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouSC13, author = {Liang Zhou and Pikul Sarkar and Shantanu Chakrabartty}, title = {Scavenging thermal-noise energy for implementing long-term self-powered {CMOS} timers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2203--2206}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572313}, doi = {10.1109/ISCAS.2013.6572313}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouSCW13, author = {Leixin Zhou and Jin Sha and Yun Chen and Zhongfeng Wang}, title = {Memory efficient {EMS} decoding for non-binary {LDPC} codes}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1336--1339}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572101}, doi = {10.1109/ISCAS.2013.6572101}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouSCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouZG13, author = {Chao Zhou and Xinggong Zhang and Zongming Guo}, title = {Adaptive channel scheduling for Scalable Video broadcasting over {MIMO} wireless networks}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1155--1158}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572056}, doi = {10.1109/ISCAS.2013.6572056}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouZG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuBISH13, author = {Xi Zhu and Chirn Chye Boon and Ayobami Iji and Yichuang Sun and Michael Heimlich}, title = {A low-noise amplifier with continuously-tuned input matching frequency and output resonance frequency}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1849--1852}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572225}, doi = {10.1109/ISCAS.2013.6572225}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuBISH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuCZWM13, author = {Hongyuan Zhu and Jianfei Cai and Jianmin Zheng and Jianxin Wu and Nadia Magnenat{-}Thalmann}, title = {Salient object cutout using Google images}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {905--908}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571994}, doi = {10.1109/ISCAS.2013.6571994}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuCZWM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuK13, author = {Hong Zhu and Volkan Kursun}, title = {Novel dual-threshold-voltage energy-efficient buffers for driving large extrinsic load capacitance}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {3000--3003}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572510}, doi = {10.1109/ISCAS.2013.6572510}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuL13, author = {Haibo Zhu and Houqiang Li}, title = {Adaptive packet encapsulation of Scalable Video Coding bitstream}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1167--1170}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572059}, doi = {10.1109/ISCAS.2013.6572059}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuL13a, author = {Hao Zhu and Henry Leung}, title = {A maximum likelihood approach to state estimation of complex dynamical networks with unknown noisy transmission channel}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2521--2524}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572391}, doi = {10.1109/ISCAS.2013.6572391}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuLD13, author = {Ke Zhu and Weidong Liu and Jiang Du}, title = {A custom {GZIP} decoder for {DTV} application}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {681--684}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571938}, doi = {10.1109/ISCAS.2013.6571938}, timestamp = {Fri, 09 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuLW13, author = {Jia Zhu and Zhenyu Liu and Dongsheng Wang}, title = {Fully pipelined DCT/IDCT/Hadamard unified transform architecture for {HEVC} Codec}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {677--680}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571937}, doi = {10.1109/ISCAS.2013.6571937}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuWLS13, author = {Yuanbo Zhu and Jigang Wu and Siew Kei Lam and Thambipillai Srikanthan}, title = {Preprocessing technique for accelerating reconfiguration of degradable {VLSI} arrays}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2424--2427}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572368}, doi = {10.1109/ISCAS.2013.6572368}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuWLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouAZDHW13, author = {Ruobing Zou and Oscar C. Au and Guyue Zhou and Wei Dai and Wei Hu and Pengfei Wan}, title = {Personal photo album compression and management}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1428--1431}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572124}, doi = {10.1109/ISCAS.2013.6572124}, timestamp = {Fri, 29 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouAZDHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouTV13, author = {Feng Zou and Dong Tian and Anthony Vetro}, title = {View synthesis prediction using skip and merge candidates for HEVC-based 3D video coding}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {57--60}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571781}, doi = {10.1109/ISCAS.2013.6571781}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouTV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2013, title = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6560459/proceeding}, isbn = {978-1-4673-5760-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.