Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2010.bht:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/iscas/AbhishekKSSS10, author = {A. Abhishek and Amanulla Khan and Virendra Singh and Kewal K. Saluja and Adit D. Singh}, title = {Test application time minimization for {RAS} using basis optimization of column decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2614--2617}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537094}, doi = {10.1109/ISCAS.2010.5537094}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbhishekKSSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbramovZLVCA10, author = {Sergey K. Abramov and Victoriya Zabrodina and Vladimir V. Lukin and Beno{\^{\i}}t Vozel and Kacem Chehdi and Jaakko Astola}, title = {Improved method for blind estimation of the variance of mixed noise using weighted {LMS} line fitting algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2642--2645}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537084}, doi = {10.1109/ISCAS.2010.5537084}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AbramovZLVCA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbrishamiHP10, author = {Hamed Abrishami and Safar Hatami and Massoud Pedram}, title = {Analysis and optimization of sequential circuit element to combat single-event timing upsets}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {985--988}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537377}, doi = {10.1109/ISCAS.2010.5537377}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbrishamiHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdelDLGH10, author = {Hussein Adel and Mohamed Dessouky and Marie{-}Minerve Lou{\"{e}}rat and Hugo Gicquel and Hisham Haddara}, title = {Foreground digital calibration of non-linear errors in pipelined {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {569--572}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537533}, doi = {10.1109/ISCAS.2010.5537533}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdelDLGH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdnanA10, author = {Muhammad Adnan and Ehsan Afshari}, title = {Bandwidth enhancement of passive filters at mm-wave frequencies using effective negative group index {(NGI)} structures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {749--752}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537468}, doi = {10.1109/ISCAS.2010.5537468}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AdnanA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AghababaZAF10, author = {Hossein Aghababa and Mahmoud Zangeneh and Ali Afzali{-}Kusha and Behjat Forouzandeh}, title = {Statistical delay modeling of read operation of SRAMs due to channel length variation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2502--2505}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537134}, doi = {10.1109/ISCAS.2010.5537134}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AghababaZAF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgnusFBDZ10, author = {Guillaume Agnus and Arianna Filoramo and Jean{-}Philippe Bourgoin and Vincent Derycke and Weisheng Zhao}, title = {Carbon nanotube-based programmable devices for adaptive architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1667--1670}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537490}, doi = {10.1109/ISCAS.2010.5537490}, timestamp = {Thu, 27 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgnusFBDZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgusSTP10, author = {Trevor R. Agus and Clara Suied and Simon J. Thorpe and Daniel Pressnitzer}, title = {Characteristics of human voice processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {509--512}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537589}, doi = {10.1109/ISCAS.2010.5537589}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgusSTP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadAZD10, author = {Shakeel Ahmad and Kaveh Azizi and Iman Esmaeil Zadeh and Jerzy J. D{\k{a}}browski}, title = {Two-tone {PLL} for on-chip {IP3} test}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3549--3552}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537812}, doi = {10.1109/ISCAS.2010.5537812}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmadAZD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AksinOM10, author = {Devrim Yilmaz Aksin and Gurer Ozbek and Franco Maloberti}, title = {Multi-rate segmented time-interleaved current steering {DAC} with unity-elements sharing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3353--3356}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537882}, doi = {10.1109/ISCAS.2010.5537882}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AksinOM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-ShyoukhL10, author = {Mohammad A. Al{-}Shyoukh and Hoi Lee}, title = {A current-limiter-based soft-start scheme for linear and low-dropout voltage regulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2738--2741}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537023}, doi = {10.1109/ISCAS.2010.5537023}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-ShyoukhL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliH10, author = {Hossam Ali and Emad Hegazi}, title = {A low-jitter video clock recovery circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2326--2329}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537240}, doi = {10.1109/ISCAS.2010.5537240}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliM10, author = {Mortuza Ali and M. Manzur Murshed}, title = {Motion compensation for block-based lossless video coding using lattice-based binning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2183--2186}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537197}, doi = {10.1109/ISCAS.2010.5537197}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Alioto10, author = {Massimo Alioto}, title = {Closed-form analysis of {DC} noise immunity in subthreshold {CMOS} logic circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537340}, doi = {10.1109/ISCAS.2010.5537340}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Alioto10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Alioto10a, author = {Massimo Alioto}, title = {Analysis of layout density in FinFET standard cells and impact of fin technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3204--3207}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537930}, doi = {10.1109/ISCAS.2010.5537930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Alioto10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoBG10, author = {Massimo Alioto and Paolo Bennati and Roberto Giorgi}, title = {Exploiting locality to improve leakage reduction in embedded drowsy I-caches at same area/speed}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {37--40}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537105}, doi = {10.1109/ISCAS.2010.5537105}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoCP10, author = {Massimo Alioto and Elio Consoli and Gaetano Palumbo}, title = {Clock distribution in clock domains with Dual-Edge-Triggered Flip-Flops to improve energy-efficiency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {321--324}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537828}, doi = {10.1109/ISCAS.2010.5537828}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllamOS10, author = {Atef Allam and Ian O'Connor and Alberto Scandurra}, title = {Optical network-on-chip reconfigurable model for multi-level analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3609--3612}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537793}, doi = {10.1109/ISCAS.2010.5537793}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllamOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltabbanDPKR10, author = {Wissam Altabban and Patricia Desgreys and Herv{\'{e}} Petit and Karim Ben Kalaia and Laure Rolland du Roscoat}, title = {Merged Digitally Controlled Oscillator and Time to Digital Converter for {TV} band {ADPLL}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1987--1990}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537087}, doi = {10.1109/ISCAS.2010.5537087}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AltabbanDPKR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltunFBHCG10, author = {Oguz Altun and Ayman A. Fayed and Russell Byrd and Rahmi Hezar and Gaurav Chandra and Gabriel Gomez}, title = {A 6mW 480MHz continuous time {\(\Sigma\)}{\(\Delta\)} modulator with 65dB {DR} over 5MHz bandwidth in 65nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3989--3992}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537650}, doi = {10.1109/ISCAS.2010.5537650}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AltunFBHCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmaralA10, author = {Fab{\'{\i}}olo Moraes Amaral and Lu{\'{\i}}s F. C. Alberto}, title = {Robustness of stability regions of nonlinear circuits and systems under parameter variation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {525--528}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537569}, doi = {10.1109/ISCAS.2010.5537569}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmaralA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AminzadehLM10, author = {Hamed Aminzadeh and Reza Lotfi and Khalil Mafinezhad}, title = {Low-dropout voltage reference: An approach to buffered architectures with low sensitivity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {849--852}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537428}, doi = {10.1109/ISCAS.2010.5537428}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AminzadehLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndersonH10, author = {Grant S. Anderson and Reid R. Harrison}, title = {Wireless integrated circuit for the acquisition of electrocorticogram signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2952--2955}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538020}, doi = {10.1109/ISCAS.2010.5538020}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndersonH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnderssonAAS10, author = {Mattias Andersson and Martin Anderson and Pietro Andreani and Lars Sundstr{\"{o}}m}, title = {Impact of {MOS} threshold-voltage mismatch in current-steering DACs for {CT} {\(\Sigma\)}{\(\Delta\)} modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4021--4024}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537641}, doi = {10.1109/ISCAS.2010.5537641}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AnderssonAAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndoSHTT10, author = {Yuki Ando and Seiya Shibata and Shinya Honda and Hiroyuki Tomiyama and Hiroaki Takada}, title = {Automatic communication synthesis with hardware sharing for design space exploration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1863--1866}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537849}, doi = {10.1109/ISCAS.2010.5537849}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndoSHTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnisOW10, author = {Muhammad Anis and Maurits Ortmanns and Norbert Wehn}, title = {Fully integrated {UWB} impulse transmitter and 402-to-405MHz super-regenerative receiver for medical implant devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1213--1215}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537294}, doi = {10.1109/ISCAS.2010.5537294}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnisOW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnsaAMNYNK10, author = {Yumi Ansa and Shoko Araki and Shoji Makino and Tomohiro Nakatani and Takeshi Yamada and Atsushi Nakamura and Nobuhiko Kitawaki}, title = {Cepstral smoothing of separated signals for underdetermined speech separation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2506--2509}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537118}, doi = {10.1109/ISCAS.2010.5537118}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnsaAMNYNK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnsariP10, author = {Kimia Taghizadeh Ansari and Calvin Plett}, title = {A low power ultra-wideband {CMOS} {LNA} for 3.1-10.6-GHz wireless receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {209--212}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537968}, doi = {10.1109/ISCAS.2010.5537968}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnsariP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AomoriOTMIT10, author = {Hisashi Aomori and Tsuyoshi Otake and Nobuaki Takahashi and Ichiro Matsuda and Susumu Itoh and Mamoru Tanaka}, title = {An oversampling 2D sigma-delta converter by cellular neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2566--2569}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537099}, doi = {10.1109/ISCAS.2010.5537099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AomoriOTMIT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AragaHN10, author = {Yuuki Araga and Takushi Hashida and Makoto Nagata}, title = {An on-chip waveform capturing technique pursuing minimum cost of integration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3557--3560}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537814}, doi = {10.1109/ISCAS.2010.5537814}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AragaHN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AraiNYO10, author = {Shintaro Arai and Yoshifumi Nishio and Takaya Yamazato and Shinji Ozawa}, title = {Performance evaluation of error-correcting scheme without redundancy code for noncoherent chaos communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2458--2461}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537140}, doi = {10.1109/ISCAS.2010.5537140}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AraiNYO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArchontasGJCM10, author = {Nikolaos Archontas and Julius Georgiou and M. Haykel Ben Jamaa and Sandro Carrara and Giovanni De Micheli}, title = {Characterization of memristive Poly-Si Nanowires via empirical physical modelling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1675--1678}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537496}, doi = {10.1109/ISCAS.2010.5537496}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArchontasGJCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArrifanoPAF10, author = {Angelo M. Arrifano and Manuela Pereira and Marc Antonini and M{\'{a}}rio M. Freire}, title = {Multiple-description video coding based on {JPEG} 2000 MQ-coder registers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1827--1830}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537759}, doi = {10.1109/ISCAS.2010.5537759}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArrifanoPAF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AshourDS10, author = {Haytham Ashour and Mohamed Dessouky and Khaled Sharaf}, title = {Extended division range 2/3 chain frequency divider with dynamic control word}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4141--4144}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537604}, doi = {10.1109/ISCAS.2010.5537604}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AshourDS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AshryA10, author = {Ahmed Ashry and Hassan Aboushady}, title = {A generalized approach to design {CT} {\(\Sigma\)}{\(\Delta\)}Ms based on {FIR} {DAC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {21--24}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537125}, doi = {10.1109/ISCAS.2010.5537125}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AshryA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AshryA10a, author = {Ahmed Ashry and Hassan Aboushady}, title = {Jitter analysis of bandpass continuous-time {\(\Sigma\)}{\(\Delta\)}Ms for different feedback {DAC} shapes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3997--4000}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537652}, doi = {10.1109/ISCAS.2010.5537652}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AshryA10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AttarzadehSJ10, author = {Hourieh Attarzadeh and Mohammad Sharifkhani and Shah M. Jahinuzzaman}, title = {A scalable offset-cancelled current/voltage sense amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3853--3856}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537701}, doi = {10.1109/ISCAS.2010.5537701}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AttarzadehSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzamD10, author = {Touqeer Azam and David R. S. Dimming}, title = {Robust low power design in nano-CMOS technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2466--2469}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537142}, doi = {10.1109/ISCAS.2010.5537142}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzamD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzarmehrAJ10, author = {Mahzad Azarmehr and Majid Ahmadi and Graham A. Jullien}, title = {Recursive architectures for 2DLNS multiplication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3869--3872}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537705}, doi = {10.1109/ISCAS.2010.5537705}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzarmehrAJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzarmehrRA10, author = {Mahzad Azarmehr and Rashid Rashidzadeh and Majid Ahmadi}, title = {High-speed {CMOS} track-and-hold with an offset cancellation replica circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4297--4300}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537545}, doi = {10.1109/ISCAS.2010.5537545}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzarmehrRA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzarovP10, author = {Elias Azarov and Alexander A. Petrovsky}, title = {Linear prediction of deterministic components in hybrid signal representation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2662--2665}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537055}, doi = {10.1109/ISCAS.2010.5537055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzarovP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Azpicueta-RuizZFA10, author = {Luis Antonio Azpicueta{-}Ruiz and Marcus Zeller and An{\'{\i}}bal R. Figueiras{-}Vidal and Jer{\'{o}}nimo Arenas{-}Garc{\'{\i}}a}, title = {Least-squares adaptation of affine combinations of multiple adaptive filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2976--2979}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538026}, doi = {10.1109/ISCAS.2010.5538026}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Azpicueta-RuizZFA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiNGS10, author = {Jiamin Bai and Tian{-}Tsong Ng and Xinting Gao and Yun{-}Qing Shi}, title = {Is physics-based liveness detection truly possible with a single image?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3425--3428}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537866}, doi = {10.1109/ISCAS.2010.5537866}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiNGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BajajVB10, author = {Nihit Bajaj and Bert Vermeire and Bertan Bakkaloglu}, title = {A 10MHz to 100MHz bandwidth scalable, fully differential current feedback amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {217--220}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537958}, doi = {10.1109/ISCAS.2010.5537958}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BajajVB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BakhtiarJM10, author = {Alireza Sharif Bakhtiar and Mohammad Sadegh Jalali and Shahriar Mirabbasi}, title = {An {RF} power harvesting system with input-tuning for long-range {RFID} tags}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4085--4088}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537624}, doi = {10.1109/ISCAS.2010.5537624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BakhtiarJM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalasubramanianHE10, author = {Viswanathan Balasubramanian and Aravind Heragu and Christian C. Enz}, title = {Analysis of ultralow-power asynchronous ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3593--3596}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537806}, doi = {10.1109/ISCAS.2010.5537806}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BalasubramanianHE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BanerjeeER10, author = {Budhaditya Banerjee and Christian C. Enz and Erwan Le Roux}, title = {Detailed analysis of a phase {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4273--4276}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537552}, doi = {10.1109/ISCAS.2010.5537552}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BanerjeeER10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BangCS10, author = {Ho Il Bang and Ji Ho Choi and Myung Hoon Sunwoo}, title = {An efficient skipping method of {H.264/AVC} weighted prediction for various illuminating effects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1177--1180}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537305}, doi = {10.1109/ISCAS.2010.5537305}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BangCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaoZG10, author = {Xuena Bao and Dajiang Zhou and Satoshi Goto}, title = {A lossless frame recompression scheme for reducing {DRAM} power in video encoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {677--680}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537495}, doi = {10.1109/ISCAS.2010.5537495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaoZG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarabinoFS10, author = {Nicol{\'{a}}s Barabino and Rafaella Fiorelli and Fernando Silveira}, title = {Efficiency based design flow for fully-integrated class {C} {RF} power amplifiers in nanometric {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2223--2226}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537207}, doi = {10.1109/ISCAS.2010.5537207}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarabinoFS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarniCS10, author = {Mauro Barni and Andrea Costanzo and Lara Sabatini}, title = {Identification of cut {\&} paste tampering by means of double-JPEG detection and image segmentation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1687--1690}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537505}, doi = {10.1109/ISCAS.2010.5537505}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarniCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BashiriPAS10, author = {Samira Bashiri and Calvin Plett and Jorge Aguirre and Peter Schvan}, title = {A 40 Gb/s transimpedance amplifier in 65 nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {757--760}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537465}, doi = {10.1109/ISCAS.2010.5537465}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BashiriPAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BasuRH10, author = {Arindam Basu and Shubha Ramakrishnan and Paul E. Hasler}, title = {Neural dynamics in reconfigurable silicon}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1943--1946}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536960}, doi = {10.1109/ISCAS.2010.5536960}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BasuRH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatemanHW10, author = {Philip Bateman and Anthony T. S. Ho and Alan Woodward}, title = {Accurate detection of out-of-control variations from digital camera devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3056--3059}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537995}, doi = {10.1109/ISCAS.2010.5537995}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatemanHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaturoneSGB10, author = {Iluminada Baturone and Santiago S{\'{a}}nchez{-}Solano and Andr{\'{e}}s Gersnoviez and Mar{\'{\i}}a Brox}, title = {An automated design flow from linguistic models to piecewise polynomial digital circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3317--3320}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537890}, doi = {10.1109/ISCAS.2010.5537890}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaturoneSGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BawaHG10, author = {Gaurav Bawa and Alex Q. Huang and Maysam Ghovanloo}, title = {An efficient 13.56 MHz active back-telemetry rectifier in standard {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1201--1204}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537296}, doi = {10.1109/ISCAS.2010.5537296}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BawaHG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeckerKL10, author = {Tobias Becker and Markus Koester and Wayne Luk}, title = {Automated placement of reconfigurable regions for relocatable modules}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3341--3344}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537896}, doi = {10.1109/ISCAS.2010.5537896}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BeckerKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelhadjTMBNR10, author = {Bilel Belhadj and Jean Tomas and Olivia Malot and Yannick Bornat and Gilles N'Kaoua and Sylvie Renaud}, title = {Guaranteeing spike arrival time in multiboard {\&} multichip spiking neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {377--380}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537758}, doi = {10.1109/ISCAS.2010.5537758}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelhadjTMBNR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelkacemiF10, author = {Rabie Belkacemi and Ali Feliachi}, title = {Multi-agent design for power distribution system reconfiguration based on the artificial immune system algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3461--3464}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537841}, doi = {10.1109/ISCAS.2010.5537841}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelkacemiF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelloniBMF10, author = {Massimiliano Belloni and Edoardo Bonizzoni and Franco Maloberti and Andrea Fornasari}, title = {Low-power ripple-free chopper amplifier with correlated double sampling de-chopping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {765--768}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537462}, doi = {10.1109/ISCAS.2010.5537462}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelloniBMF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BemporadHL10, author = {Alberto Bemporad and Maurice Heemels and Mircea Lazar}, title = {On the synthesis of piecewise affine control laws}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3308--3311}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537905}, doi = {10.1109/ISCAS.2010.5537905}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BemporadHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerettaRAS10, author = {Ivan Beretta and Vincenzo Rana and David Atienza and Donatella Sciuto}, title = {Run-time mapping of applications on FPGA-based reconfigurable systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3329--3332}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537893}, doi = {10.1109/ISCAS.2010.5537893}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerettaRAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Berg10, author = {Yngvar Berg}, title = {Novel ultra low voltage transconductance amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1244--1247}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537282}, doi = {10.1109/ISCAS.2010.5537282}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Berg10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Berg10a, author = {Yngvar Berg}, title = {Ultra low voltage static carry generate circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1476--1479}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537346}, doi = {10.1109/ISCAS.2010.5537346}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Berg10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerlandBV10, author = {Corinne Berland and Jean{-}Fran{\c{c}}ois Bercher and Olivier Venard}, title = {Gain and delay mismatches cancellation in {LINC} and polar transmitters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1017--1020}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537365}, doi = {10.1109/ISCAS.2010.5537365}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerlandBV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernalGM10, author = {Carlos Bernal and Pilar Molina{-}Gaud{\'{o}} and Arturo Mediano}, title = {Direct {DDFS} {FM} modulator with baseband interpolator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3000--3003}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538015}, doi = {10.1109/ISCAS.2010.5538015}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BernalGM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernardoVMS10, author = {Mario di Bernardo and Carlos Ildefonso Hoyos Velasco and Umberto Montanaro and Stefania Santini}, title = {Experimental validation of a novel adaptive controller for piecewise affine systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1543--1546}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537397}, doi = {10.1109/ISCAS.2010.5537397}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernardoVMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernerD10, author = {Raphael Berner and Tobi Delbr{\"{u}}ck}, title = {Event-based color change pixel in standard {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {349--352}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537787}, doi = {10.1109/ISCAS.2010.5537787}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BernerD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeuxNBP10, author = {S{\'{e}}bastien Le Beux and Gabriela Nicolescu and Guy Bois and Pierre G. Paulin}, title = {A system-level exploration flow for optica network on chip (ONoC) in 3D MPSoC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3613--3616}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537794}, doi = {10.1109/ISCAS.2010.5537794}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BeuxNBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhuiyanLR10, author = {Mohammad Zahidul H. Bhuiyan and Elena Simona Lohan and Markku Renfors}, title = {A Slope-Based Multipath Estimation technique for mitigating short-delay multipath in {GNSS} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3573--3576}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537801}, doi = {10.1109/ISCAS.2010.5537801}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhuiyanLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhukaniaRD10, author = {Bijoy Bhukania and Sthanunathan Ramakrishnan and Yogesh Darwhekar}, title = {{IQ} mismatch compensation using time domain signal processing: {A} practical approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1021--1024}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537366}, doi = {10.1109/ISCAS.2010.5537366}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhukaniaRD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiagettiCCT10, author = {Giorgio Biagetti and Paolo Crippa and Alessandro Curzi and Claudio Turchetti}, title = {Unsupervised identification of nonstationary dynamical systems using a Gaussian mixture model based on {EM} clustering of SOMs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3509--3512}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537836}, doi = {10.1109/ISCAS.2010.5537836}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BiagettiCCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BichlerZGAPV10, author = {Olivier Bichler and Weisheng Zhao and Christian Gamrat and Fabien Alibart and St{\'{e}}phane Pleutin and Dominique Vuillaume}, title = {Development of a functional model for the Nanoparticle-Organic Memory transistor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1663--1666}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537487}, doi = {10.1109/ISCAS.2010.5537487}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BichlerZGAPV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BinczakNJBK10, author = {St{\'{e}}phane Binczak and Aur{\'{e}}lien Serge Tchakoutio Nguetcho and Sabir Jacquir and Jean{-}Marie Bilbault and Viktor B. Kazantsev}, title = {Active spike responses of analog electrical neuron: Theory and experiments}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2550--2553}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537112}, doi = {10.1109/ISCAS.2010.5537112}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BinczakNJBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BistritzS10, author = {Yuval Bistritz and Yaron Segalov}, title = {Fraction-free inversion of a Toeplitz matrix}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {449--452}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537668}, doi = {10.1109/ISCAS.2010.5537668}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BistritzS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BladG10, author = {Anton Blad and Oscar Gustafsson}, title = {Redundancy reduction for high-speed fir filter architectures based on carry-save adder trees}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {181--184}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537997}, doi = {10.1109/ISCAS.2010.5537997}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BladG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BleskenLR10, author = {Matthias W. Blesken and Sven L{\"{u}}tkemeier and Ulrich R{\"{u}}ckert}, title = {Multiobjective optimization for transistor sizing sub-threshold {CMOS} logic standard cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1480--1483}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537349}, doi = {10.1109/ISCAS.2010.5537349}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BleskenLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlokhinaFRD10, author = {Elena Blokhina and Orla Feely and Jordi Ricart and Manuel Dom{\'{\i}}nguez Pumar}, title = {On some properties of the output of a pulsed digital oscillator working with multiple resonances}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1320--1323}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537251}, doi = {10.1109/ISCAS.2010.5537251}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BlokhinaFRD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoasLO10, author = {Andre Vilas Boas and Fabio Lacerda and Alfredo Olmos}, title = {A switched-capacitor Programmable Gain Amplifier optimized for motor control application using correlated double sampling technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537281}, doi = {10.1109/ISCAS.2010.5537281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoasLO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BobbaCM10, author = {Shashikanth Bobba and Sandro Carrara and Giovanni De Micheli}, title = {Design of a {CNFET} array for sensing and control in {P450} based biochips for multiple drug detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1711--1714}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537523}, doi = {10.1109/ISCAS.2010.5537523}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BobbaCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BokhariNH10, author = {Syed Bokhari and Behrouz Nowrouzian and Seyyed Ali Hashemi}, title = {A novel technique for {DCGA} optimization of guaranteed {BIBO} stable IIR-based {FRM} digital filters over the {CSD} multiplier coefficient space}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2710--2713}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537033}, doi = {10.1109/ISCAS.2010.5537033}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BokhariNH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BolHFL10, author = {David Bol and C{\'{e}}dric Hocquet and Denis Flandre and Jean{-}Didier Legat}, title = {Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537352}, doi = {10.1109/ISCAS.2010.5537352}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BolHFL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BondadeM10, author = {Rajdeep Bondade and Dongsheng Ma}, title = {Hardware-software co-design of an embedded power management module with adaptive on-chip power processing schemes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {617--620}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537515}, doi = {10.1109/ISCAS.2010.5537515}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BondadeM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonninCG10, author = {Michele Bonnin and Fernando Corinto and Marco Gilli}, title = {A phase model approach for synchronization analysis of coupled nonlinear oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3385--3388}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537873}, doi = {10.1109/ISCAS.2010.5537873}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonninCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouASM10, author = {Elisenda Bou and Eduard Alarc{\'{o}}n and Alvar Saenz{-}Otero and Christophe Mandy}, title = {Translayer optimized co-design of in-space microwave based wireless power transfer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {885--888}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537417}, doi = {10.1109/ISCAS.2010.5537417}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouASM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouguezelAS10, author = {Saad Bouguezel and M. Omair Ahmad and M. N. S. Swamy}, title = {Image encryption using the reciprocal-orthogonal parametric transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2542--2545}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537110}, doi = {10.1109/ISCAS.2010.5537110}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouguezelAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoujamaaAHLMN10, author = {El Mehdi Boujamaa and Boris Alandry and Souha Hacine and Laurent Latorre and Fr{\'{e}}d{\'{e}}rick Mailly and Pascal Nouet}, title = {A low power interface circuit for resistive sensors with digital offset compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3092--3095}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537970}, doi = {10.1109/ISCAS.2010.5537970}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BoujamaaAHLMN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BragaCKL10, author = {Matheus Braga and {\'{E}}rika F. Cota and Fernanda Lima Kastensmidt and Marcelo Lubaszewski}, title = {Efficiently using data splitting and retransmission to tolerate faults in networks-on-chip interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4101--4104}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537611}, doi = {10.1109/ISCAS.2010.5537611}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BragaCKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrandonisioM10, author = {Francesco Brandonisio and Franco Maloberti}, title = {An all-digital {PLL} with a first order noise shaping Time-to-Digital Converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {241--244}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537928}, doi = {10.1109/ISCAS.2010.5537928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrandonisioM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BremerRPM10, author = {Jan{-}K. Bremer and Marco Reit and Jan Przytarski and Wolfgang Mathis}, title = {Nonlinearity and dynamics in {RF} Oscillators: Analysis and design implications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1324--1327}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537252}, doi = {10.1109/ISCAS.2010.5537252}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BremerRPM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrownW10, author = {Jonathan K. Brown and David D. Wentzloff}, title = {Harvesting a clock from a {GSM} signal for the wake-up of a wireless sensor network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1500--1503}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537364}, doi = {10.1109/ISCAS.2010.5537364}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrownW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrudererSWSB10, author = {Lukas Bruderer and Christoph Studer and Markus Wenk and Dominik Seethaler and Andreas Burg}, title = {{VLSI} implementation of a low-complexity {LLL} lattice reduction algorithm for {MIMO} detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3745--3748}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537742}, doi = {10.1109/ISCAS.2010.5537742}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrudererSWSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BruderleBKKMMS10, author = {Daniel Br{\"{u}}derle and Johannes Bill and Bernhard Kaplan and Jens Kremkow and Karlheinz Meier and Eric M{\"{u}}ller and Johannes Schemmel}, title = {Live demonstration: Simulator-like exploration of cortical network architectures with a mixed-signal {VLSI} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2783}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537004}, doi = {10.1109/ISCAS.2010.5537004}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BruderleBKKMMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BruderleBKKMMS10a, author = {Daniel Br{\"{u}}derle and Johannes Bill and Bernhard Kaplan and Jens Kremkow and Karlheinz Meier and Eric M{\"{u}}ller and Johannes Schemmel}, title = {Simulator-like exploration of cortical network architectures with a mixed-signal {VLSI} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2784--2787}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537005}, doi = {10.1109/ISCAS.2010.5537005}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BruderleBKKMMS10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuonomoKS10, author = {Antonio Buonomo and Michael Peter Kennedy and Alessandro Lo Schiavo}, title = {On the synchronization condition of second-harmonic coupled QVCOs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3389--3392}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537874}, doi = {10.1109/ISCAS.2010.5537874}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuonomoKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CadyBFRN10, author = {Nathaniel C. Cady and Magnus Bergkvist and Nicholas M. Fahrenkopf and Phillip Z. Rice and Joseph Van Nostrand}, title = {Biologically self-assembled memristive circuit elements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1959--1962}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537000}, doi = {10.1109/ISCAS.2010.5537000}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CadyBFRN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalhounKZRO10, author = {Benton H. Calhoun and Sudhanshu Khanna and Yanqing Zhang and Joseph F. Ryan and Brian P. Otis}, title = {System design principles combining sub-threshold circuit and architectures with energy scavenging mechanisms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {269--272}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537887}, doi = {10.1109/ISCAS.2010.5537887}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CalhounKZRO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalimeraMP10, author = {Andrea Calimera and Enrico Macii and Massimo Poncino}, title = {Analysis of NBTI-induced {SNM} degradation in power-gated {SRAM} cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {785--788}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537452}, doi = {10.1109/ISCAS.2010.5537452}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalimeraMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CallegariB10, author = {Sergio Callegari and Federico Bizzarri}, title = {A heuristic solution to the optimisation of flutter control in compression systems (and to some more binary quadratic programming problems) via {\(\Delta\)}{\(\Sigma\)} modulation circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1815--1818}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537729}, doi = {10.1109/ISCAS.2010.5537729}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CallegariB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalvoMC10, author = {Bel{\'{e}}n Calvo and Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Santiago Celma}, title = {A full-scale {CMOS} voltage-to-frequency converter for {WSN} signal conditioning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3088--3091}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537986}, doi = {10.1109/ISCAS.2010.5537986}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalvoMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CameronCRMHC10, author = {Katherine L. Cameron and Thomas F. Clayton and Bruce Rae and Alan F. Murray and Robert K. Henderson and Edoardo Charbon}, title = {Poisson distributed noise generation for spiking neural applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {365--368}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537767}, doi = {10.1109/ISCAS.2010.5537767}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CameronCRMHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CamponeschiBNA10, author = {Matteo Camponeschi and Andrea Bevilacqua and Andrea Neviani and Pietro Andreani}, title = {Accurate time-variant analysis of a current-reuse 2.2 GHz 1.3 mW {CMOS} front-end}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2063--2066}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537236}, doi = {10.1109/ISCAS.2010.5537236}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CamponeschiBNA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Camunas-MesaPZSL10, author = {Luis A. Camu{\~{n}}as{-}Mesa and Jos{\'{e}} Antonio P{\'{e}}rez{-}Carrasco and Carlos Zamarre{\~{n}}o{-}Ramos and Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco}, title = {On scalable spiking convnet hardware for cortex-like visual sensory processing systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {249--252}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537918}, doi = {10.1109/ISCAS.2010.5537918}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Camunas-MesaPZSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CancareSS10, author = {Fabio Cancare and Marco D. Santambrogio and Donatella Sciuto}, title = {A direct bitstream manipulation approach for Virtex4-based evolvable systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {853--856}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537429}, doi = {10.1109/ISCAS.2010.5537429}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CancareSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CandidoSMN10, author = {Renato Candido and Magno T. M. Silva and Maria D. Miranda and V{\'{\i}}tor H. Nascimento}, title = {A statistical analysis of the dual-mode {CMA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2510--2513}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537119}, doi = {10.1109/ISCAS.2010.5537119}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CandidoSMN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CannasFM10, author = {Barbara Cannas and Alessandra Fanni and Augusto Montisci}, title = {A fast procedure for canonical ambiguity groups determination in nonlinear analog circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1539--1542}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537394}, doi = {10.1109/ISCAS.2010.5537394}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CannasFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CannoneAC10, author = {Francesco Cannone and Gianfranco Avitabile and Damiano Cascella}, title = {Multi-standard/multi-band distributed {VCO} based on the "switched-cells tuning technique" for {SDR} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1991--1994}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537097}, doi = {10.1109/ISCAS.2010.5537097}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CannoneAC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoK10, author = {Hong Cao and Alex C. Kot}, title = {Mobile camera identification using demosaicing features}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1683--1686}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537502}, doi = {10.1109/ISCAS.2010.5537502}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoT10, author = {Jinzhou Cao and Gabor C. Temes}, title = {Radix-based digital correction technique for two-capacitor DACs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {565--568}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537537}, doi = {10.1109/ISCAS.2010.5537537}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoWLM10, author = {Tuan Vu Cao and Dag T. Wisland and Tor Sverre Lande and Farshad Moradi}, title = {Rail-to-rail low-power fully differential {OTA} utilizing adaptive biasing and partial feedback}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2820--2823}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536983}, doi = {10.1109/ISCAS.2010.5536983}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoWLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaraccioloBMM10, author = {Herv{\'{e}} Caracciolo and Edoardo Bonizzoni and Piero Malcovati and Franco Maloberti}, title = {Design of a 70-MHz {IF} 10-MHz bandwidth bandpass {\(\Sigma\)}{\(\Delta\)} modulator for {WCDMA} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2406--2409}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537169}, doi = {10.1109/ISCAS.2010.5537169}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaraccioloBMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaraccioloBMR10, author = {Herv{\'{e}} Caracciolo and Edoardo Bonizzoni and Franco Maloberti and George S. La Rue}, title = {Digitally assisted multi-Bit {\(\Sigma\)}{\(\Delta\)} modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3993--3996}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537651}, doi = {10.1109/ISCAS.2010.5537651}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaraccioloBMR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaroCPNSG10, author = {Davide De Caro and Marino Coppola and Nicola Petra and Ettore Napoli and Antonio G. M. Strollo and Valeria Garofalo}, title = {High-speed differential resistor ladder for {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1723--1726}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537532}, doi = {10.1109/ISCAS.2010.5537532}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CaroCPNSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CastroPA10, author = {Javier Castro{-}Ramirez and Pilar Parra Fern{\'{a}}ndez and Antonio J. Acosta}, title = {Optimization of clock-gating structures for low-leakage high-performance applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3220--3223}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537934}, doi = {10.1109/ISCAS.2010.5537934}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CastroPA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CastroRG10, author = {Marcus Rogerio De Castro and Bruno G{\'{e}}rard Michel Robert and Cl{\'{e}}ment Goeldel}, title = {Analysis of aperiodic and chaotic motions in a switched reluctance linear motor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {137--140}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536969}, doi = {10.1109/ISCAS.2010.5536969}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CastroRG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CatteauVRW10, author = {Benoit Catteau and Bart De Vuyst and Pieter Rombouts and Ludo Weyten}, title = {A 14-bit 250MS/s digital to analog converter with binary weighted Redundant Signed Digit coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3345--3348}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537880}, doi = {10.1109/ISCAS.2010.5537880}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CatteauVRW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CenSCY10, author = {Ling Cen and Wee Ser and Wei Cen and Zhu Liang Yu}, title = {Linear sparse array synthesis via convex optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4233--4236}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537576}, doi = {10.1109/ISCAS.2010.5537576}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CenSCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabarttyL10, author = {Shantanu Chakrabartty and Shih{-}Chii Liu}, title = {Exploiting spike-based dynamics in a silicon cochlea for speaker identification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {513--516}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537578}, doi = {10.1109/ISCAS.2010.5537578}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabarttyL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanHBB10, author = {Johnnie Chan and Gilbert Hendry and Aleksandr Biberman and Keren Bergman}, title = {Tools and methodologies for designing energy-efficient photonic networks-on-chip for highperformance chip multiprocessors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3605--3608}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537792}, doi = {10.1109/ISCAS.2010.5537792}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanHBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChandrasekaranB10, author = {Anand Chandrasekaran and Kwabena Boahen}, title = {A 1-change-in-4 delay-insensitive interchip link}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3216--3219}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537933}, doi = {10.1109/ISCAS.2010.5537933}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChandrasekaranB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangKL10, author = {Li{-}Chuan Chang and Chih{-}Hung Kuo and Bin{-}Da Liu}, title = {Low complexity {MAD} prediction algorithms for rate controllable {H.264/AVC} hardware encoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {661--664}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537501}, doi = {10.1109/ISCAS.2010.5537501}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangKLL10, author = {Kuo{-}Chiang Chang and Yu{-}Ting Kuo and Tay{-}Jyi Lin and Chih{-}Wei Liu}, title = {Complexity-effective dynamic range compression for digital hearing aids}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2378--2381}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537181}, doi = {10.1109/ISCAS.2010.5537181}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangKLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYLC10, author = {Han{-}Yen Chang and Sheng{-}Chih Yang and Sheng{-}Hsing Lan and Pau{-}Choo Chung}, title = {Epileptic seizure detection in grouped multi-channel {EEG} signal using {ICA} and wavelet transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1388--1391}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537262}, doi = {10.1109/ISCAS.2010.5537262}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChavetC10, author = {Cyrille Chavet and Philippe Coussy}, title = {A memory mapping approach for parallel interleaver design with multiples read and write accesses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3168--3171}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537955}, doi = {10.1109/ISCAS.2010.5537955}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChavetC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chen10, author = {Ju{-}Ya Chen}, title = {Blind carrier frequency offset estimation for {OFDM} systems by probability density function}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {793--796}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537449}, doi = {10.1109/ISCAS.2010.5537449}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chen10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenBKM10, author = {Hung Tat Chen and Amine Bermak and Adam Khalifa and Dominique Martinez}, title = {An integrated wireless electronic nose system integrating sensing and recognition functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2798}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536993}, doi = {10.1109/ISCAS.2010.5536993}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenBKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCC10, author = {Yun{-}Yu Chen and Tung{-}Chien Chen and Liang{-}Gee Chen}, title = {Accuracy and power tradeoff in spike sorting microsystems with cubic spline interpolation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537370}, doi = {10.1109/ISCAS.2010.5537370}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCHH10, author = {Shi{-}Wen Chen and Ming{-}Hung Chang and Wei{-}Chih Hsieh and Wei Hwang}, title = {Fully on-chip temperature, process, and voltage sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {897--900}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537410}, doi = {10.1109/ISCAS.2010.5537410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCLC10, author = {Yu{-}Hsin Chen and Tung{-}Chien Chen and Tsung{-}Hsueh Lee and Liang{-}Gee Chen}, title = {Sub-microwatt correlation integral processor for implantable closed-loop epileptic neuromodulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2083--2086}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537220}, doi = {10.1109/ISCAS.2010.5537220}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenFCLYLR10, author = {Danfeng Chen and Haipeng Fu and Yunfeng Chen and Wei Li and Fan Ye and Ning Li and Junyan Ren}, title = {A sideband-suppressed low-power synthesizer for 14-band dual-carrier {MB-OFDM} {UWB} transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2139--2192}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536947}, doi = {10.1109/ISCAS.2010.5536947}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenFCLYLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenFLLR10, author = {Yunfeng Chen and Jinhan Fan and Wei Li and Ning Li and Junyan Ren}, title = {A current-mode 6-9GHz {UWB} transmitter with output power flattening technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {329--332}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537818}, doi = {10.1109/ISCAS.2010.5537818}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenFLLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLC10, author = {Yu{-}Chen Chen and Gwo{-}Long Li and Tian{-}Sheuan Chang}, title = {Efficient inter-layer prediction hardware design with extended spatial scalability for {H.264/AVC} scalable extension}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {665--668}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537497}, doi = {10.1109/ISCAS.2010.5537497}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLJW10, author = {Hsin{-}Jung Chen and Feng{-}Hsiang Lo and Fu{-}Chiang Jan and Sheng{-}Dong Wu}, title = {Real-time multi-view rendering architecture for autostereoscopic displays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1165--1168}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537312}, doi = {10.1109/ISCAS.2010.5537312}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLJW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLT10, author = {Jianwei Chen and Hongchin Lin and Yun{-}Ching Tang}, title = {Efficient high-throughput architectures for high-speed parallel scramblers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {441--444}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537678}, doi = {10.1109/ISCAS.2010.5537678}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLWR10, author = {Gong{-}Han Chen and Chu{-}Chuan Lin and Po{-}Han Wu and Jiann{-}Chyi Rau}, title = {Multi-cycle compress technique for high-speed {IP} in low-cost environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {437--440}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537677}, doi = {10.1109/ISCAS.2010.5537677}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLWR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLWZ10, author = {Juan Chen and Junan Lu and Xiaoqun Wu and Wei Xing Zheng}, title = {Impulsive synchronization on complex networks of nonlinear dynamical systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {421--424}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537697}, doi = {10.1109/ISCAS.2010.5537697}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenMSXY10, author = {Yuan Chen and Isi Mitrani and Delong Shang and Fei Xia and Alexandre Yakovlev}, title = {Stochastic analysis of power, latency and the degree of concurrency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4129--4132}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537601}, doi = {10.1109/ISCAS.2010.5537601}, timestamp = {Thu, 28 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenMSXY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenMZ10, author = {Yong Chen and Pui{-}In Mak and Yumei Zhou}, title = {Source-follower-based bi-quad cell for continuous-time zero-pole type filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3629--3632}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537781}, doi = {10.1109/ISCAS.2010.5537781}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenMZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenOLCY10, author = {Wei{-}Zen Chen and Wei{-}Wen Ou and Tai{-}You Lu and Shun{-}Tien Chou and Song{-}Yu Yang}, title = {A 2.4 GHz reference-less wireless receiver for 1Mbps {QPSK} demodulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1627--1630}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537460}, doi = {10.1109/ISCAS.2010.5537460}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenOLCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenR10, author = {Shinyu Chen and Robert Rieger}, title = {Linear low-frequency filter using on-chip giga-ohm resistance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1256--1259}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537275}, doi = {10.1109/ISCAS.2010.5537275}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTC10, author = {Shoushun Chen and Wei Tang and Eugenio Culurciello}, title = {Live demonstration: {A} 64{\texttimes}64 pixels {UWB} wireless temporal-difference digital image sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1403}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537280}, doi = {10.1109/ISCAS.2010.5537280}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTC10a, author = {Shoushun Chen and Wei Tang and Eugenio Culurciello}, title = {A 64{\texttimes}64 pixels {UWB} wireless temporal-difference digital image sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1404--1407}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537283}, doi = {10.1109/ISCAS.2010.5537283}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenTC10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWR10, author = {Gong{-}Han Chen and Po{-}Han Wu and Jiann{-}Chyi Rau}, title = {Multi-chains encoding scheme in low-cost {ATE}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1587--1590}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537430}, doi = {10.1109/ISCAS.2010.5537430}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWSX10, author = {Honglei Chen and Dong Wu and Yanzhao Shen and Jun Xu}, title = {A 13-bit, low-power, compact {ADC} suitable for sensor applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2414--2417}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537171}, doi = {10.1109/ISCAS.2010.5537171}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWSX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenY10, author = {Zhi{-}Wei Chen and Jin{-}Tai Yan}, title = {Width-constrained wire sizing for non-tree interconnections}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2586--2589}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537104}, doi = {10.1109/ISCAS.2010.5537104}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenY10a, author = {Yu Chen and Lu Yu}, title = {Adaptive spatial prediction in intra coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4209--4212}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537587}, doi = {10.1109/ISCAS.2010.5537587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenY10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZ10, author = {Wu{-}Hua Chen and Wei Xing Zheng}, title = {A study of exponential stability for stochastic delayed neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2562--2565}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537098}, doi = {10.1109/ISCAS.2010.5537098}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZM10, author = {Chung Chen and Wei{-}Ping Zhu and Qingmin Meng}, title = {A signal perturbation free semi-blind {MRT} {MIMO} channel estimation approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2518--2521}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537121}, doi = {10.1109/ISCAS.2010.5537121}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZPZG10, author = {Zhixiang Chen and Xiongxin Zhao and Xiao Peng and Dajiang Zhou and Satoshi Goto}, title = {An early stopping criterion for decoding {LDPC} codes in WiMAX and WiFi standards}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {473--476}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537638}, doi = {10.1109/ISCAS.2010.5537638}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZPZG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengC10, author = {Lee{-}Ming Cheng and L. L. Cheng}, title = {A forensic chip for secure digital video recording}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3068--3071}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537981}, doi = {10.1109/ISCAS.2010.5537981}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengLLLC10, author = {Chao{-}Chung Cheng and Chung{-}Te Li and Chia{-}Kai Liang and Yen{-}Chieh Lai and Liang{-}Gee Chen}, title = {Architecture design of stereo matching using belief propagation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4109--4112}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537613}, doi = {10.1109/ISCAS.2010.5537613}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengLLLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheungS10, author = {Hoi{-}Kok Cheung and Wan{-}Chi Siu}, title = {Local affine motion prediction for {H.264} without extra overhead}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1555--1558}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537406}, doi = {10.1109/ISCAS.2010.5537406}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheungS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheungTLT10, author = {Chun{-}Kit Cheung and Siew{-}Chong Tan and Yuk{-}Ming Lai and Chi Kong Tse}, title = {A new visit to an old problem in switched-capacitor converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3192--3195}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537944}, doi = {10.1109/ISCAS.2010.5537944}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheungTLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiCVC10, author = {Yu M. Chi and Gary Carhart and Mikhail A. Vorontsov and Gert Cauwenberghs}, title = {Intensity histogram {CMOS} image sensor for adaptive optics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {633--636}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537509}, doi = {10.1109/ISCAS.2010.5537509}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiCVC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangCCLL10, author = {Jui{-}Chiu Chiang and Kuan{-}Liang Chen and Chi{-}Ju Chou and Chang{-}Ming Lee and Wen{-}Nung Lie}, title = {Block-based distributed video coding with variable block modes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {125--128}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536978}, doi = {10.1109/ISCAS.2010.5536978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangCCLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienLWJH10, author = {Ting{-}Hsu Chien and Chi{-}Sheng Lin and Chin{-}Long Wey and Ying{-}Zong Juang and Chun{-}Ming Huang}, title = {High-speed and low-power programmable frequency divider}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4301--4304}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537546}, doi = {10.1109/ISCAS.2010.5537546}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienLWJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChikamatsuNKKHN10, author = {Shingo Chikamatsu and Tomohiro Nakaya and Masakazu Kouda and Nobutaka Kuroki and Tetsuya Hirose and Masahiro Numa}, title = {Super-resolution technique for thermography with dual-camera system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1895--1898}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537929}, doi = {10.1109/ISCAS.2010.5537929}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChikamatsuNKKHN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChinHCT10, author = {Sung{-}Min Chin and Chih{-}Cheng Hsieh and Chin{-}Fong Chiu and Hann{-}Huei Tsai}, title = {A new rail-to-rail comparator with adaptive power control for low power {SAR} ADCs in biomedical application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1575--1578}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537421}, doi = {10.1109/ISCAS.2010.5537421}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChinHCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiniforooshDJ10, author = {Sina Chiniforoosh and Ali Davoudi and Juri Jatskevich}, title = {Averaged-circuit modeling of line-commutated rectifiers for transient simulation programs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2318--2321}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537073}, doi = {10.1109/ISCAS.2010.5537073}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiniforooshDJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiragwandiSW10, author = {Zackary Chiragwandi and Jonas Sk{\"{o}}ldberg and G{\"{o}}ran Wendin}, title = {Robustness of logic gates and reconfigurability of neuromorphic switching networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1671--1674}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537493}, doi = {10.1109/ISCAS.2010.5537493}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiragwandiSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChironiDBCI10, author = {Vincenzo Chironi and Bj{\"{o}}rn Debaillie and Andrea Baschirotto and Jan Craninckx and Mark Ingels}, title = {An area efficient digital amplitude modulator in 90nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2219--2222}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537206}, doi = {10.1109/ISCAS.2010.5537206}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChironiDBCI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChitnisC10, author = {Danial Chitnis and Steve Collins}, title = {Compact readout circuits for {SPAD} arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {357--360}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537777}, doi = {10.1109/ISCAS.2010.5537777}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChitnisC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuCL10, author = {Wei{-}Hao Chiu and Chien{-}Yuan Cheng and Tsung{-}Hsien Lin}, title = {A 5-GHz fractional-N phase-locked loop with spur reduction technique in 0.13-{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2996--2999}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538014}, doi = {10.1109/ISCAS.2010.5538014}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuLL10, author = {Wei{-}Yao Chiu and Yu{-}Ming Lee and Yinyi Lin}, title = {Efficient zero-block mode decision algorithm for high bit-rate coding in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4189--4192}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537582}, doi = {10.1109/ISCAS.2010.5537582}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoA10, author = {Jung H. Cho and Mark G. Arnold}, title = {Dual-stylus-arm scratch drive micro-robots controlled by a communication channel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {789--792}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537453}, doi = {10.1109/ISCAS.2010.5537453}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoCWK10, author = {Seongho Cho and Byung{-}Ho Cha and Jingwei Wang and C.{-}C. Jay Kuo}, title = {Block-based image steganalysis: Algorithm and performance evaluation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1679--1682}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537499}, doi = {10.1109/ISCAS.2010.5537499}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoCWK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiKKD10, author = {Woojin Choi and Young Hoon Kang and Taek{-}Jun Kwon and Jeff Draper}, title = {Implementation of adaptive grain signatures for transactional memories}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3489--3492}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537831}, doi = {10.1109/ISCAS.2010.5537831}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiKKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiL10, author = {Won Ha Choi and Xun Liu}, title = {Case Study: GPU-based implementation of sequence pair based floorplanning using {CUDA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {917--920}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537405}, doi = {10.1109/ISCAS.2010.5537405}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiS10, author = {Ho{-}Yong Choi and Kewal K. Saluja}, title = {Detection of inter-port bridging faults in dual-port memories}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {657--660}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537500}, doi = {10.1109/ISCAS.2010.5537500}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoogornMW10, author = {Terdpun Choogorn and Jirayuth Mahattanakul and Apisak Worapishet}, title = {Analysis of the common-mode induced differential-mode distortion in Gm-C filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3621--3624}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537796}, doi = {10.1109/ISCAS.2010.5537796}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoogornMW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoudharyGLS10, author = {Naveen Choudhary and Manoj Singh Gaur and Vijay Laxmi and Virendra Singh}, title = {Genetic algorithm based topology generation for application specific Network-on-Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3156--3159}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537952}, doi = {10.1109/ISCAS.2010.5537952}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoudharyGLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoudharyMC10, author = {Sunav Choudhary and Pritam Mukherjee and Mrityunjoy Chakraborty}, title = {A {SPT} treatment to the bit serial realization of the sign-LMS based adaptive filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2678--2681}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537042}, doi = {10.1109/ISCAS.2010.5537042}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoudharyMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChowL10, author = {Albert Chow and Hae{-}Seung Lee}, title = {Offset cancellation for zero crossing based circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1719--1722}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537529}, doi = {10.1109/ISCAS.2010.5537529}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChowL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChowdhuryMHP10, author = {Inshad Chowdhury and Dongsheng Ma and Fred Highton and Paul Prazak}, title = {Monolithic {CMOS} {HD} radio: Architecture design and front-end implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {485--488}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537608}, doi = {10.1109/ISCAS.2010.5537608}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChowdhuryMHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuC10, author = {Chia{-}Chi Chu and Hsiao{-}Dong Chiang}, title = {Boundary properties of the {BCU} method for power system transient stability assessment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3453--3456}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537856}, doi = {10.1109/ISCAS.2010.5537856}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuT10, author = {Chia{-}Chi Chu and Hung{-}Chi Tsai}, title = {Energy function based neural networks {UPFC} for transient stability enhancement of network-preserving power systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2766--2769}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537013}, doi = {10.1109/ISCAS.2010.5537013}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangC10, author = {Hsiao{-}Chiang Chuang and Mary L. Comer}, title = {A new method for segmentation of noisy, low-contrast image sequences}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2868--2871}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536961}, doi = {10.1109/ISCAS.2010.5536961}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangHCTJ10, author = {Chi{-}Hung Chuang and Jun{-}Wei Hsieh and Yi{-}Da Chiou and I{-}Ru Tsay and Ming{-}Hui Jin}, title = {Human behavior recognition from arbitrary views}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2654--2657}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537053}, doi = {10.1109/ISCAS.2010.5537053}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangHCTJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangTLCMCC10, author = {Tzu{-}Der Chuang and Pei{-}Kuei Tsung and Pin{-}Chih Lin and Lo{-}Mei Chang and Tsung{-}Chuan Ma and Yi{-}Hau Chen and Liang{-}Gee Chen}, title = {Low bandwidth decoder framework for {H.264/AVC} scalable extension}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2960--2963}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538022}, doi = {10.1109/ISCAS.2010.5538022}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangTLCMCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuehSKCL10, author = {Hung{-}Shih Chueh and Ching{-}Min Su and Chia{-}Tung Kuo and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {Prototype design and implementation of a load-balanced Birkhoff-von Neumann switch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3909--3912}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537681}, doi = {10.1109/ISCAS.2010.5537681}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuehSKCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChujoKOY10, author = {Norio Chujo and Takehito Kamimura and Goichi Ono and Fumio Yuki}, title = {A 25 Gbps inductorless receiver front-end in 65-nm {CMOS} for serial links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {189--192}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537987}, doi = {10.1109/ISCAS.2010.5537987}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChujoKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChunJK10, author = {Ki Chul Chun and Pulkit Jain and Chris H. Kim}, title = {Logic-compatible embedded {DRAM} design for memory intensive low power systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {277--280}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537877}, doi = {10.1109/ISCAS.2010.5537877}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChunJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungHLK10, author = {Sang{-}Hye Chung and Kyu{-}Dong Hwang and Won{-}Young Lee and Lee{-}Sup Kim}, title = {A high resolution metastability-independent two-step gated ring oscillator {TDC} with enhanced noise shaping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1300--1303}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537261}, doi = {10.1109/ISCAS.2010.5537261}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungHLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungY10, author = {Ching{-}Che Chung and Cheng{-}Ruei Yang}, title = {An all-digital smart temperature sensor with auto-calibration in 65nm {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4089--4092}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537625}, doi = {10.1109/ISCAS.2010.5537625}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cid-PastorMPA10, author = {Angel Cid{-}Pastor and Luis Mart{\'{\i}}nez{-}Salamero and N. Parody and Abdelali El Aroudi}, title = {Analysis and design of a loss-free resistor based on a boost converter in {PWM} operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2742--2745}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537024}, doi = {10.1109/ISCAS.2010.5537024}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Cid-PastorMPA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CirmirakisDD10, author = {Dominik Cirmirakis and Andreas Demosthenous and Nick Donaldson}, title = {Comparision of methods for interference neutralisation in tripolar nerve recording cuffs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3465--3468}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537842}, doi = {10.1109/ISCAS.2010.5537842}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CirmirakisDD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ClarkC10, author = {Lawrence T. Clark and Vikas Chaudhary}, title = {Fast low power translation lookaside buffers using hierarchical {NAND} match lines}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3493--3496}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537832}, doi = {10.1109/ISCAS.2010.5537832}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ClarkC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ClarkeL10, author = {Patrick Clarke and Rodrigo C. de Lamare}, title = {Reduced-rank {BEACON} algorithm based on joint iterative optimization of adaptive filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2984--2987}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538011}, doi = {10.1109/ISCAS.2010.5538011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ClarkeL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ComminielloSPU10, author = {Danilo Comminiello and Michele Scarpiniti and Raffaele Parisi and Aurelio Uncini}, title = {A novel affine projection algorithm for superdirective microphone array beamforming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2127--2130}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536944}, doi = {10.1109/ISCAS.2010.5536944}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ComminielloSPU10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorintoGR10, author = {Fernando Corinto and Marco Gilli and Tam{\'{a}}s Roska}, title = {Locally connected oscillatory networks acting as fully connected oscillatory networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2047--2050}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537187}, doi = {10.1109/ISCAS.2010.5537187}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorintoGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorronSB10, author = {Ned J. Corron and Mark T. Stahl and Jonathan N. Blakely}, title = {Exactly solvable chaotic circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1356--1359}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536943}, doi = {10.1109/ISCAS.2010.5536943}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorronSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CustodioOOGB10, author = {Jos{\'{e}} Rui Cust{\'{o}}dio and Jo{\~{a}}o Pedro Oliveira and Lu{\'{\i}}s B. Oliveira and Jo{\~{a}}o Goes and Erik Bruun}, title = {MOSFET-only Mixer/IIR filter with gain using parametric amplification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1209--1212}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537293}, doi = {10.1109/ISCAS.2010.5537293}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CustodioOOGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DAngeloD10, author = {Angela D'Angelo and Jean{-}Luc Dugelay}, title = {Color based soft biometry for hooligans detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1691--1694}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537508}, doi = {10.1109/ISCAS.2010.5537508}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DAngeloD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DahiyaLMV10, author = {Ravinder S. Dahiya and Leandro Lorenzelli and Giorgio Metta and Maurizio Valle}, title = {{POSFET} devices based tactile sensing arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {893--896}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537414}, doi = {10.1109/ISCAS.2010.5537414}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DahiyaLMV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiAYPZW10, author = {Jingjing Dai and Oscar C. Au and Wen Yang and Chao Pang and Feng Zou and Xing Wen}, title = {Color video denoising based on adaptive color space conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2992--2995}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538013}, doi = {10.1109/ISCAS.2010.5538013}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaiAYPZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaneshgarK10, author = {Saeid Daneshgar and Michael Peter Kennedy}, title = {A qualitative analysis of a complementary differential {LC} injection-locked frequency divider based on direct injection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1531--1534}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537388}, doi = {10.1109/ISCAS.2010.5537388}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaneshgarK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DanielsDS10, author = {Jorg Daniels and Wim Dehaene and Michiel Steyaert}, title = {All-digital differential VCO-based {A/D} conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1085--1088}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537342}, doi = {10.1109/ISCAS.2010.5537342}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DanielsDS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasC10, author = {Dipayan Das and Steve Collins}, title = {A wide dynamic range integrating pixel with an improved low light sensitivity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4261--4264}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537566}, doi = {10.1109/ISCAS.2010.5537566}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasL10, author = {Kushal Das and Torsten Lehmann}, title = {{SOS} current mirror matching at 4K: {A} brief study}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3405--3408}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537861}, doi = {10.1109/ISCAS.2010.5537861}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavoudiDCJ10, author = {Ali Davoudi and Sairaj V. Dhople and Patrick L. Chapman and Juri Jatskevich}, title = {Variable-resolution simulation of nonlinear power circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2750--2753}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537026}, doi = {10.1109/ISCAS.2010.5537026}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DavoudiDCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeLellisBT10, author = {Pietro De Lellis and Mario di Bernardo and Luiz Felipe R. Turci}, title = {Fully adaptive pinning control of complex networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {685--688}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537492}, doi = {10.1109/ISCAS.2010.5537492}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeLellisBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeeseJBN10, author = {Anthony S. Deese and Juan C. Jim{\'{e}}nez and Jon Berardino and Chika O. Nwankpa}, title = {Hardware prototype to emulate the dynamics of power system generators with field programmable analog arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2314--2317}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537072}, doi = {10.1109/ISCAS.2010.5537072}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeeseJBN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DegnanDH10, author = {Brian P. Degnan and Brian J. Duffy and Paul E. Hasler}, title = {Crossbar switch matrix for floating-gate programming over large current ranges}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {861--864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537426}, doi = {10.1109/ISCAS.2010.5537426}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DegnanDH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckB10, author = {Tobi Delbr{\"{u}}ck and Raphael Berner}, title = {Temporal contrast {AER} pixel with 0.3{\%}-contrast event threshold}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2442--2445}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537153}, doi = {10.1109/ISCAS.2010.5537153}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckBLD10, author = {Tobi Delbr{\"{u}}ck and Raphael Berner and Patrick Lichtsteiner and Carlos Dualibe}, title = {32-bit Configurable bias current generator with sub-off-current capability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1647--1650}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537475}, doi = {10.1109/ISCAS.2010.5537475}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckBLD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckKBH10, author = {Tobi Delbr{\"{u}}ck and Thomas Koch and Raphael Berner and Hynek Hermansky}, title = {Fully integrated 500uW speech detection wake-up circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2015--2018}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537160}, doi = {10.1109/ISCAS.2010.5537160}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckKBH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckLCP10, author = {Tobi Delbr{\"{u}}ck and Bernab{\'{e}} Linares{-}Barranco and Eugenio Culurciello and Christoph Posch}, title = {Activity-driven, event-based vision sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2426--2429}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537149}, doi = {10.1109/ISCAS.2010.5537149}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckLCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeliziaSDB10, author = {Pasquale Delizia and Gianni Saccomanno and Stefano D'Amico and Andrea Baschirotto}, title = {A 10-b 100-MS/s pipelined {ADC} with an optimized bit-stage resolution in 65nm {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4033--4036}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537644}, doi = {10.1109/ISCAS.2010.5537644}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeliziaSDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Deng10, author = {Tian{-}Bo Deng}, title = {Wide-band length-6 cubic interpolator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {445--448}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537667}, doi = {10.1109/ISCAS.2010.5537667}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Deng10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DensmoreKBLA10, author = {Douglas Densmore and Joshua T. Kittleson and Lesia Bilitchenko and Adam Liu and J. Christopher Anderson}, title = {Rule based constraints for the construction of genetic devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {557--560}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537540}, doi = {10.1109/ISCAS.2010.5537540}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DensmoreKBLA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiazWRLOO10, author = {Isael Diaz and Leif R. Wilhelmsson and Joachim Neves Rodrigues and Johan L{\"{o}}fgren and Thomas Olsson and Viktor {\"{O}}wall}, title = {A sign-bit auto-correlation architecture for fractional frequency offset estimation in {OFDM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3765--3768}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537730}, doi = {10.1109/ISCAS.2010.5537730}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DiazWRLOO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DimopoulosPVH10, author = {Michael G. Dimopoulos and Dimitris K. Papakostas and Basilios D. Vassios and Alkis A. Hatzopoulos}, title = {Wavelet analysis of current measurements for mixed-signal circuit testing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1923--1926}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537999}, doi = {10.1109/ISCAS.2010.5537999}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DimopoulosPVH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingZW10, author = {Wenpeng Ding and You Zhou and Feng Wu}, title = {Fast mode decision for {KTA} software}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {397--400}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537727}, doi = {10.1109/ISCAS.2010.5537727}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoL10, author = {Trang T. T. Do and Thinh M. Le}, title = {High throughput area-efficient SoC-based forward/inverse integer transforms for {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4113--4116}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537614}, doi = {10.1109/ISCAS.2010.5537614}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoddSG10, author = {Russell Dodd and Christian Schlegel and Vincent C. Gaudet}, title = {Implementation of enhanced {CDMA} utilizing low complexity joint detection with iterative processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1763--1766}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537600}, doi = {10.1109/ISCAS.2010.5537600}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoddSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DokaniaWTA10, author = {Rajeev K. Dokania and Xiao Y. Wang and Siddharth G. Tallur and Alyssa B. Apsel}, title = {A 19{\(\mu\)}W, 100kbps Impulse Radio transceiver for body-area-networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {325--328}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537817}, doi = {10.1109/ISCAS.2010.5537817}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DokaniaWTA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DolecekD10, author = {Gordana Jovanovic{-}Dolecek and Lara Dolecek}, title = {Novel multiplierless wide-band {CIC} compensator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2119--2122}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537066}, doi = {10.1109/ISCAS.2010.5537066}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DolecekD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongLLW10, author = {Yiping Dong and Zhen Lin and Yan Li and Takahiro Watanabe}, title = {High performance implementation of Neural Networks by networks on chip with 5-port 2-virtual channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {381--384}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537747}, doi = {10.1109/ISCAS.2010.5537747}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongLLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongM10, author = {Yunzhi Dong and Kenneth W. Martin}, title = {Analog front-end for a 3 Gb/s {POF} receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {197--200}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537977}, doi = {10.1109/ISCAS.2010.5537977}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoutrePTNW10, author = {Colin Doutre and Mahsa T. Pourazad and Alexis M. Tourapis and Panos Nasiopoulos and Rabab K. Ward}, title = {Correcting unsynchronized zoom in 3D video}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3244--3247}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537923}, doi = {10.1109/ISCAS.2010.5537923}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoutrePTNW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Dualibe10, author = {Carlos Dualibe}, title = {Novel MOSFET-only bandgap voltage reference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1639--1642}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537469}, doi = {10.1109/ISCAS.2010.5537469}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Dualibe10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanCG10, author = {Jingbo Duan and Degang Chen and Randall L. Geiger}, title = {Phase control of triangular stimulus generator for {ADC} {BIST}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1935--1938}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538029}, doi = {10.1109/ISCAS.2010.5538029}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanJC10, author = {Jingbo Duan and Le Jin and Degang Chen}, title = {{INL} based dynamic performance estimation for {ADC} {BIST}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3028--3031}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538005}, doi = {10.1109/ISCAS.2010.5538005}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DunwellC10, author = {Dustin Dunwell and Anthony Chan Carusone}, title = {A 15-Gb/s preamplifier with 10-dB gain control and 8-mV sensitivity in 65-nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {205--208}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537967}, doi = {10.1109/ISCAS.2010.5537967}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DunwellC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EbataOMHW10, author = {Tomohiko Ebata and Uichiro Omae and Kazuya Machida and Keita Hoshi and Takao Waho}, title = {Enhancement of comparator operation speed by using negative-differential-resistance devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3020--3023}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538003}, doi = {10.1109/ISCAS.2010.5538003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EbataOMHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EbrahimianEE10, author = {Mahsa Ebrahimian and Kamal El{-}Sankary and Ezz I. El{-}Masry}, title = {Enhanced {RF} to {DC} {CMOS} rectifier with capacitor-bootstrapped transistor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1655--1658}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537481}, doi = {10.1109/ISCAS.2010.5537481}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EbrahimianEE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EggersglussTD10, author = {Stephan Eggersgl{\"{u}}{\ss} and Daniel Tille and Rolf Drechsler}, title = {Efficient test generation with maximal crosstalk-induced noise using unconstrained aggressor excitation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {649--652}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537503}, doi = {10.1109/ISCAS.2010.5537503}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EggersglussTD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EghbaliJL10, author = {Amir Eghbali and H{\aa}kan Johansson and Per L{\"{o}}wenborg}, title = {Reconfigurable nonuniform transmultiplexers based on uniform filter banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2123--2126}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537067}, doi = {10.1109/ISCAS.2010.5537067}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EghbaliJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EkekonMM10, author = {Osman Kubilay Ekekon and Samed Maltabas and Martin Margala}, title = {Novel programmable built-in current-sensor for analog, digital and mixed-signal circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3545--3548}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537811}, doi = {10.1109/ISCAS.2010.5537811}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EkekonMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-GhanyEKI10, author = {Mohamed A. Abd El{-}Ghany and Magdy A. El{-}Moursy and Darek Korzec and Mohammed Ismail}, title = {Asynchronous {BFT} for low power networks on chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3240--3243}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537922}, doi = {10.1109/ISCAS.2010.5537922}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/El-GhanyEKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElkhenissiCDN10, author = {Kamel Elkhenissi and Maxim Cournoyer and Dominic Deslandes and Frederic Nabki}, title = {A transmitted-reference low-power reconfigurable ultra-wideband transmitter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {341--344}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537797}, doi = {10.1109/ISCAS.2010.5537797}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElkhenissiCDN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElmiligiMEG10, author = {Haytham Elmiligi and Ahmed A. Morgan and M. Watheq El{-}Kharashi and Fayez Gebali}, title = {Networks-on-chip topology optimization subject to power, delay, and reliability constraints}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2354--2357}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537194}, doi = {10.1109/ISCAS.2010.5537194}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElmiligiMEG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EmiraEA10, author = {Ahmed Emira and Hassan O. Elwan and Salwa Abdelaziz}, title = {{DC-DC} converter with ON-time control in pulse-skipping modulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2746--2749}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537025}, doi = {10.1109/ISCAS.2010.5537025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EmiraEA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ErbP10, author = {Stefan Erb and Wolfgang Pribyl}, title = {Design and performance considerations for an on-chip jitter analysis system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3969--3972}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537662}, doi = {10.1109/ISCAS.2010.5537662}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ErbP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Erokhin10, author = {Victor Erokhin}, title = {Organic memristors : Basic principles}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {5--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537145}, doi = {10.1109/ISCAS.2010.5537145}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Erokhin10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EthierSE10, author = {S{\'{e}}bastien Ethier and Mohamad Sawan and Mourad N. El{-}Gamal}, title = {A novel energy-efficient stimuli generator for very-high impedance intracortical microstimulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {961--964}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537386}, doi = {10.1109/ISCAS.2010.5537386}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EthierSE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FakhfakhL10, author = {Mourad Fakhfakh and Mourad Loulou}, title = {Live demonstration: {CASCADES.1:} {A} flow-graph-based symbolic analyzer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2782}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537003}, doi = {10.1109/ISCAS.2010.5537003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FakhfakhL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangA10, author = {Lu Fang and Oscar C. Au}, title = {Subpixel-based down-sampling via Min-Max Directional Error}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3641--3644}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537784}, doi = {10.1109/ISCAS.2010.5537784}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FangA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarabetMATLC10, author = {Cl{\'{e}}ment Farabet and Berin Martini and Polina Akselrod and Sel{\c{c}}uk Talay and Yann LeCun and Eugenio Culurciello}, title = {Hardware accelerated convolutional neural networks for synthetic vision systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {257--260}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537908}, doi = {10.1109/ISCAS.2010.5537908}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarabetMATLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarkhaniM10, author = {Farnoos Farrokhi Farkhani and Farah A. Mohammadi}, title = {Temperature and power measurement of modern dual core processor by infrared thermography}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1603--1606}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537442}, doi = {10.1109/ISCAS.2010.5537442}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarkhaniM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaustC10, author = {Mathias Faust and Chip{-}Hong Chang}, title = {Minimal Logic Depth adder tree optimization for Multiple Constant Multiplication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {457--460}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537658}, doi = {10.1109/ISCAS.2010.5537658}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FaustC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FazelC10, author = {Amin Fazel and Shantanu Chakrabartty}, title = {Sigma-delta learning for super-resolution source separation on high-density microphone arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {797--800}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537450}, doi = {10.1109/ISCAS.2010.5537450}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FazelC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FedericoJMA10, author = {Martin Di Federico and Pedro Juli{\'{a}}n and Pablo Sergio Mandolesi and Andreas G. Andreou}, title = {{PWL} cores for nonlinear array processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3312--3316}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537906}, doi = {10.1109/ISCAS.2010.5537906}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FedericoJMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengX10, author = {Wu{-}chun Feng and Shucai Xiao}, title = {To {GPU} synchronize or not {GPU} synchronize?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3801--3804}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537722}, doi = {10.1109/ISCAS.2010.5537722}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FernandesW10, author = {Jorge R. Fernandes and David D. Wentzloff}, title = {Recent advances in {IR-UWB} transceivers: An overview}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3284--3287}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537916}, doi = {10.1109/ISCAS.2010.5537916}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FernandesW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraPN10, author = {Pietro Maris Ferreira and Herv{\'{e}} Petit and Jean{-}Fran{\c{c}}ois Naviner}, title = {{AMS} and {RF} design for reliability methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3657--3660}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537771}, doi = {10.1109/ISCAS.2010.5537771}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraPN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FesquetSB10, author = {Laurent Fesquet and Gilles Sicard and Brigitte Bid{\'{e}}garay{-}Fesquet}, title = {Targeting ultra-low power consumption with non-uniform sampling and filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3585--3588}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537804}, doi = {10.1109/ISCAS.2010.5537804}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FesquetSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FigueiredoSGSE10, author = {Michael Figueiredo and Edinei Santin and Jo{\~{a}}o Goes and Rui Santos{-}Tavares and Guiomar Evans}, title = {Two-stage fully-differential inverter-based self-biased {CMOS} amplifier with high efficiency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2828--2831}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536985}, doi = {10.1109/ISCAS.2010.5536985}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FigueiredoSGSE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FilanovskyV10, author = {Igor M. Filanovsky and Chris J. M. Verhoeven}, title = {Magnetic {(RL-)} multivibrator using transconductance amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3393--3396}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537875}, doi = {10.1109/ISCAS.2010.5537875}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FilanovskyV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FitzgibbonK10, author = {Brian Fitzgibbon and Michael Peter Kennedy}, title = {Calculation of the cycle length in a {HK-MASH} {DDSM} with multilevel quantizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {245--248}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537917}, doi = {10.1109/ISCAS.2010.5537917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FitzgibbonK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FotopoulouTS10, author = {Eleni Fotopoulou and Dorina Thanou and Thanos Stouraitis}, title = {Comparison of time and frequency domain interpolation implementations for {MB-OFDM} {UWB} transmitters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2143--2146}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536948}, doi = {10.1109/ISCAS.2010.5536948}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FotopoulouTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fournaris10, author = {Apostolos P. Fournaris}, title = {Fault and simple power attack resistant {RSA} using Montgomery modular multiplication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1875--1878}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537879}, doi = {10.1109/ISCAS.2010.5537879}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fournaris10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreebornME10, author = {Todd J. Freeborn and Brent Maundy and Ahmed S. Elwakil}, title = {Towards the realization of fractional step filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1037--1040}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537360}, doi = {10.1109/ISCAS.2010.5537360}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreebornME10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FritzinSJA10, author = {Jonas Fritzin and Timmy Sundstr{\"{o}}m and Ted Johansson and Atila Alvandpour}, title = {Reliability study of a low-voltage Class-E power amplifier in 130nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1907--1910}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537959}, doi = {10.1109/ISCAS.2010.5537959}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FritzinSJA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrustaciPLC10, author = {Fabio Frustaci and Stefania Perri and Marco Lanuzza and Pasquale Corsonello}, title = {A new low-power high-speed single-clock-cycle binary comparator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {317--320}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537827}, doi = {10.1109/ISCAS.2010.5537827}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrustaciPLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuZ10, author = {Jing Fu and Wei{-}Ping Zhu}, title = {A simplified structure of second-order volterra filters for nonlinear acoustic echo cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2366--2369}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537178}, doi = {10.1109/ISCAS.2010.5537178}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuZ10a, author = {Jingjing Fu and Bing Zeng}, title = {Decoding of directional DCT-coded images: {A} total variational approach with directionality}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3040--3043}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537991}, doi = {10.1109/ISCAS.2010.5537991}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuZ10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaberAALE10, author = {Wagdy M. Gaber and Mootaz Allam and Hassan Aboushady and Marie{-}Minerve Lou{\"{e}}rat and El{-}Sayed Eid}, title = {Systematic design of continuous-time {\(\Sigma\)}{\(\Delta\)} modulator with VCO-based quantizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {29--32}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537115}, doi = {10.1109/ISCAS.2010.5537115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaberAALE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalanPRCLL10, author = {Juan Antonio G{\'{o}}mez Gal{\'{a}}n and Manuel Pedro and Carlos Rubia{-}Marcos and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Clara Isabel Luj{\'{a}}n{-}Mart{\'{\i}}nez and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {A low-voltage, high linear programmable triode transconductor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {221--224}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537947}, doi = {10.1109/ISCAS.2010.5537947}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalanPRCLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Galias10, author = {Zbigniew Galias}, title = {Basins of attraction for periodic solutions of discretized sliding mode control systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {693--696}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537489}, doi = {10.1109/ISCAS.2010.5537489}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Galias10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GangopadhyaySWA10, author = {Daibashish Gangopadhyay and Sudip Shekhar and Jeffrey S. Walling and David J. Allstot}, title = {A 1.6 mW 5.4 GHz transformer-feedback gm-boosted current-reuse {LNA} in 0.18/{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1635--1638}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537466}, doi = {10.1109/ISCAS.2010.5537466}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GangopadhyaySWA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarakouiKNV10, author = {Seyed Kasra Garakoui and Eric A. M. Klumperink and Bram Nauta and Frank E. van Vliet}, title = {Time delay circuits: {A} quality criterion for delay variations versus frequency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4281--4284}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537554}, doi = {10.1109/ISCAS.2010.5537554}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GarakouiKNV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Garcia-SanchezR10, author = {J. Gerardo Garc{\'{\i}}a{-}S{\'{a}}nchez and Jos{\'{e}} M. de la Rosa}, title = {Multirate hybrid {CT/DT} cascade {\(\Sigma\)}{\(\Delta\)} modulators with decreasing {OSR} of back-end {DT} stages}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {33--36}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537116}, doi = {10.1109/ISCAS.2010.5537116}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Garcia-SanchezR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Garcia-TormoPABBK10, author = {Albert Garcia{-}Tormo and Alberto Poveda and Eduard Alarc{\'{o}}n and Henk Jan Bergveld and Berry Buter and Ravi Karadi}, title = {An enhanced switching policy for buck-derived multi-level switching power amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3196--3199}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537945}, doi = {10.1109/ISCAS.2010.5537945}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Garcia-TormoPABBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Garcia10, author = {Norberto Garcia}, title = {Periodic steady-state solutions of nonlinear circuits based on a differentiation matrix}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {141--144}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536958}, doi = {10.1109/ISCAS.2010.5536958}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Garcia10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarimellaRF10, author = {Annajirao Garimella and M. Wasequr Rashid and Paul M. Furth}, title = {Single Miller compensation using inverting current buffer for multi-stage amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1579--1582}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537424}, doi = {10.1109/ISCAS.2010.5537424}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GarimellaRF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarofaloCCNPS10, author = {Valeria Garofalo and Marino Coppola and Davide De Caro and Ettore Napoli and Nicola Petra and Antonio G. M. Strollo}, title = {A novel truncated squarer with linear compensation function}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4157--4160}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537591}, doi = {10.1109/ISCAS.2010.5537591}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GarofaloCCNPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GbolagadeCSC10, author = {Kazeem Alagbe Gbolagade and Ricardo Chaves and Leonel Sousa and Sorin Dan Cotofana}, title = {An improved {RNS} reverse converter for the \{2\({}^{\mbox{2n+1}}\)-1, 2\({}^{\mbox{n}}\), 2\({}^{\mbox{n}}\)-1\} moduli set}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2103--2106}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537062}, doi = {10.1109/ISCAS.2010.5537062}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GbolagadeCSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GengLYZJW10, author = {Tongsheng Geng and Leibo Liu and Shouyi Yin and Min Zhu and Wen Jia and Shaojun Wei}, title = {Parallel implementation of computing-intensive decoding algorithms of {H.264} on reconfigurable SoC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1153--1156}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537314}, doi = {10.1109/ISCAS.2010.5537314}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GengLYZJW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GerosaSBVN10, author = {Andrea Gerosa and Silvia Sold{\`{a}} and Andrea Bevilacqua and Daniele Vogrig and Andrea Neviani}, title = {A digitally programmable ring oscillator in the {UWB} range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1101--1104}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537336}, doi = {10.1109/ISCAS.2010.5537336}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GerosaSBVN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GerritsDZDVLF10, author = {John F. M. Gerrits and Mina Danesh and Yi Zhao and Yunzhi Dong and Gerrit van Veenendaal and John R. Long and John R. Farserotu}, title = {System and circuit considerations for low-complexity constant-envelope {FM-UWB}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3300--3303}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537903}, doi = {10.1109/ISCAS.2010.5537903}, timestamp = {Fri, 18 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GerritsDZDVLF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhanyEKI10, author = {Mohamed A. Abd El{-}Ghany and Magdy A. El{-}Moursy and Darek Korzec and Mohammed Ismail}, title = {Power characteristics of Networks on Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3721--3724}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537753}, doi = {10.1109/ISCAS.2010.5537753}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GhanyEKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhasemazarPP10, author = {Mohammad Ghasemazar and Ehsan Pakbaznia and Massoud Pedram}, title = {Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and {DVFS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {49--52}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537096}, doi = {10.1109/ISCAS.2010.5537096}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhasemazarPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhiurcauRB10, author = {Marius Vasile Ghiurcau and Corneliu Rusu and Radu Ciprian Bilcu}, title = {A modified {TESPAR} algorithm for wildlife sound classification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2370--2373}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537179}, doi = {10.1109/ISCAS.2010.5537179}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhiurcauRB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GilreathJH10, author = {Leland Gilreath and Vipul Jam and Payam Heydan}, title = {A W-band {LNA} in 0.18-{\(\mu\)}m SiGe BiCMOS}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {753--756}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537464}, doi = {10.1109/ISCAS.2010.5537464}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GilreathJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GinesDARP10, author = {Antonio J. Gin{\'{e}}s and Ricardo Dold{\'{a}}n and Manuel J. Barragan Asian and Adoraci{\'{o}}n Rueda and Eduardo J. Peral{\'{\i}}as}, title = {On-chip biased voltage-controlled oscillator with temperature compensation of the oscillation amplitude for robust {I/Q} generation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1979--1982}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537050}, doi = {10.1109/ISCAS.2010.5537050}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GinesDARP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gomez-RodriguezMRLJ10, author = {Francisco Gomez{-}Rodriguez and Lourdes Miro{-}Amarante and Fernando D{\'{\i}}az{-}del{-}R{\'{\i}}o and Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez}, title = {Live demonstration: Real time objects tracking using a bio-inspired processing cascade architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1398}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537274}, doi = {10.1109/ISCAS.2010.5537274}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Gomez-RodriguezMRLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gomez-RodriguezMRLJ10a, author = {Francisco Gomez{-}Rodriguez and Lourdes Miro{-}Amarante and Fernando D{\'{\i}}az{-}del{-}R{\'{\i}}o and Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez}, title = {Real time multiple objects tracking based on a bio-inspired processing cascade architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1399--1402}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537277}, doi = {10.1109/ISCAS.2010.5537277}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Gomez-RodriguezMRLJ10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoodwinW10, author = {John Goodwin and Peter R. Wilson}, title = {Power analysis detectable watermarks for protecting intellectual property}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2342--2345}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537191}, doi = {10.1109/ISCAS.2010.5537191}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GoodwinW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GopalB10, author = {Hande Vinayak Gopal and Maryam Shojaei Baghini}, title = {An ultra low-energy {DAC} for successive approximation ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3349--3352}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537881}, doi = {10.1109/ISCAS.2010.5537881}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GopalB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GouveiaKH10, author = {Luiz Carlos Gouveia and Thomas Jacob Koickal and Alister Hamilton}, title = {Computation in communication: Spike event coding for programmable analog arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {857--860}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537425}, doi = {10.1109/ISCAS.2010.5537425}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GouveiaKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GreenwaldMTTC10, author = {Elliot Greenwald and Mohsen Mollazadeh and Nitish V. Thakor and Wei Tang and Eugenio Culurciello}, title = {A {VLSI} neural monitoring system with ultra-wideband telemetry for awake behaving subjects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1193--1196}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537299}, doi = {10.1109/ISCAS.2010.5537299}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GreenwaldMTTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GruevP10, author = {Viktor Gruev and Rob Perkins}, title = {A 1 MPixel {CCD} image sensor with aluminum nanowire polarization filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {629--632}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537513}, doi = {10.1109/ISCAS.2010.5537513}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GruevP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrzechcaRG10, author = {Damian Grzechca and Jerzy Rutkowski and Tomasz Golonek}, title = {{PCA} application to frequency reduction for fault diagnosis in analog and mixed electronic circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1919--1922}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537989}, doi = {10.1109/ISCAS.2010.5537989}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GrzechcaRG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuLC10, author = {Ming Gu and Yang Liu and Shantanu Chakrabartty}, title = {{FAST:} {A} simulation framework for solving large-scale probabilistic inverse problems in nano-biomolecular circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3160--3163}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537953}, doi = {10.1109/ISCAS.2010.5537953}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuimaraesLBC10, author = {Fellipe dos Santos Guimaraes and Lisandro Lovisolo and Manuel Blanco{-}Velasco and Fernando Cruz{-}Rold{\'{a}}n}, title = {On the compression of {ECG} records employing triangular elements and analysis-by-synthesis modeling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3084--3087}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537985}, doi = {10.1109/ISCAS.2010.5537985}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuimaraesLBC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GulerE10, author = {{\"{U}}lk{\"{u}}han G{\"{u}}ler and Salih Erg{\"{u}}n}, title = {A high speed {IC} Random Number Generator based on phase noise in ring oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {425--428}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537698}, doi = {10.1109/ISCAS.2010.5537698}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GulerE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoDJ10, author = {Rui Guo and Linda DeBrunner and Kenny Johansson}, title = {Truncated {MCM} using pattern modification for {FIR} filter implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3881--3884}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537691}, doi = {10.1109/ISCAS.2010.5537691}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoDJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoGC10, author = {Linfei Guo and Tong Ge and Joseph Sylvester Chang}, title = {A micropower comparator for high power-efficiency hearing aid class {D} amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1248--1251}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537278}, doi = {10.1109/ISCAS.2010.5537278}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoH10, author = {Jing{-}Ming Guo and Jyun{-}Hao Huang}, title = {Data hiding in halftone images with secret-shared dot diffusion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1133--1136}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537324}, doi = {10.1109/ISCAS.2010.5537324}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoL10, author = {Jing{-}Ming Guo and Yun{-}Fu Liu}, title = {Improved Block Truncation Coding using Optimized Dot Diffusion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2634--2637}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537082}, doi = {10.1109/ISCAS.2010.5537082}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaGA10, author = {Subhanshu Gupta and Daibashish Gangopadhyay and David J. Allstot}, title = {A Mode-I/Mode-III {UWB} {LNA} with programmable gain and 20 dB {WLAN} blocker rejection in 130nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1093--1096}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537339}, doi = {10.1109/ISCAS.2010.5537339}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaGA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hafliger10, author = {Philipp H{\"{a}}fliger}, title = {Live demonstration: Inductive power and telemetry for micro-implant}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2775}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537016}, doi = {10.1109/ISCAS.2010.5537016}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hafliger10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaghdadA10, author = {Kian Haghdad and Mohab Anis}, title = {Scaling analysis of yield optimization considering supply and threshold voltage variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3665--3668}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537773}, doi = {10.1109/ISCAS.2010.5537773}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaghdadA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaghiD10, author = {Mahta Haghi and Jeff Draper}, title = {A single-event upset hardening technique for high speed {MOS} Current Mode Logic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4137--4140}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537603}, doi = {10.1109/ISCAS.2010.5537603}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaghiD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HallWMG10, author = {Drew A. Hall and Shan X. Wang and Boris Murmann and Richard S. Gaster}, title = {Portable biomarker detection with magnetic nanotags}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1779--1782}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537639}, doi = {10.1109/ISCAS.2010.5537639}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HallWMG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HallapuroRULG10, author = {Antti Hallapuro and Dmytro Rusanovskyy and Kemal Ugur and Jani Lainema and Moncef Gabbouj}, title = {Efficient SIMD-based implementation of adaptive filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4193--4196}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537583}, doi = {10.1109/ISCAS.2010.5537583}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HallapuroRULG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamiltonTJS10, author = {Tara Julia Hamilton and Jonathan Tapson and Craig T. Jin and Andr{\'{e}} van Schaik}, title = {Investigating the implications of outer hair cell connectivity using a silicon cochlea}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3817--3820}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537726}, doi = {10.1109/ISCAS.2010.5537726}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HamiltonTJS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamoucheA10, author = {Lahcen Hamouche and Bruno Allard}, title = {{SRAM} portless bitcell and current-mode reading}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3865--3868}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537704}, doi = {10.1109/ISCAS.2010.5537704}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamoucheA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamptonA10, author = {Peter J. Hampton and Pan Agathoklis}, title = {Comparison of Haar wavelet-based and Poisson-based numerical integration techniques}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1623--1626}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537457}, doi = {10.1109/ISCAS.2010.5537457}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamptonA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanCJ10, author = {Jiho Han and Hankyu Chi and Deog{-}Kyoon Jeong}, title = {A clock synchronization system with {IEEE} 1588-2008 adapters over existing Gigabit Ethernet equipment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {193--196}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537988}, doi = {10.1109/ISCAS.2010.5537988}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanKLPK10, author = {Dong{-}Ok Han and Jeong{-}Hoon Kim and Kwang{-}Du Lee and Sang{-}Gyu Park and Eung{-}Ju Kim}, title = {A fully integrated dual band transceiver for {IEEE} 802.11a/b/g/j/n {WLAN} applications using hybrid up/down conversion architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2055--2058}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537189}, doi = {10.1109/ISCAS.2010.5537189}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanKLPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Harb10, author = {Adnan Harb}, title = {A rail-to-rail full clock fully differential rectifier and sample-and-hold amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1571--1574}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537418}, doi = {10.1109/ISCAS.2010.5537418}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Harb10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarrisF10, author = {John G. Harris and Yukun Feng}, title = {Mean firing rate spike representations for speech recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {517--520}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537579}, doi = {10.1109/ISCAS.2010.5537579}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarrisF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarrisonKLFCG10, author = {Reid R. Harrison and Ryan J. Kier and Anthony M. Leonardo and Haleh Fotowat and Raymond Chan and Fabrizio Gabbiani}, title = {A wireless neural/EMG telemetry system for freely moving insects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2940--2943}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538034}, doi = {10.1109/ISCAS.2010.5538034}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarrisonKLFCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hasan10, author = {Mohammed A. Hasan}, title = {On computing multi-dimensional extreme eigen and singular subspaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2570--2573}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537100}, doi = {10.1109/ISCAS.2010.5537100}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hasan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hasan10a, author = {Mohammed A. Hasan}, title = {Low rank approximation of a set of matrices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3517--3520}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537821}, doi = {10.1109/ISCAS.2010.5537821}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hasan10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasanG10, author = {Ayaz Hasan and Stefano Gregori}, title = {Design of a step-up dc-dc converter with on-chip coupled inductors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2730--2733}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537021}, doi = {10.1109/ISCAS.2010.5537021}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasanG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasibSS10, author = {Omar Al{-}Terkawi Hasib and Mohamad Sawan and Yvon Savaria}, title = {Fully integrated ultra-low-power asynchronously driven step-down {DC-DC} converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {877--880}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537420}, doi = {10.1109/ISCAS.2010.5537420}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasibSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HassanB10, author = {Mohamed N. Hassan and Mohammed Benaissa}, title = {A scalable hardware/software co-design for elliptic curve cryptography on PicoBlaze microcontroller}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2111--2114}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537064}, doi = {10.1109/ISCAS.2010.5537064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HassanB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HassaniAKZ10, author = {Farid Hassani and Shahrokh Ahmadi and Can E. Korman and Mona E. Zaghloul}, title = {A SAW-based liquid sensor with identification for wireless applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2023--2026}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537163}, doi = {10.1109/ISCAS.2010.5537163}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HassaniAKZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/He10, author = {Di He}, title = {Breaking the {SNR} wall of spectrum sensing in cognitive radio by using the chaotic stochastic resonance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {61--64}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537075}, doi = {10.1109/ISCAS.2010.5537075}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/He10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/He10a, author = {Di He}, title = {Optimal stochastic resonance under low signal-to-noise ratio circumstances}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1352--1355}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536942}, doi = {10.1109/ISCAS.2010.5536942}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/He10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeCG10, author = {Jun He and Degang Chen and Randall L. Geiger}, title = {Detailed analyses in prediction of capacitive-mismatch-induced offset in dynamic comparators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2390--2393}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537184}, doi = {10.1109/ISCAS.2010.5537184}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeG10, author = {Yifeng He and Ling Guan}, title = {Streaming capacity in multi-channel {P2P} VoD systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1819--1822}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537739}, doi = {10.1109/ISCAS.2010.5537739}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeMWN10, author = {Lanlan He and Shaodan Ma and Yik{-}Chung Wu and Tung{-}Sang Ng}, title = {Semi-blind CFO, channel estimation and data detection for {OFDM} systems over doubly selective channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1887--1890}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537909}, doi = {10.1109/ISCAS.2010.5537909}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeMWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeSLW10, author = {Kai He and Jin Sha and Li Li and Zhongfeng Wang}, title = {Low power decoder design for {QC-LDPC} codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3937--3940}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537671}, doi = {10.1109/ISCAS.2010.5537671}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeSLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeWL10, author = {Jinjin He and Zhongfeng Wang and Huaping Liu}, title = {Memory-reduced {MAP} decoding for double-binary convolutional Turbo code}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {469--472}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537637}, doi = {10.1109/ISCAS.2010.5537637}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeZ10, author = {Rui He and Lihong Zhang}, title = {Symmetry-aware analog layout placement design handling substrate-sharing constraints}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2398--2401}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537167}, doi = {10.1109/ISCAS.2010.5537167}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeraguBE10, author = {Aravind Heragu and Viswanathan Balasubramanian and Christian C. Enz}, title = {A multiband concurrent sampling based {RF} front end for biotelemetry applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2948--2951}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538036}, doi = {10.1109/ISCAS.2010.5538036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeraguBE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HermansS10, author = {Michiel Hermans and Benjamin Schrauwen}, title = {One step Backpropagation Through Time for learning input mapping in reservoir computing applied to speech recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {521--524}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537568}, doi = {10.1109/ISCAS.2010.5537568}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HermansS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezFPP10, author = {Luis Hern{\'{a}}ndez and Jorge Fern{\'{a}}ndez and Enrique Prefasi and Susana Pat{\'{o}}n}, title = {A time encoded decimation filter for noise shaped power DACs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {289--292}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537868}, doi = {10.1109/ISCAS.2010.5537868}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HernandezFPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezW10, author = {Luis Hern{\'{a}}ndez and Andreas Wiesbauer}, title = {Exploiting time resolution in nanometre {CMOS} data converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1069--1072}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537348}, doi = {10.1109/ISCAS.2010.5537348}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HernandezW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeuvelLB10, author = {Johan H. C. van den Heuvel and Jean{-}Paul M. G. Linnartz and Peter G. M. Baltus}, title = {Optimizing throughput for limited receiver circuit power}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1013--1016}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537369}, doi = {10.1109/ISCAS.2010.5537369}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeuvelLB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HikawaN10, author = {Hiroomi Hikawa and Taketo Namba}, title = {Phase amplitude converter with conditional shift operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3008--3011}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538000}, doi = {10.1109/ISCAS.2010.5538000}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HikawaN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinagoK10, author = {Youhei Hinago and Hirotaka Koizumi}, title = {A switched-capacitor inverter using series/parallel conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3188--3191}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537943}, doi = {10.1109/ISCAS.2010.5537943}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinagoK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hiskens10, author = {Ian A. Hiskens}, title = {Trajectory approximation near the stability boundary}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {533--536}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537559}, doi = {10.1109/ISCAS.2010.5537559}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hiskens10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoL10, author = {Han{-}Chang Ho and Sheau{-}Fang Lei}, title = {Fast Huffman decoding algorithm by multiple-bit length search scheme for {MPEG-2/4} {AAC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2844--2847}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536972}, doi = {10.1109/ISCAS.2010.5536972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoLL10, author = {Chen{-}Yen Ho and Yung{-}Yu Lin and Tsung{-}Hsien Lin}, title = {Dual-mode Continuous-Time Quadrature Bandpass {\(\Delta\)}{\(\Sigma\)} modulator with Pseudo-random Quadrature mismatch shaping algorithm for Low-IF receiver application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {25--28}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537126}, doi = {10.1109/ISCAS.2010.5537126}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HofstatterSP10, author = {Michael Hofst{\"{a}}tter and Peter Sch{\"{o}}n and Christoph Posch}, title = {A SPARC-compatible general purpose address-event processor with 20-bit l0ns-resolution asynchronous sensor data interface in 0.18{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4229--4232}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537575}, doi = {10.1109/ISCAS.2010.5537575}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HofstatterSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HokmabadiL10, author = {S. Moslem Hokmabadi and Reza Lotfi}, title = {Linearity enhancement in Digital-to-Analog Converters using a modified decoding architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3361--3364}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537884}, doi = {10.1109/ISCAS.2010.5537884}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HokmabadiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoppnerSTW10, author = {Sebastian H{\"{o}}ppner and Ren{\'{e}} Sch{\"{u}}ffny and Zuo{-}Min Tsai and Huei Wang}, title = {Wide swing signal amplification by {SC} voltage doubling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {761--764}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537461}, doi = {10.1109/ISCAS.2010.5537461}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoppnerSTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HorngTC10, author = {Ying{-}Rung Horng and Yu{-}Cheng Tseng and Tian{-}Sheuan Chang}, title = {Stereoscopic images generation with directional Gaussian filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2650--2653}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537052}, doi = {10.1109/ISCAS.2010.5537052}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HorngTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HosseinabadyN10, author = {Mohammad Hosseinabady and Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez}, title = {Effective modelling of large NoCs using SystemC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {161--164}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538028}, doi = {10.1109/ISCAS.2010.5538028}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HosseinabadyN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HosseingholiAS10, author = {Mahdieh Hosseingholi and Ali Sharif Ahmadian and Hamid Sarbazi{-}Azad}, title = {Improving the performance of deadlock recovery based routing in irregular mesh NoCs using added mesh-like links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3236--3239}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537921}, doi = {10.1109/ISCAS.2010.5537921}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HosseingholiAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HouG10, author = {Wen Hou and Michael M. Green}, title = {Use of a continuation method for analyzing startup circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1527--1530}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537385}, doi = {10.1109/ISCAS.2010.5537385}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HouG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehCCCY10, author = {Jun{-}Wei Hsieh and Sin{-}Yu Chen and Chi{-}Hung Chuang and Miao{-}Fen Chueh and Shiaw{-}Shian Yu}, title = {Occluded human body segmentation and its application to behavior analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3433--3436}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537851}, doi = {10.1109/ISCAS.2010.5537851}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehCCCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehH10, author = {Wei{-}Chih Hsieh and Wei Hwang}, title = {Low quiescent current variable output digital controlled voltage regulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {609--612}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537518}, doi = {10.1109/ISCAS.2010.5537518}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehLYLC10, author = {Chih{-}Hsien Hsieh and Tsorng{-}Juu Liang and Lung{-}Sheng Yang and Ray{-}Lee Lin and Kai{-}Hui Chen}, title = {Analysis and implementation of a {DC-DC} step-down converter for low output-voltage and high output-current applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3697--3700}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537764}, doi = {10.1109/ISCAS.2010.5537764}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehLYLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuDNSWA10, author = {Heng{-}Chia Hsu and Kaushik Dasgupta and Nathan M. Neihart and Sudip Shekhar and Jeffrey S. Walling and David J. Allstot}, title = {U-shaped slow-wave transmission lines in 0.18{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1296--1299}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537260}, doi = {10.1109/ISCAS.2010.5537260}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuDNSWA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLCWHCKH10, author = {Yu{-}Hao Hsu and Yang{-}Syu Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Fanta Chen and Min{-}Sheng Kao and Yarsun Hsu}, title = {A 32Gbps low propagation delay 4{\texttimes}4 switch {IC} for feedback-based system in 0.13{\(\mu\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {581--584}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537531}, doi = {10.1109/ISCAS.2010.5537531}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLCWHCKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLH10, author = {Heng{-}Ming Hsu and Tai{-}Hsing Lee and Jhao{-}Siang Huang}, title = {Ultra-wide-band low noise amplifier using inductive feedback in 90-nm {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2470--2473}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537143}, doi = {10.1109/ISCAS.2010.5537143}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuTC10, author = {Po{-}Hsiung Hsu and Yu{-}Cheng Tseng and Tian{-}Sheuan Chang}, title = {Low memory cost bilateral filtering using stripe-based sliding integral histogram}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3120--3123}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537960}, doi = {10.1109/ISCAS.2010.5537960}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuHS10, author = {Kai Hu and Frank Herzel and Christoph Scheytt}, title = {Fully integrated 9 GHz {CMOS} {VCO} with very low phase noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1899--1902}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537939}, doi = {10.1109/ISCAS.2010.5537939}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuHYRSI10, author = {John Hu and Mark Haffner and Samantha Yoder and Gursharan Reehal and Mark Scott and Mohammed Ismail}, title = {An industry-driven laboratory development for mixed-signal {IC} test education}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {85--88}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537028}, doi = {10.1109/ISCAS.2010.5537028}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuHYRSI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangBXCZ10, author = {Shuangqu Huang and Dan Bao and Bo Xiang and Yun Chen and Xiaoyang Zeng}, title = {A flexible {LDPC} decoder architecture supporting two decoding algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3929--3932}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537686}, doi = {10.1109/ISCAS.2010.5537686}, timestamp = {Thu, 24 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangBXCZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC10, author = {Chenling Huang and Shantanu Chakrabartty}, title = {A temperature compensated array of {CMOS} floating-gate analog memory}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {109--112}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536998}, doi = {10.1109/ISCAS.2010.5536998}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangC10a, author = {Xinping Huang and Mario Caron}, title = {A novel type-based group delay equalization technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2836--2839}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536987}, doi = {10.1109/ISCAS.2010.5536987}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangC10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangG10, author = {Chen{-}Wei Huang and Ping Gui}, title = {A 250MHz-to-4GHz {\(\Delta\)}-{\(\Sigma\)} fractional-N frequency synthesizer with adjustable duty cycle}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1839--1842}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537789}, doi = {10.1109/ISCAS.2010.5537789}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLBM10, author = {Ruimin Huang and Niklas Lotze and Markus Becker and Yiannos Manoli}, title = {A multiplierless structure for direct digital {IF} signal synthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2530--2533}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537124}, doi = {10.1109/ISCAS.2010.5537124}, timestamp = {Wed, 19 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLDL10, author = {Xiaozheng Huang and Jie Liang and Hongfei Du and Jiangchuan Liu}, title = {Lloyd-Max quantization-based priority index assignment for the scalable extension of {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {117--120}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536988}, doi = {10.1109/ISCAS.2010.5536988}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLDL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLI10, author = {Zhangcai Huang and Qin Luo and Yasuaki Inoue}, title = {A {CMOS} Sub-l-V nanopower current and voltage reference with leakage compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4069--4072}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537636}, doi = {10.1109/ISCAS.2010.5537636}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangOC10, author = {Yi{-}Hsin Huang and Tao{-}Sheng Ou and Homer H. Chen}, title = {Perceptual-based coding mode decision}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {393--396}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537738}, doi = {10.1109/ISCAS.2010.5537738}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangOC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSW10, author = {Libo Huang and Li Shen and Zhiying Wang}, title = {Permutation optimization for {SIMD} devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3849--3852}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537700}, doi = {10.1109/ISCAS.2010.5537700}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangT10, author = {Zheng{-}Yu Huang and Pei{-}Yun Tsai}, title = {High-throughput {QR} decomposition for {MIMO} detection in {OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1492--1495}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537358}, doi = {10.1109/ISCAS.2010.5537358}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangYC10, author = {Shih{-}Ming Huang and Jar{-}Ferr Yang and Shih{-}Cheng Chang}, title = {Robust face recognition using subface hidden Markov models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1547--1550}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537400}, doi = {10.1109/ISCAS.2010.5537400}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangZLLR10, author = {Deping Huang and Jin Zhou and Wei Li and Ning Li and Junyan Ren}, title = {A fractional-N frequency synthesizer for cellular and short range multi-standard wireless receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2071--2074}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537238}, doi = {10.1109/ISCAS.2010.5537238}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangZLLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuffenusPAGRS10, author = {Alexandre Huffenus and Ga{\"{e}}l Pillonnet and Nacer Abouchi and Fr{\'{e}}d{\'{e}}ric Goutti and Vincent Rabary and C{\'{e}}cile Specq}, title = {A phase-shift self-oscillating stereo class-D amplifier for battery-powered applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {769--772}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537458}, doi = {10.1109/ISCAS.2010.5537458}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuffenusPAGRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuiD10, author = {Zhi{-}Hui Kong and Anh{-}Tuan Do}, title = {A 16Kb 10T-SRAM with 4x read-power reduction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3485--3488}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537830}, doi = {10.1109/ISCAS.2010.5537830}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuiD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungCCWLL10, author = {Shao{-}Hang Hung and Che{-}Jui Chang and Chih{-}Feng Chao and I{-}Jan Wang and Chin{-}Teng Lin and Bor{-}Shyh Lin}, title = {Development of real-time wireless brain computer interface for drowsiness detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1380--1383}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537256}, doi = {10.1109/ISCAS.2010.5537256}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HungCCWLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungYTH10, author = {Jui{-}Hung Hung and Yao{-}Kai Yeh and Yung{-}Sheng Tseng and Tsai{-}Ming Hsieh}, title = {Technology remapping for engineering change with wirelength consideration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2602--2605}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537091}, doi = {10.1109/ISCAS.2010.5537091}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungYTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HusseinI10, author = {Ezz El{-}Din O. Hussein and Yehea I. Ismail}, title = {A novel variation insensitive clock distribution methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1743--1746}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537550}, doi = {10.1109/ISCAS.2010.5537550}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HusseinI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangC10, author = {Yin{-}Tsung Hwang and Wei{-}Da Chen}, title = {{MMSE-QR} factorization systolic array design for applications in {MIMO} signal detections}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4181--4184}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537597}, doi = {10.1109/ISCAS.2010.5537597}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangK10, author = {Kyu{-}Dong Hwang and Lee{-}Sup Kim}, title = {An area efficient asynchronous gated ring oscillator {TDC} with minimum {GRO} stages}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3973--3976}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537663}, doi = {10.1109/ISCAS.2010.5537663}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangST10, author = {Chien{-}Feng Hwang and Kuan{-}Nian Su and Chun{-}Jen Tsai}, title = {Low-cost class caching mechanism for Java SoC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3753--3756}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537744}, doi = {10.1109/ISCAS.2010.5537744}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IkutaUN10, author = {Chihiro Ikuta and Yoko Uwate and Yoshifumi Nishio}, title = {Chaos glial network connected to Multi-Layer Perceptron for Solving Two-Spiral Problem}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1360--1363}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537060}, doi = {10.1109/ISCAS.2010.5537060}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IkutaUN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImamuraH10, author = {Kousuke Imamura and Hideo Hashimoto}, title = {An adaptive speed function of level set method for moving object extraction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3637--3640}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537783}, doi = {10.1109/ISCAS.2010.5537783}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ImamuraH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Inan10, author = {Aziz S. Inan}, title = {What did Gustav Robert Kirchhoff stumble upon 150 years ago?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {73--76}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537049}, doi = {10.1109/ISCAS.2010.5537049}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Inan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IndiveriSC10, author = {Giacomo Indiveri and Fabio Stefanini and Elisabetta Chicca}, title = {Spike-based learning with a generalized integrate and fire silicon neuron}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1951--1954}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536980}, doi = {10.1109/ISCAS.2010.5536980}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IndiveriSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsaMD10, author = {Erkan Nevzat Isa and Dominique Morche and Catherine Dehollain}, title = {A novel multiplying {D/A} converter stage with low sensitivity to amplifier gain}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4065--4068}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537635}, doi = {10.1109/ISCAS.2010.5537635}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsaMD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IslamAHM10, author = {Md. Rabiul Islam and Shamim Ahmad and Keikichi Hirose and Md. Khademul Islam Molla}, title = {Data adaptive analysis of {ECG} signals for cardiovascular disease diagnosis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2243--2246}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537212}, doi = {10.1109/ISCAS.2010.5537212}, timestamp = {Wed, 07 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IslamAHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailSMEB10, author = {Yasser Ismail and Mohsen Shaaban and Jason McNeely and Mohamed A. Elgamel and Magdy A. Bayoumi}, title = {An efficient area manipulation architecture for frequency domain encoding process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2638--2641}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537083}, doi = {10.1109/ISCAS.2010.5537083}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsmailSMEB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItohY10, author = {Kei Itoh and Toshinori Yamada}, title = {Faster adaptive parallel diagnosis in the presence of intermittent faults (extended abstract)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1336--1339}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537245}, doi = {10.1109/ISCAS.2010.5537245}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItohY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JabbourBNL10, author = {Chadi Jabbour and Ali Beydoun and Van Tam Nguyen and Patrick Loumeau}, title = {A new interpolation technique for {TI} {\(\Sigma\)}{\(\Delta\)} {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4013--4016}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537656}, doi = {10.1109/ISCAS.2010.5537656}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JabbourBNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JabbourNL10, author = {Chadi Jabbour and Van Tam Nguyen and Patrick Loumeau}, title = {A technique to reduce the impact of hysterisys in {\(\Sigma\)}{\(\Delta\)} analog to digital converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4017--4020}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537640}, doi = {10.1109/ISCAS.2010.5537640}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JabbourNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JaberM10, author = {Marwan A. Jaber and Daniel Massicotte}, title = {A novel approach for {FFT} data reordering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1615--1618}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537451}, doi = {10.1109/ISCAS.2010.5537451}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JaberM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JafriBJ10, author = {Atif Raza Jafri and Amer Baghdadi and Michel J{\'{e}}z{\'{e}}quel}, title = {Rapid design and prototyping of universal soft demapper}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3769--3772}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537731}, doi = {10.1109/ISCAS.2010.5537731}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JafriBJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakushokasF10, author = {Renatas Jakushokas and Eby G. Friedman}, title = {Globally integrated power and clock distribution network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1751--1754}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537570}, doi = {10.1109/ISCAS.2010.5537570}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JakushokasF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakushokasF10a, author = {Renatas Jakushokas and Eby G. Friedman}, title = {Methodology for multi-layer interdigitated power and ground network design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3208--3211}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537931}, doi = {10.1109/ISCAS.2010.5537931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JakushokasF10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakushokasSFSHR10, author = {Renatas Jakushokas and Emre Salman and Eby G. Friedman and Radu M. Secareanu and Olin L. Hartin and Cynthia L. Recker}, title = {Compact substrate models for efficient noise coupling and signal isolation analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2346--2349}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537192}, doi = {10.1109/ISCAS.2010.5537192}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JakushokasSFSHR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JalierLSBT10, author = {Camille Jalier and Didier Lattard and Gilles Sassatelli and Pascal Benoit and Lionel Torres}, title = {Flexible and distributed real-time control on a 4G telecom MPSoC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3961--3964}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537660}, doi = {10.1109/ISCAS.2010.5537660}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JalierLSBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangML10, author = {Ji{-}Eun Jang and Yung{-}Kuang Miao and Yung{-}Pin Lee}, title = {High-bandwidth power-scalable 10-bit pipelined {ADC} using bandwidth-reconfigurable operational amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4029--4032}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537643}, doi = {10.1109/ISCAS.2010.5537643}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JayachandraM10, author = {Dakala Jayachandra and Anamitra Makur}, title = {Directional Variance: {A} measure to find the directionality in a given image segment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1551--1554}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537403}, doi = {10.1109/ISCAS.2010.5537403}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JayachandraM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JayanthiV10, author = {Shridhar Jayanthi and Domitilla Del Vecchio}, title = {Design of an insulation device using phosphotransfer systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {545--548}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537542}, doi = {10.1109/ISCAS.2010.5537542}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JayanthiV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeonL10, author = {Man{-}Young Jeon and Dong{-}Rok Lee}, title = {Roles and limitations of two widely publicized equations in predicting phase shift impulse response of a simple 2-D oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4277--4280}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537553}, doi = {10.1109/ISCAS.2010.5537553}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeonL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiLWLYZ10, author = {Yonghui Ji and Ming Liu and Qin Wang and Shibing Long and Zhaoan Yu and Manhong Zhang}, title = {A low power single ended input differential output low noise amplifier for {L1/L2} band}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {213--216}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537957}, doi = {10.1109/ISCAS.2010.5537957}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiLWLYZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangAB10, author = {Yuncong Jiang and Jaber A. Abu{-}Qahouq and Issa Batarseh}, title = {Improved solar {PV} cell Matlab simulation model and comparison}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2770--2773}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537014}, doi = {10.1109/ISCAS.2010.5537014}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangAB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangC10, author = {Iris Hui{-}Ru Jiang and Hua{-}Yu Chang}, title = {Live Demo: {ECOS} 1.0: {A} metal-only {ECO} synthesizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2774}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537015}, doi = {10.1109/ISCAS.2010.5537015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangDPD10, author = {Dai Jiang and Andreas Demosthenous and Timothy A. Perkins and Nick Donaldson}, title = {Stimulation management for a multichannel vestibular neural prosthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3481--3484}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537846}, doi = {10.1109/ISCAS.2010.5537846}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangDPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangTLT10, author = {Chung{-}Huang Jiang and Kun{-}Lin Tsai and Feipei Lai and Shun{-}Hung Tsai}, title = {Distinguishable error detection method for Network on Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3733--3736}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537756}, doi = {10.1109/ISCAS.2010.5537756}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangTLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaoK10, author = {Hailong Jiao and Volkan Kursun}, title = {Smooth awakenings: Reactivation noise suppressed low-leakage and robust {MTCMOS} flip-flops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3845--3848}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537716}, doi = {10.1109/ISCAS.2010.5537716}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaoK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jimenez-FernandezFPLJ10, author = {Angel Jim{\'{e}}nez{-}Fernandez and Juan Luis Fuentes{-}del{-}Bosh and Rafael Paz{-}Vicente and Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez}, title = {Live demonstration: Neuro-inspired system for realtime vision tilt correction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1393}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537268}, doi = {10.1109/ISCAS.2010.5537268}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jimenez-FernandezFPLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jimenez-FernandezFPLJ10a, author = {Angel Jim{\'{e}}nez{-}Fernandez and Juan Luis Fuentes{-}del{-}Bosh and Rafael Paz{-}Vicente and Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez}, title = {Neuro-inspired system for real-time vision sensor tilt correction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1394--1397}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537271}, doi = {10.1109/ISCAS.2010.5537271}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jimenez-FernandezFPLJ10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JoKCGL10, author = {Sung Hyun Jo and Kuk{-}Hwan Kim and Ting Chang and Siddharth Gaba and Wei Lu}, title = {Si Memristive devices applied to memory and neuromorphic circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {13--16}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537135}, doi = {10.1109/ISCAS.2010.5537135}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JoKCGL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohnsonDCS10, author = {Brian B. Johnson and Ali Davoudi and Patrick L. Chapman and Peter Sauer}, title = {Microgrid dynamics characterization using the automated state model generation algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2758--2761}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537011}, doi = {10.1109/ISCAS.2010.5537011}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohnsonDCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuniorASR10, author = {Celso de Sousa J{\'{u}}nior and Romis Ribeiro Faissol Attux and Ricardo Suyama and Jo{\~{a}}o Marcos Travassos Romano}, title = {Lyapunov-based stability analysis of supervised and unsupervised adaptive algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2988--2991}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538012}, doi = {10.1109/ISCAS.2010.5538012}, timestamp = {Mon, 15 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JuniorASR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaddoumCRG10, author = {Georges Kaddoum and Pascal Charg{\'{e}} and Daniel Roviras and Fran{\c{c}}ois Gagnon}, title = {Performance analysis of differential chaos shift-keying over an m-distributed fading channel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2462--2465}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537141}, doi = {10.1109/ISCAS.2010.5537141}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaddoumCRG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KakumaniAD10, author = {Rajasekhar Kakumani and M. Omair Ahmad and Vijay Kumar Devabhaktuni}, title = {Comparative genomic analysis using statistically optimal null filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2235--2238}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537210}, doi = {10.1109/ISCAS.2010.5537210}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KakumaniAD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KalantiYSAH10, author = {Antti Kalanti and Mikail Y{\"{u}}cetas and Jarno Salomaa and Lasse Aaltonen and Kari Halonen}, title = {Charge-pump based frequency regulator for precision supply generation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4077--4080}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537622}, doi = {10.1109/ISCAS.2010.5537622}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KalantiYSAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaltiokallioRL10, author = {Mikko Kaltiokallio and Jussi Ryyn{\"{a}}nen and Saska Lindfors}, title = {Active polyphase filter analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1125--1128}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537327}, doi = {10.1109/ISCAS.2010.5537327}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KaltiokallioRL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamathC10, author = {Anant S. Kamath and Biman Chattopadhyay}, title = {A 13MHz input, 480MHz output Fractional Phase Lock Loop with 1MHz bandwidth}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {501--504}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537599}, doi = {10.1109/ISCAS.2010.5537599}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamathC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KambohYOM10, author = {Awais M. Kamboh and Yuning Yang and Karim G. Oweiss and Andrew J. Mason}, title = {Design of a configurable neural Data compression system for intra-cortical implants}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3473--3476}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537844}, doi = {10.1109/ISCAS.2010.5537844}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KambohYOM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KapatBP10, author = {Santanu Kapat and Soumitro Banerjee and Amit Patra}, title = {Bifurcation behavior of a boost converter under voltage controlled pulse skipping modulation in the light of 1-D discontinuous map model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2932--2935}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538032}, doi = {10.1109/ISCAS.2010.5538032}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KapatBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KassanosDB10, author = {Panagiotis Kassanos and Andreas Demosthenous and Richard H. Bayford}, title = {Optimization of bipolar and tetrapolar impedance biosensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1512--1515}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537373}, doi = {10.1109/ISCAS.2010.5537373}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KassanosDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KavasseriS10, author = {Rajesh Kavasseri and Sudarshan K. Srinivasan}, title = {Joint optimal placement of {PMU} and conventional measurements in power systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3449--3452}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537855}, doi = {10.1109/ISCAS.2010.5537855}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KavasseriS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawamotoKIK10, author = {Mitsuru Kawamoto and Kiyotaka Kohno and Yujiro Inouye and Koichi Kurumatani}, title = {A modified eigenvector method for blind deconvolution of {MIMO} systems using the matrix pseudo-inversion lemma}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2514--2517}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537120}, doi = {10.1109/ISCAS.2010.5537120}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawamotoKIK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawauchiTTF10, author = {Hirofumi Kawauchi and Masanori Tsuzuki and Ittetsu Taniguchi and Masahiro Fukui}, title = {An accurate {RTL} power estimation considering power library unevenness}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2618--2621}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537078}, doi = {10.1109/ISCAS.2010.5537078}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawauchiTTF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KeC10, author = {Wei{-}Ming Ke and Ching{-}Te Chiu}, title = {Hardware-efficient image enhancement with bilateral tone adjustment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3365--3368}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537885}, doi = {10.1109/ISCAS.2010.5537885}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KeC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KellisGRCB10, author = {Spencer S. Kellis and Nathaniel Gaskin and Bennion Redd and Jeff Campbell and Richard Brown}, title = {Energy profile of a microcontroller for neural prosthetic application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3841--3844}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537715}, doi = {10.1109/ISCAS.2010.5537715}, timestamp = {Fri, 22 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KellisGRCB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerHC10, author = {Ming{-}Dou Ker and Che{-}Lun Hsu and Wen{-}Yi Chen}, title = {{ESD} protection circuit for high-voltage {CMOS} ICs with improved immunity against transient-induced latchup}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {989--992}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537378}, doi = {10.1109/ISCAS.2010.5537378}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhadiviRH10, author = {Alireza Khadivi and Ali Ajdari Rad and Martin Hasler}, title = {Community detection enhancement in networks using proper weighting and partial synchronization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3777--3780}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537733}, doi = {10.1109/ISCAS.2010.5537733}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhadiviRH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhajehEK10, author = {Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Effect of body biasing on embedded {SRAM} failure}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2350--2353}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537193}, doi = {10.1109/ISCAS.2010.5537193}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KhajehEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhannaD10, author = {Nitin Khanna and Edward J. Delp}, title = {Intrinsic signatures for scanned documents forensics : Effect of font shape and size}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3060--3063}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537996}, doi = {10.1109/ISCAS.2010.5537996}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KhannaD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimAP10, author = {Bongjin Kim and Hasan Ahmed and In{-}Cheol Park}, title = {Dual-rail decoding of low-density parity-check codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {477--480}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537628}, doi = {10.1109/ISCAS.2010.5537628}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimAP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimC10, author = {Dongsoo Kim and Eugenio Culurciello}, title = {A compact-pixel tri-mode vision sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2434--2437}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537151}, doi = {10.1109/ISCAS.2010.5537151}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimCBSLL10, author = {Stephen T. Kim and Jaehyouk Choi and Sungho Beck and Taejoong Song and Kyutae Lim and Joy Laskar}, title = {Subthreshold current mode matrix determinant computation for analog signal processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1260--1263}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537276}, doi = {10.1109/ISCAS.2010.5537276}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimCBSLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKE10, author = {Dongchul Kim and Hyewon Kim and Yungseon Eo}, title = {Signal integrity verification of coupled interconnect lines using efficient eye-diagram determination}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3669--3672}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537774}, doi = {10.1109/ISCAS.2010.5537774}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLC10, author = {Young{-}Hwa Kim and Jaewon Lee and SeongHwan Cho}, title = {A 10-bit 300MSample/s pipelined {ADC} using time-interleaved {SAR} {ADC} for front-end stages}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4041--4044}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537646}, doi = {10.1109/ISCAS.2010.5537646}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLCCN10, author = {Kihyun Kim and Sungho Lee and Eunil Cho and Junghee Choi and Sangwook Nam}, title = {Design of {OOK} system for wireless capsule endoscopy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1205--1208}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537297}, doi = {10.1109/ISCAS.2010.5537297}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLCCN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimNC10, author = {Kyung Ki Kim and Haiqing Nan and Ken Choi}, title = {Power gating for ultra-low voltage nanometer ICs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1472--1475}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537343}, doi = {10.1109/ISCAS.2010.5537343}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSS10, author = {Seungsoo Kim and Jaewook Shin and Hyunchol Shin}, title = {On-the-fly speed and power scaling of an {E-TSPC} dual modulus prescaler using forward body bias in 0.25 {\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1775--1778}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537629}, doi = {10.1109/ISCAS.2010.5537629}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimTGWMMC10, author = {Dongsoo Kim and Wei Tang and Brian Goldstein and Pujitha Weerakoon and Hazael Montanaro and Berin Martini and Eugenio Culurciello}, title = {Performance comparison of low current measurement systems for biomedical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3469--3472}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537843}, doi = {10.1109/ISCAS.2010.5537843}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimTGWMMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoHH10, author = {Hou{-}Jen Ko and Shen{-}Fu Hsiao and Wen{-}Liang Huang}, title = {A new non-uniform segmentation and addressing remapping strategy for hardware-oriented function evaluators based on polynomial approximation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4153--4156}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537607}, doi = {10.1109/ISCAS.2010.5537607}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoepplSPMPP10, author = {Heinz Koeppl and Gianluca Setti and Serge Pelet and Mauro Mangia and Tatjana Petrov and Matthias Peter}, title = {Probability metrics to calibrate stochastic chemical kinetics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {541--544}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537549}, doi = {10.1109/ISCAS.2010.5537549}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoepplSPMPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohnoKI10, author = {Kiyotaka Kohno and Mitsuru Kawamoto and Yujiro Inouye}, title = {A block-based adaptive super-exponential deflation algorithm for blind deconvolution of {MIMO} systems using the matrix pseudo-inversion lemma}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {801--804}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537446}, doi = {10.1109/ISCAS.2010.5537446}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohnoKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolumbanKL10, author = {G{\'{e}}za Kolumb{\'{a}}n and Tam{\'{a}}s Kr{\'{e}}besz and Francis Chung{-}Ming Lau}, title = {Feasibility of {UWB} radio: Impulse radio versus chaos-based approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2450--2453}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537138}, doi = {10.1109/ISCAS.2010.5537138}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolumbanKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolumbanKTL10, author = {G{\'{e}}za Kolumb{\'{a}}n and Tam{\'{a}}s Kr{\'{e}}besz and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Derivation of circuit specification for the {UWB} impulse radio transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {337--340}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537808}, doi = {10.1109/ISCAS.2010.5537808}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolumbanKTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KondrathK10, author = {Nisha Kondrath and Marian K. Kazimierczuk}, title = {Control-to-output and duty ratio-to-inductor current transfer functions of peak current-mode controlled dc-dc {PWM} buck converter in {CCM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2734--2737}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537022}, doi = {10.1109/ISCAS.2010.5537022}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KondrathK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KorkaliA10, author = {Mert Korkali and Ali Abur}, title = {Impact of network sparsity on strategic placement of phasor measurement units with fixed channel capacity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3445--3448}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537854}, doi = {10.1109/ISCAS.2010.5537854}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KorkaliA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KorniienkoCSBGJ10, author = {Anton Korniienko and {\'{E}}ric Colinet and G{\'{e}}rard Scorletti and Eric Blanco and Dimitri Galayko and J{\'{e}}r{\^{o}}me Juillard}, title = {A clock network of distributed ADPLLs using an asymmetric comparison strategy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3212--3215}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537932}, doi = {10.1109/ISCAS.2010.5537932}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KorniienkoCSBGJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoseF10, author = {Sel{\c{c}}uk K{\"{o}}se and Eby G. Friedman}, title = {An area efficient fully monolithic hybrid voltage regulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2718--2721}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537035}, doi = {10.1109/ISCAS.2010.5537035}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoseF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoseF10a, author = {Sel{\c{c}}uk K{\"{o}}se and Eby G. Friedman}, title = {Fast algorithms for power grid analysis based on effective resistance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3661--3664}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537772}, doi = {10.1109/ISCAS.2010.5537772}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoseF10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoshitaMAK10, author = {Shunsuke Koshita and Keita Miyoshi and Masahide Abe and Masayuki Kawamata}, title = {Realization of variable band-pass/band-stop {IIR} digital filters using Gramian-preserving frequency transformation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2698--2701}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537047}, doi = {10.1109/ISCAS.2010.5537047}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoshitaMAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoudounasAG10, author = {Savvas Koudounas and Charalambos M. Andreou and Julius Georgiou}, title = {A novel {CMOS} Bandgap reference circuit with improved high-order temperature compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4073--4076}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537621}, doi = {10.1109/ISCAS.2010.5537621}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoudounasAG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KouretasP10, author = {Ioannis Kouretas and Vassilis Paliouras}, title = {Residue arithmetic bases for reducing delay variation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3885--3888}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537692}, doi = {10.1109/ISCAS.2010.5537692}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KouretasP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoziolSBBPDRHB10, author = {Scott Koziol and Craig Schlottmann and Arindam Basu and Stephen Brink and Csaba Petre and Brian P. Degnan and Shubha Ramakrishnan and Paul E. Hasler and Aurele Balavoine}, title = {Live demonstration: Hardware and software infrastructure for a family of floating-gate based FPAAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2793}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536991}, doi = {10.1109/ISCAS.2010.5536991}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoziolSBBPDRHB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoziolSBBPDRHB10a, author = {Scott Koziol and Craig Schlottmann and Arindam Basu and Stephen Brink and Csaba Petre and Brian P. Degnan and Shubha Ramakrishnan and Paul E. Hasler and Aurele Balavoine}, title = {Hardware and software infrastructure for a family of floating-gate based FPAAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2794--2797}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536992}, doi = {10.1109/ISCAS.2010.5536992}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoziolSBBPDRHB10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrebeszKTL10, author = {Tam{\'{a}}s Kr{\'{e}}besz and G{\'{e}}za Kolumb{\'{a}}n and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Gated threshold compensated noncoherent {PPM} receiver for {UWB} impulse radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1097--1100}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537335}, doi = {10.1109/ISCAS.2010.5537335}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrebeszKTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrebeszKTL10a, author = {Tam{\'{a}}s Kr{\'{e}}besz and G{\'{e}}za Kolumb{\'{a}}n and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Performance improvement of autocorrelation detector used in {UWB} impulse radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3761--3764}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537746}, doi = {10.1109/ISCAS.2010.5537746}, timestamp = {Mon, 28 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrebeszKTL10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Krishnapura10, author = {Nagendra Krishnapura}, title = {Efficient determination of feedback {DAC} errors for digital correction in {\(\Delta\)}{\(\Sigma\)} {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {301--304}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537847}, doi = {10.1109/ISCAS.2010.5537847}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Krishnapura10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrukowskiA10, author = {Artur Krukowski and Dusan Arsenijevic}, title = {RFID-based positioning for building management systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3569--3572}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537800}, doi = {10.1109/ISCAS.2010.5537800}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrukowskiA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrutzGS10, author = {Andreas Krutz and Alexander Glantz and Thomas Sikora}, title = {Background modeling for video coding: From sprites to Global Motion Temporal filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2179--2182}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537230}, doi = {10.1109/ISCAS.2010.5537230}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrutzGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KugataTNYK10, author = {Koji Kugata and Tomoya Takagi and Hiroki Noguchi and Masahiko Yoshimoto and Hiroshi Kawaguchi}, title = {Live demonstration: Intelligent ubiquitous sensor network for sound acquisition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1413}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537292}, doi = {10.1109/ISCAS.2010.5537292}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KugataTNYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KugataTNYK10a, author = {Koji Kugata and Tomoya Takagi and Hiroki Noguchi and Masahiko Yoshimoto and Hiroshi Kawaguchi}, title = {Intelligent ubiquitous sensor network for sound acquisition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1414--1417}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537295}, doi = {10.1109/ISCAS.2010.5537295}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KugataTNYK10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KulkarniKJSX10, author = {Raghavendra Kulkarni and Jusung Kim and Hyung{-}Joon Jeon and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Jianhong Xiao}, title = {A broadband 470-862 MHz direct conversion {CMOS} receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2227--2230}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537208}, doi = {10.1109/ISCAS.2010.5537208}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KulkarniKJSX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarA10, author = {Pratyush Kumar and David Atienza}, title = {Neural network based on-chip thermal simulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1599--1602}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537439}, doi = {10.1109/ISCAS.2010.5537439}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarK10, author = {Rajesh Kumar and Sunil P. Khatri}, title = {An efficient pulse flip-flop based launch-on-shift scan cell}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4105--4108}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537612}, doi = {10.1109/ISCAS.2010.5537612}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarM10, author = {A. Anil Kumar and Anamitra Makur}, title = {Joint source channel coding with Hermitian symmetric {DFT} codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1823--1826}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537749}, doi = {10.1109/ISCAS.2010.5537749}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumenoN10, author = {Hironori Kumeno and Yoshifumi Nishio}, title = {Synchronization phenomena in coupled logistic maps involving parametric force}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1368--1371}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537247}, doi = {10.1109/ISCAS.2010.5537247}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumenoN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoLCC10, author = {Chao{-}Lung Kuo and Tsorng{-}Juu Liang and Kai{-}Hui Chen and Jiann{-}Fuh Chen}, title = {Design and implementation of high frequency {AC-LED} driver with digital dimming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3713--3716}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537751}, doi = {10.1109/ISCAS.2010.5537751}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoLS10, author = {Chien{-}Hung Kuo and Hung{-}Jing Lai and Deng{-}Yao Shi}, title = {A sixth-order 4-2 {SMASH} {CIFF} complex bandpass {\(\Delta\)}{\(\Sigma\)} modulator with delaying digital input feedforward}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {305--308}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537848}, doi = {10.1109/ISCAS.2010.5537848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoPW10, author = {Yu{-}Shin Kuo and Huan{-}Kai Peng and Charles H.{-}P. Wen}, title = {Monte-Carlo-based statistical soft error rate {(SSER)} analysis for the deep sub-micron era}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3673--3676}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537775}, doi = {10.1109/ISCAS.2010.5537775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoPW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KurchukT10, author = {Mariya Kurchuk and Yannis P. Tsividis}, title = {Energy-efficient asynchronous delay element with wide controllability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3837--3840}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537714}, doi = {10.1109/ISCAS.2010.5537714}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KurchukT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwanK10, author = {Kwok{-}To Kwan and Wing{-}Hung Ki}, title = {Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2722--2725}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537036}, doi = {10.1109/ISCAS.2010.5537036}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwanK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonKOY10, author = {Joonsoo Kwon and Minsu Kim and Jinwook Oh and Hoi{-}Jun Yoo}, title = {A 22.4 mW competitive fuzzy edge detection processor for volume rendering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1883--1886}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537899}, doi = {10.1109/ISCAS.2010.5537899}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonRT10, author = {Dongwon Kwon and Gabriel A. Rinc{\'{o}}n{-}Mora and Erick O. Torres}, title = {Harvesting kinetic energy with switched-inductor {DC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {281--284}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537878}, doi = {10.1109/ISCAS.2010.5537878}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonRT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiHYH10, author = {Jyu{-}Yuan Lai and Tzu{-}Yu Hung and Kai{-}Hsiang Yang and Chih{-}Tsun Huang}, title = {High-performance architecture for Elliptic Curve Cryptography over binary field}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3933--3936}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537670}, doi = {10.1109/ISCAS.2010.5537670}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiHYH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoL10, author = {Mika Laiho and Eero Lehtonen}, title = {Cellular nanoscale network cell with memristors for local implication logic and synapses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2051--2054}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537188}, doi = {10.1109/ISCAS.2010.5537188}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaihoL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LamP10, author = {Jerry Lam and Calvin Plett}, title = {A low power {DC-DC} converter for scavenged power wireless sensor networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4081--4084}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537623}, doi = {10.1109/ISCAS.2010.5537623}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LamP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LampeW10, author = {Lutz Lampe and Klaus Witrisal}, title = {Challenges and recent advances in {IR-UWB} system design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3288--3291}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537900}, doi = {10.1109/ISCAS.2010.5537900}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LampeW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LananteKO10, author = {Leonardo Lanante and Masayuki Kurosaki and Hiroshi Ochi}, title = {Low complexity compensation of frequency dependent {I/Q} imbalance and carrier frequency offset for direct conversion receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2067--2070}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537237}, doi = {10.1109/ISCAS.2010.5537237}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LananteKO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LanzaCG10, author = {Valentina Lanza and Fernando Corinto and Marco Gilli}, title = {Bifurcations in simple genetic cyclic models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2574--2577}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537101}, doi = {10.1109/ISCAS.2010.5537101}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LanzaCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaoudiasP10, author = {Costas Laoudias and Costas Psychalinos}, title = {Low-voltage Bluetooth/ZigBee complex filter using current mirrors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1268--1271}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537273}, doi = {10.1109/ISCAS.2010.5537273}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaoudiasP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaskarLHKLL10, author = {Joy Laskar and Kyutae Lim and Joonhoi Hur and Kihyun W. Kim and Ockgoo Lee and Chang{-}Ho Lee}, title = {Emerging multi-level architectures and unbalanced mismatch calibration technique for high-efficient and high-linear {LINC} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {821--824}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537441}, doi = {10.1109/ISCAS.2010.5537441}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaskarLHKLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LavoleLKK10, author = {Philippe Lavole and Sung{-}Kyu Lee and Suk{-}Ju Kang and Young Hwan Kim}, title = {Dynamic clipping ratio determination for global backlight dimming in {LCD}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3256--3259}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537926}, doi = {10.1109/ISCAS.2010.5537926}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LavoleLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lawrance10, author = {Anthony J. Lawrance}, title = {Recent theory and new applications in chaos communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2446--2449}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537154}, doi = {10.1109/ISCAS.2010.5537154}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lawrance10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LazzariFMC10, author = {Cristiano Lazzari and Paulo F. Flores and Jos{\'{e}} Monteiro and Luigi Carro}, title = {Voltage-mode quaternary FPGAs: An evaluation of interconnections}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {869--872}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537423}, doi = {10.1109/ISCAS.2010.5537423}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LazzariFMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeCunKF10, author = {Yann LeCun and Koray Kavukcuoglu and Cl{\'{e}}ment Farabet}, title = {Convolutional networks and applications in vision}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {253--256}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537907}, doi = {10.1109/ISCAS.2010.5537907}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeCunKF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee10, author = {Edward K. F. Lee}, title = {High-voltage rectifier and voltage doubler in conventional 0.18{\(\mu\)}m {CMOS} process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {605--608}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537522}, doi = {10.1109/ISCAS.2010.5537522}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee10a, author = {Edward K. F. Lee}, title = {Low voltage {CMOS} bandgap references with temperature compensated reference current output}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1643--1646}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537472}, doi = {10.1109/ISCAS.2010.5537472}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeC10, author = {Woojae Lee and SeongHwan Cho}, title = {A 2.4-GHz reference doubled fractional-N {PLL} with dual phase detector in 0.13-{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1328--1331}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537248}, doi = {10.1109/ISCAS.2010.5537248}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeC10a, author = {Sung{-}Pah Lee and SeongHwan Cho}, title = {A background {KDCO} compensation technique for constant bandwidth in all-digital phase-locked loop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3401--3404}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537860}, doi = {10.1109/ISCAS.2010.5537860}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeC10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCT10, author = {Yu{-}Hsuan Lee and Yi{-}Cheng Chen and Tsung{-}Han Tsai}, title = {A bandwidth-efficient embedded compression algorithm using two-level rate control scheme for video coding system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1149--1152}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537318}, doi = {10.1109/ISCAS.2010.5537318}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeD10, author = {Kelvin Lee and Babak Daneshrad}, title = {{VLSI} implementation of a quasi-ml, energy efficient fixed complexity sphere decoder for {MIMO} communication system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3529--3532}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537824}, doi = {10.1109/ISCAS.2010.5537824}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeFCS10, author = {Tsz{-}Kwan Lee and Chang{-}Hong Fu and Yui{-}Lam Chan and Wan{-}Chi Siu}, title = {A new motion vector composition algorithm for fast-forward video playback in {H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3649--3652}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537786}, doi = {10.1109/ISCAS.2010.5537786}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeFCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCH10, author = {Chia{-}Ching Lee and Chun{-}Fu Liao and Chao{-}Ming Chen and Yuan{-}Hao Huang}, title = {Design of 4 {\texttimes} 4 {MIMO-OFDMA} receiver with precode codebook search for 3GPP-LTE}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3957--3960}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537676}, doi = {10.1109/ISCAS.2010.5537676}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLHL10, author = {Mu{-}Shun Matt Lee and Kuo{-}Sheng Lai and Chia{-}Ling Hsu and Chien{-}Nan Jimmy Liu}, title = {Dynamic {IR} drop estimation at gate level with standard library information}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2606--2609}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537092}, doi = {10.1109/ISCAS.2010.5537092}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLPN10, author = {Jaejun Lee and Sungho Lee and Joontae Park and Sangwook Nam}, title = {Architecture of a multi-slot main memory system for 3.2 Gbps operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3857--3860}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537702}, doi = {10.1109/ISCAS.2010.5537702}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLPN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeP10, author = {Youngjoo Lee and In{-}Cheol Park}, title = {Capacitor array structure and switching control scheme to reduce capacitor mismatch effects for {SAR} analog-to-digital converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1464--1467}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537337}, doi = {10.1109/ISCAS.2010.5537337}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePY10, author = {Seulki Lee and Seungwook Paek and Hoi{-}Jun Yoo}, title = {Live demonstration: {A} real-time compensated inductive transceiver for wearable {MP3} player system on multi-layered planar fashionable circuit board}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2777}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537001}, doi = {10.1109/ISCAS.2010.5537001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePY10a, author = {Seulki Lee and Seungwook Paek and Hoi{-}Jun Yoo}, title = {A real-time compensated inductive transceiver for wearable {MP3} player system on multi-layered planar fashionable circuit board}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2778--2781}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537002}, doi = {10.1109/ISCAS.2010.5537002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePY10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYWL10, author = {Gwo Giun Lee and Wei{-}Chiao Yang and Min{-}Shan Wu and He{-}Yuan Lin}, title = {Reconfigurable architecture design of motion compensation for multi-standard video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2003--2006}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537127}, doi = {10.1109/ISCAS.2010.5537127}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehmannCPS10, author = {Torsten Lehmann and Hosung Chun and Philip Preston and Gregg J. Suaning}, title = {Current-limited passive charge recovery for implantable neuro-stimulators: Power savings, modelling and characterisation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3128--3131}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537962}, doi = {10.1109/ISCAS.2010.5537962}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehmannCPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehtonenPL10, author = {Eero Lehtonen and Jussi H. Poikonen and Mika Laiho}, title = {A {CNN} approach to computing arbitrary Boolean functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2295--2298}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536957}, doi = {10.1109/ISCAS.2010.5536957}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehtonenPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lenero-BardalloSL10, author = {Juan A. Le{\~{n}}ero{-}Bardallo and Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco}, title = {A signed spatial contrast event spike retina chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2438--2441}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537152}, doi = {10.1109/ISCAS.2010.5537152}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lenero-BardalloSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LengW10, author = {Mei Leng and Yik{-}Chung Wu}, title = {On joint synchronization of clock offset and skew for Wireless Sensor Networks under exponential delay}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {461--464}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537647}, doi = {10.1109/ISCAS.2010.5537647}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LengW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeomantWB10, author = {Sylvain L{\'{e}}omant and Xiajun Wu and Amine Bermak}, title = {A single bit memory per pixel time domain {DPS} using multi-reset integration scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {353--356}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537788}, doi = {10.1109/ISCAS.2010.5537788}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeomantWB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeongTTBFRSLV10, author = {Carlos Leong and Jo{\~{a}}o Paulo Teixeira and Isabel C. Teixeira and Ricardo Bugalho and Manuel Ferreira and Pedro Miguel Rodrigues and Jos{\'{e}} C. Silva and Pedro Lous{\~{a}} and Jo{\~{a}}o Varela}, title = {Automatic Configuration of a Medical Imaging System to Unknown Delays in Synchronous Input Data Channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1185--1188}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537302}, doi = {10.1109/ISCAS.2010.5537302}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeongTTBFRSLV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Li10, author = {Chang{-}Tsun Li}, title = {Unsupervised classification of digital images using enhanced sensor pattern noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3429--3432}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537850}, doi = {10.1109/ISCAS.2010.5537850}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Li10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiAHK10, author = {Xiang Li and Peter Amon and Andreas Hutter and Andr{\'{e}} Kaup}, title = {Adaptive quantization parameter cascading for hierarchical video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4197--4200}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537584}, doi = {10.1109/ISCAS.2010.5537584}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiAHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiBMRZ10, author = {Xia Li and Peter G. M. Baltus and Dusan M. Milosevic and Arthur H. M. van Roermund and Paul T. M. van Zeijl}, title = {An {EFOM} for cross-layer optimization towards low-power and high-performance wireless networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2263--2266}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537217}, doi = {10.1109/ISCAS.2010.5537217}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiBMRZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCCT10, author = {Maodong Li and Zhenzhong Chen and Seong{-}Ping Chuah and Yap{-}Peng Tan}, title = {Efficient packet scheduling for scalable video delivery to mobile clients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2251--2254}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537214}, doi = {10.1109/ISCAS.2010.5537214}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiFLL10, author = {Hsiu{-}Wen Li and Ren{-}Hong Fu and Hsin{-}Yu Luo and Chien{-}Nan Jimmy Liu}, title = {Automatic circuit adjustment technique for process sensitivity reduction and yield improvement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2582--2585}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537103}, doi = {10.1109/ISCAS.2010.5537103}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiFLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiK10, author = {Jianzhou Li and Ramesh Karri}, title = {Compact hardware architectures for {BLAKE} and {LAKE} hash functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2107--2110}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537063}, doi = {10.1109/ISCAS.2010.5537063}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiL10, author = {Chang{-}Tsun Li and Yue Li}, title = {Digital camera identification using Colour-Decoupled photo response non-uniformity noise pattern}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3052--3055}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537994}, doi = {10.1109/ISCAS.2010.5537994}, timestamp = {Fri, 29 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLHG10, author = {Gang Li and Yong Ching Lim and Chaogeng Huang and Shuqin Guo}, title = {Novel low complexity lattice filters with overflow property close to the normalized lattice}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {725--728}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537477}, doi = {10.1109/ISCAS.2010.5537477}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLHG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLLCWY10, author = {Yan Li and Jerry Lopez and Donald Y. C. Lie and Kevin Chen and Stanley Wu and Tzu{-}Yi Yang}, title = {Efficiency enhancement and linearity trade-offs for cascode vs. common-emitter SiGe power amplifiers in WiMAX polar transmitters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1915--1918}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537979}, doi = {10.1109/ISCAS.2010.5537979}, timestamp = {Fri, 31 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLLCWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLLZZ10, author = {Xiang Li and Lingzhi Liu and Nam Ling and Jianhua Zheng and Philipp Zhang}, title = {Prediction-based adaptive transform coefficients scanning for inter-frame video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4205--4208}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537586}, doi = {10.1109/ISCAS.2010.5537586}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLLZZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLN10, author = {Hongliang Li and Guanghui Liu and King Ngi Ngan}, title = {Learn to segment attention object from low DoF image}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2864--2867}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536977}, doi = {10.1109/ISCAS.2010.5536977}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiMMW10, author = {Hui Li and Makram Mansour and Sury Maturi and Li{-}C. Wang}, title = {A new sampling method for analog behavioral modeling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2908--2911}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538043}, doi = {10.1109/ISCAS.2010.5538043}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiMMW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiN10, author = {Songnan Li and King Ngi Ngan}, title = {Subtractive impairment, additive impairment and image visual quality}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3373--3376}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537870}, doi = {10.1109/ISCAS.2010.5537870}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiOWM10, author = {Changsong Li and Yuji Okada and Masayuki Watanabe and Yasunori Mitani}, title = {Modeling Kita-Hon {HVDC} Link for load frequency control of Eastern Japan 50-Hz power system based on application of the CampusWAMS}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2307--2310}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537070}, doi = {10.1109/ISCAS.2010.5537070}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiOWM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiT10, author = {Peng Li and Hua Tang}, title = {A low-power {VLSI} implementation for variable block size motion estimation in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2972--2975}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538025}, doi = {10.1109/ISCAS.2010.5538025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXFCZ10, author = {Simeng Li and Huxiong Xu and Wenhua Fan and Yun Chen and Xiaoyang Zeng}, title = {A 128/256-point pipeline {FFT/IFFT} processor for {MIMO} {OFDM} system {IEEE} 802.16e}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537355}, doi = {10.1109/ISCAS.2010.5537355}, timestamp = {Thu, 24 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiXFCZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiYDN10, author = {Jing Li and Bo Yang and Qing Dong and Shigetoshi Nakatake}, title = {Post-placement {STI} well width adjusting by geometric programming for device mobility enhancement in critical path}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {929--932}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537398}, doi = {10.1109/ISCAS.2010.5537398}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiYDN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiYZ10, author = {Chunguo Li and Luxi Yang and Wei{-}Ping Zhu}, title = {Robust distributed beamforming for two-way wireless relay systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3108--3111}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537974}, doi = {10.1109/ISCAS.2010.5537974}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiYZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZHI10, author = {Qiang Li and Renyuan Zhang and Zhangcai Huang and Yasuaki Inoue}, title = {A low voltage {CMOS} rectifier for wirelessly powered devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {873--876}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537419}, doi = {10.1109/ISCAS.2010.5537419}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZHI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangES10, author = {Hailang Liang and Rob J. Evans and Efstratios Skafidas}, title = {Distortion analysis of 30Gsample/s {CMOS} switched source follower}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4293--4296}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537557}, doi = {10.1109/ISCAS.2010.5537557}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangJ10, author = {Joshua Liang and David A. Johns}, title = {A frequency-scalable 15-bit incremental {ADC} for low power sensor applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2418--2421}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537172}, doi = {10.1109/ISCAS.2010.5537172}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiangJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoH10, author = {De{-}Wen Liao and Chung{-}Chili Hung}, title = {A spur-reduction frequency synthesizer for {WIMAX} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2594--2597}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537089}, doi = {10.1109/ISCAS.2010.5537089}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoHC10, author = {Jie{-}Yu Liao and Han{-}Hsiang Huang and Ke{-}Horng Chen}, title = {Minimized right-half plane zero effect on fast boost {DC-DC} converter achieved by adaptive voltage positioning technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2916--2919}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538045}, doi = {10.1109/ISCAS.2010.5538045}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoLC10, author = {Yuan{-}Hsin Liao and Gwo{-}Long Li and Tian{-}Sheuan Chang}, title = {A high throughput {VLSI} design with hybrid memory architecture for {H.264/AVC} {CABAC} decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2007--2010}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537137}, doi = {10.1109/ISCAS.2010.5537137}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoZC10, author = {Bin Liao and Zhiguo Zhang and Shing{-}Chow Chan}, title = {A subspace-based method for {DOA} estimation of uniform linear array in the presence of mutual coupling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1879--1882}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537889}, doi = {10.1109/ISCAS.2010.5537889}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoZC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LienCCLC10, author = {Chih{-}Yuan Lien and Pei{-}Yin Chen and Li{-}Yuan Chang and Yi{-}Ming Lin and Po{-}Kai Chang}, title = {An efficient denoising chip for the removal of impulse noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1169--1172}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537308}, doi = {10.1109/ISCAS.2010.5537308}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LienCCLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimaP10, author = {Jader A. De Lima and Wallace A. Pimenta}, title = {A resistor-less overload detector for dc/dc linear regulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {837--840}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537435}, doi = {10.1109/ISCAS.2010.5537435}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimaP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimpaphayomN10, author = {Koranan Limpaphayom and Robert W. Newcomb}, title = {The extended ear type system and possible applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1795--1798}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537679}, doi = {10.1109/ISCAS.2010.5537679}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimpaphayomN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC10, author = {Chia{-}Wen Lin and Nai{-}Chia Cheng}, title = {Video bsckground inpainting using dynamic texture synthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1559--1562}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537409}, doi = {10.1109/ISCAS.2010.5537409}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCHLCWHHYC10, author = {Chih{-}Hsing Lin and Yung{-}Chang Chang and Wen{-}Chih Huang and Wei{-}Chih Lai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Chun{-}Ming Huang and Chih{-}Chyau Yang and Shih{-}Lun Chen}, title = {A packet-based emulating platform with serializer/deserializer interface for heterogeneous {IP} verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1061--1064}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537351}, doi = {10.1109/ISCAS.2010.5537351}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCHLCWHHYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCL10, author = {Yi{-}Min Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An improved soft {BCH} decoder with one extra error compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3941--3944}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537672}, doi = {10.1109/ISCAS.2010.5537672}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCW10, author = {Chi{-}Sheng Lin and Ting{-}Hsu Chien and Chin{-}Long Wey}, title = {An effective phase detector for phase-locked loops with wide capture range and fast acquisition time}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1843--1846}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537799}, doi = {10.1109/ISCAS.2010.5537799}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinH10, author = {Hung{-}Chih Lin and Hsueh{-}Ming Hang}, title = {Fast algorithm on selecting bi-directional prediction type in {H.264/AVC} scalable video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {113--116}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536999}, doi = {10.1109/ISCAS.2010.5536999}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHCL10, author = {Chih{-}Hung Lin and Alex Chien{-}Lin Huang and Robert Chen{-}Hao Chang and Kuang{-}Hao Lin}, title = {Low-power design of variable block-size {LDPC} decoder using nanometer technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1759--1762}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537590}, doi = {10.1109/ISCAS.2010.5537590}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinHCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHFMYCH10, author = {Jui{-}Chieh Lin and Minja Hsieh and Ming{-}Jung Fan{-}Chiang and Song{-}Yen Mao and Chu Yu and Sao{-}Jie Chen and Yu Hen Hu}, title = {Perfect shuffling for cycle efficient puncturer and interleaver for software defined radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3965--3968}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537661}, doi = {10.1109/ISCAS.2010.5537661}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHFMYCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHFS10, author = {Hung{-}Wen Lin and Yingchieh Ho and YingLin Fa and Chauchin Su}, title = {A 5Gb/s pulse signaling interface for low power on-chip data communication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {201--204}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537978}, doi = {10.1109/ISCAS.2010.5537978}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LinHFS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinJHL10, author = {Kuan{-}Yu Lin and Ji{-}Eun Jang and Ching{-}Hsuan Hsieh and Yung{-}Pin Lee}, title = {A pipelined analog-to-digital converter using incomplete-settling-without-slewing technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4037--4040}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537645}, doi = {10.1109/ISCAS.2010.5537645}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinJHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinK10, author = {Chun{-}Yu Lin and Ming{-}Dou Ker}, title = {2{\texttimes}VDD-tolerant power-rail {ESD} clamp circuit with low standby leakage in 65-nm {CMOS} process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3417--3420}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537864}, doi = {10.1109/ISCAS.2010.5537864}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinK10a, author = {Chih{-}Hsiang Lin and James B. Kuo}, title = {Low-voltage {SOI} {CMOS} {DTMOS/MTCMOS} circuit technique for design optimization of low-power {SOC} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3833--3836}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537713}, doi = {10.1109/ISCAS.2010.5537713}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinK10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinKCCL10, author = {Fu{-}Chang Lin and Li{-}Wei Ko and Shi{-}An Chen and Ching{-}Fu Chen and Chin{-}Teng Lin}, title = {EEG-based cognitive state monitoring and predition by using the self-constructing neural fuzzy system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2287--2290}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536955}, doi = {10.1109/ISCAS.2010.5536955}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinKCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCLCC10, author = {Yi{-}Ming Lin and Wan{-}Ching Liu and Li{-}Yuan Chang and Chih{-}Yuan Lien and Pei{-}Yin Chen and Shung{-}Chih Chen}, title = {A low-power {IP} design of Viterbi decoder with dynamic threshold setting}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {585--588}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537527}, doi = {10.1109/ISCAS.2010.5537527}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLHCT10, author = {Chin{-}Teng Lin and Chun{-}Ling Lin and Kuan{-}Chih Huang and Shi{-}An Chen and Jui{-}Hsin Tung}, title = {The performance of visuo-motor coordination changes under force feedback assistance system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1376--1379}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537253}, doi = {10.1109/ISCAS.2010.5537253}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLHCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLP10, author = {Yuan{-}Pei Lin and Yi{-}De Liu and See{-}May Phoong}, title = {Finding the minimum sampling frequency of multi-band signals: An efficient iterative algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {57--60}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537086}, doi = {10.1109/ISCAS.2010.5537086}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinSW10, author = {Wen{-}Ching Lin and Ming{-}Der Shieh and Chien{-}Ming Wu}, title = {Design of high-speed bit-serial divider in GF(2\({}^{\mbox{m}}\))}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {713--716}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537479}, doi = {10.1109/ISCAS.2010.5537479}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinTC10, author = {Pin{-}Chih Lin and Pei{-}Kuei Tsung and Liang{-}Gee Chen}, title = {Low-cost hardware architecture design for 3D warping engine in multiview video applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2964--2967}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538023}, doi = {10.1109/ISCAS.2010.5538023}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWC10, author = {Chen{-}Yang Lin and Cheng{-}Chi Wong and Hsie{-}Chia Chang}, title = {A multiple code-rate turbo decoder based on reciprocal dual trellis architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1496--1499}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537361}, doi = {10.1109/ISCAS.2010.5537361}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYT10, author = {Jiaming Lin and Wenhuan Yu and Gabor C. Temes}, title = {Energy-efficient time-interleaved and pipelined {SAR} ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1452--1455}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537328}, doi = {10.1109/ISCAS.2010.5537328}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linares-BarrancoPGJRJC10, author = {Alejandro Linares{-}Barranco and Rafael Paz{-}Vicente and Francisco Gomez{-}Rodriguez and Angel Jim{\'{e}}nez{-}Fernandez and Manuel Rivas and Gabriel Jim{\'{e}}nez and Ant{\'{o}}n Civit}, title = {On the {AER} convolution processors for {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4237--4240}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537577}, doi = {10.1109/ISCAS.2010.5537577}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linares-BarrancoPGJRJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LingYTK10, author = {Yunxiao Ling and Jun Yi and Chi{-}Ying Tsui and Wing{-}Hung Ki}, title = {System level power optimizations for {EPC} {RFID} tags to improve sensitivity using load power shaping and operation scheduling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3012--3015}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538001}, doi = {10.1109/ISCAS.2010.5538001}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LingYTK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuB10, author = {Yuzhe Liu and Peter H. Bauer}, title = {Frequency domain limitations of non-negative impulse response non-lowpass filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {721--724}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537476}, doi = {10.1109/ISCAS.2010.5537476}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBAKX10, author = {Gui Liu and Roc Berenguer and Abe Akhiyat and Keya Kamtikar and Yang Xu}, title = {Configurable {MCPW} based inductor for mm-wave circuits and systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1113--1116}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537329}, doi = {10.1109/ISCAS.2010.5537329}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuBAKX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCJ10, author = {Cheng C. Liu and Jian Chang and Louis G. Johnson}, title = {Energy model of {CMOS} gates using a piecewise linear model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3829--3832}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537712}, doi = {10.1109/ISCAS.2010.5537712}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDD10, author = {Xiao Liu and Andreas Demosthenous and Nick Donaldson}, title = {A dual-mode neural stimulator capable of delivering constant current in current-mode and high stimulus charge in semi-voltage-mode}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2075--2078}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537239}, doi = {10.1109/ISCAS.2010.5537239}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDTD10, author = {Xiao Liu and Andreas Demosthenous and Iasonas F. Triantis and Nick Donaldson}, title = {A current generator circuit for tripolar stimulation and insensitive to temperature and supply variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {965--968}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537387}, doi = {10.1109/ISCAS.2010.5537387}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDTD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuFKS10, author = {Haowei Liu and Rog{\'{e}}rio Schmidt Feris and Volker Kr{\"{u}}ger and Ming{-}Ting Sun}, title = {Unsupervised action classification using space-time link analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3437--3440}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537852}, doi = {10.1109/ISCAS.2010.5537852}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuFKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuKA10, author = {Hsin{-}I Cindy Liu and Jonathan Kotker and Babak Ayazifar}, title = {A first lab in filter design: Power line hum suppression in an {ECG} signal}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2812--2815}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536981}, doi = {10.1109/ISCAS.2010.5536981}, timestamp = {Tue, 20 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuKA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuKIK10, author = {Wei Liu and Waleed Khalil and Mohammed Ismail and Edith Kussener}, title = {A resistor-free temperature-compensated {CMOS} current reference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {845--848}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537432}, doi = {10.1109/ISCAS.2010.5537432}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuKIK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLLG10, author = {Ming Liu and Qi Liu and Shibing Long and Weihua Guan}, title = {Formation and annihilation of Cu conductive filament in the nonpolar resistive switching Cu/ZrO2: Cu/Pt ReRAM}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537156}, doi = {10.1109/ISCAS.2010.5537156}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLLG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMHH10, author = {Shih{-}Chii Liu and Nima Mesgarani and John G. Harris and Hynek Hermansky}, title = {The use of spike-based representations for hardware audition systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {505--508}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537588}, doi = {10.1109/ISCAS.2010.5537588}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuRM10, author = {Xiaowen Liu and Daniel Rairigh and Andrew J. Mason}, title = {A fully integrated multi-channel impedance extraction circuit for biosensor arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3140--3143}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537965}, doi = {10.1109/ISCAS.2010.5537965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuRM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSMD10, author = {Shih{-}Chii Liu and Andr{\'{e}} van Schaik and Bradley A. Minch and Tobi Delbr{\"{u}}ck}, title = {Event-based 64-channel binaural silicon cochlea with {Q} enhancement mechanisms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2027--2030}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537164}, doi = {10.1109/ISCAS.2010.5537164}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSMD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSWY10, author = {Haowei Liu and Ming{-}Ting Sun and Ruei{-}Cheng Wu and Shiaw{-}Shian Yu}, title = {Video activity detection using compressed domain motion trajectories for {H.264} videos}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3693--3696}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537763}, doi = {10.1109/ISCAS.2010.5537763}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSYC10, author = {Jun Liu and Lingling Sun and Zhiping Yu and Marissa Condon}, title = {A new substrate model and parameter extraction method for {DNW} {RF} MOSFETs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2478--2481}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537128}, doi = {10.1109/ISCAS.2010.5537128}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuT10, author = {Yan Liu and Chris Toumazou}, title = {An {ISFET} based sensing array with sensor offset compensation and pH sensitivity enhancement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2283--2286}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536954}, doi = {10.1109/ISCAS.2010.5536954}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuV10, author = {Chih{-}Hao Liu and P. P. Vaidyanathan}, title = {{ZF-DFE} transceiver for time-varying {MIMO} channels with channel-independent temporal precoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3525--3528}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537823}, doi = {10.1109/ISCAS.2010.5537823}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuW10, author = {Xiong Liu and Alan N. Willson Jr.}, title = {A 1.2 Gb/s recursive polyphase cascaded integrator-comb prefilter for high speed digital decimation filters in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2115--2118}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537065}, doi = {10.1109/ISCAS.2010.5537065}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuWWZP10, author = {Peijun Liu and Xueqiang Wang and Dong Wu and Zhigang Zhang and Liyang Pan}, title = {A novel high-speed and low-power negative voltage level shifter for low voltage applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {601--604}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537521}, doi = {10.1109/ISCAS.2010.5537521}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuWWZP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoiMPB10, author = {Igor Loi and Pol Marchal and Antonio Pullini and Luca Benini}, title = {3D NoCs - Unifying inter {\&} intra chip communication}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3337--3340}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537895}, doi = {10.1109/ISCAS.2010.5537895}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LoiMPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LolisBPDB10, author = {Luis Lolis and Carolynn Bernier and Micha{\"{e}}l Pelissier and Dominique Dallet and Jean{-}Baptiste B{\'{e}}gueret}, title = {Bandpass sampling Rx system design issues and architecture comparison for low power {RF} standards}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3921--3924}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537684}, doi = {10.1109/ISCAS.2010.5537684}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LolisBPDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LontMBRD10, author = {Maarten Lont and Dusan M. Milosevic and Peter G. M. Baltus and Arthur H. M. van Roermund and Guido Dolmans}, title = {Analytical passive mixer power gain models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2386--2389}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537183}, doi = {10.1109/ISCAS.2010.5537183}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LontMBRD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-MartinBRC10, author = {Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Carlos Aristoteles De la Cruz{-}Blas and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Compact low-voltage {CMOS} current-mode multiplier/divider}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1583--1586}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537427}, doi = {10.1109/ISCAS.2010.5537427}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-MartinBRC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopezAGP10, author = {Toni L{\'{o}}pez and Eduard Alarc{\'{o}}n and Francisco Guinjoan and Alberto Poveda}, title = {Takagi-Sugeno fuzzy model to approximate {MOSFET} capacitance for {VRM} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {613--616}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537519}, doi = {10.1109/ISCAS.2010.5537519}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopezAGP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopichD10, author = {Alexey Lopich and Piotr Dudek}, title = {An 80{\texttimes}80 general-purpose digital vision chip in 0.18{\(\mu\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4257--4260}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537565}, doi = {10.1109/ISCAS.2010.5537565}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopichD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuCB10, author = {Jinhu L{\"{u}} and Guanrong Chen and Mario di Bernardo}, title = {On some recent advances in synchronization and control of Complex Networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3773--3776}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537732}, doi = {10.1109/ISCAS.2010.5537732}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuCB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH10, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {Digital filters with sparse coefficients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {169--172}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538018}, doi = {10.1109/ISCAS.2010.5538018}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuLC10, author = {Robert Yi{-}Pin Lu and Jun{-}Wei Lin and Tzi{-}Dar Chiueh}, title = {Cross-layer optimization for wireless streaming via adaptive {MIMO} {OFDM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2255--2258}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537215}, doi = {10.1109/ISCAS.2010.5537215}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuRR10, author = {Chao Lu and Vijay Raghunathan and Kaushik Roy}, title = {Maximum power point considerations in micro-scale solar energy harvesting systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {273--276}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537888}, doi = {10.1109/ISCAS.2010.5537888}, timestamp = {Tue, 28 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuRR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuSM10, author = {Ye Lu and Sakir Sezer and John V. McCanny}, title = {{TLM2.0} based timing accurate modeling method for complex NoC systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2900--2903}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538041}, doi = {10.1109/ISCAS.2010.5538041}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuSS10, author = {Minwei Lu and Naresh R. Shanbhag and Andrew C. Singer}, title = {BER-optimal analog-to-digital converters for communication links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1029--1032}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537363}, doi = {10.1109/ISCAS.2010.5537363}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuSW10, author = {Yung{-}Kuei Lu and Ming{-}Der Shieh and Chien{-}Ming Wu}, title = {Low-complexity Reed-Solomon decoder for optical communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4173--4176}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537595}, doi = {10.1109/ISCAS.2010.5537595}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuT10, author = {Chi{-}Chang Lu and Wei{-}Xiang Tung}, title = {A 1.5V 12-b 40 MSamples/s {CMOS} pipelined {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4045--4048}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537630}, doi = {10.1109/ISCAS.2010.5537630}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LunH10, author = {Daniel Pak{-}Kong Lun and Tai{-}Chiu Hsung}, title = {Improved wavelet based a-priori {SNR} estimation for speech enhancement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2382--2385}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537182}, doi = {10.1109/ISCAS.2010.5537182}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LunH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoSLW10, author = {Hsin{-}Fu Luo and Ming{-}Der Shieh and Yi{-}Jun Liu and Chien{-}Ming Wu}, title = {Efficient memory management for {FFT} processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3737--3740}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537740}, doi = {10.1109/ISCAS.2010.5537740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoSLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoSZ10, author = {Zhengyi Luo and Li Song and Shibao Zheng}, title = {Improving {H.264/AVC} video coding with adaptive coefficient suppression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {945--948}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537392}, doi = {10.1109/ISCAS.2010.5537392}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuoSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuriaS10, author = {Kosta Luria and Joseph Shor}, title = {Miniaturized {CMOS} thermal sensor array for temperature gradient measurement in microprocessors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1855--1858}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537829}, doi = {10.1109/ISCAS.2010.5537829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuriaS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LusalaL10, author = {Angelo Kuti Lusala and Jean{-}Didier Legat}, title = {Combining circuit and packet switching with bus architecture in a NoC for real-time applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2880--2883}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536964}, doi = {10.1109/ISCAS.2010.5536964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LusalaL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LyonKD10, author = {Richard F. Lyon and Andreas G. Katsiamis and Emmanuel M. Drakakis}, title = {History and future of auditory filter models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3809--3812}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537724}, doi = {10.1109/ISCAS.2010.5537724}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LyonKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaN10, author = {Lin Ma and King N. Ngan}, title = {Adaptive block-size transform based just-noticeable difference profile for videos}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4213--4216}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537571}, doi = {10.1109/ISCAS.2010.5537571}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeB10, author = {Arjuna Madanayake and Leonard T. Bruton}, title = {Multidimensional raster-scanned LC-ladder wave-digital filter hardware for directional filtering in space-time}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1005--1008}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537372}, doi = {10.1109/ISCAS.2010.5537372}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaechlerGFB10, author = {Patrick Maechler and Pierre Greisen and Norbert Felber and Andreas Burg}, title = {Matching pursuit: Evaluation and implementatio for {LTE} channel estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {589--592}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537528}, doi = {10.1109/ISCAS.2010.5537528}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaechlerGFB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaffezzoniDDK10, author = {Paolo Maffezzoni and Dario D'Amore and Saeid Daneshgar and Michael Peter Kennedy}, title = {Estimating the locking range of analog dividers through a phase-domain macromodel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1535--1538}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537391}, doi = {10.1109/ISCAS.2010.5537391}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaffezzoniDDK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaghariM10, author = {Nima Maghari and Un{-}Ku Moon}, title = {Precise area-controlled return-to-zero current steering {DAC} with reduced sensitivity to clock jitter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {297--300}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537858}, doi = {10.1109/ISCAS.2010.5537858}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaghariM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaghariM10a, author = {Nima Maghari and Un{-}Ku Moon}, title = {A double-sampled path-coupled single-loop {\(\Sigma\)}{\(\Delta\)} modulator using noise-shaped integrating quantizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4005--4008}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537654}, doi = {10.1109/ISCAS.2010.5537654}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaghariM10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MagnoneCAK10, author = {Paolo Magnone and Felice Crupi and Massimo Alioto and Ben Kaczer}, title = {Experimental study of leakage-delay trade-off in Germanium pMOSFETs for logic circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1699--1702}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537514}, doi = {10.1109/ISCAS.2010.5537514}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MagnoneCAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MahdaviniaS10, author = {Parisa Mahdavinia and Hamid Sarbazi{-}Azad}, title = {An efficient routing algorithm for irregular mesh NoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3228--3231}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537936}, doi = {10.1109/ISCAS.2010.5537936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MahdaviniaS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiMMNWH10, author = {Zicong Mai and Hassan Mansour and Rafal Mantiuk and Panos Nasiopoulos and Rabab Kreidieh Ward and Wolfgang Heidrich}, title = {On-the-fly tone mapping for backward-compatible high dynamic range image/video compression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1831--1834}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537769}, doi = {10.1109/ISCAS.2010.5537769}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiMMNWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MajidzadehJSVL10, author = {Vahid Majidzadeh and Laurent Jacques and Alexandre Schmid and Pierre Vandergheynst and Yusuf Leblebici}, title = {A (256{\texttimes}256) pixel 76.7mW {CMOS} imager/ compressor based on real-time In-pixel compressive sensing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2956--2959}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538021}, doi = {10.1109/ISCAS.2010.5538021}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MajidzadehJSVL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MamaletRG10, author = {Franck Mamalet and S{\'{e}}bastien Roux and Christophe Garcia}, title = {Embedded facial image processing with Convolutional Neural Networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {261--264}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537897}, doi = {10.1109/ISCAS.2010.5537897}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MamaletRG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalBC10, author = {Kuntal Mandal and Soumitro Banerjee and Chandan Chakraborty}, title = {Bifurcations in load resonant {DC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {133--136}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536968}, doi = {10.1109/ISCAS.2010.5536968}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalBC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalS10, author = {Soumyajit Mandal and Rahul Sarpeshkar}, title = {A cochlear heterodyning architecture for an {RF} fovea}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3825--3828}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537711}, doi = {10.1109/ISCAS.2010.5537711}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MangiaRS10, author = {Mauro Mangia and Riccardo Rovatti and Gianluca Setti}, title = {Narrowband interference reduction in {UWB} systems based on spreading sequence spectrum shaping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1799--1802}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537689}, doi = {10.1109/ISCAS.2010.5537689}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MangiaRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ManolakosS10, author = {Elias S. Manolakos and Ioannis Stamoulias}, title = {IP-cores design for the kNN classifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4133--4136}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537602}, doi = {10.1109/ISCAS.2010.5537602}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ManolakosS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaranoPP10, author = {Davide Marano and Gaetano Palumbo and Salvatore Pennisi}, title = {Analytical figure of merit evaluation of {RNMC} networks for low-power three-stage OTAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {777--780}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537455}, doi = {10.1109/ISCAS.2010.5537455}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaranoPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaranoPP10a, author = {Davide Marano and Gaetano Palumbo and Salvatore Pennisi}, title = {A novel low-power high-speed rail-to-rail class-B buffer amplifier for {LCD} output drivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2816--2819}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536982}, doi = {10.1109/ISCAS.2010.5536982}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaranoPP10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaranoPP10b, author = {Davide Marano and Gaetano Palumbo and Salvatore Pennisi}, title = {Low-power dual-active class-AB buffer amplifier with self-biasing network for {LCD} column drivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2832--2835}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536986}, doi = {10.1109/ISCAS.2010.5536986}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaranoPP10b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarchanBMMA10, author = {Jordi March{\'{a}}n and Eduard Barba and L{\'{a}}zaro Marco and Dragan Maksimovic and Eduard Alarc{\'{o}}n}, title = {Circuit/system design space characterization of EER-based transmitter for 802.11a {WLAN} standard}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {881--884}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537416}, doi = {10.1109/ISCAS.2010.5537416}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarchanBMMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarcoFGP10, author = {Mauro Di Marco and Mauro Forti and Massimo Grazzini and Luca Pancioni}, title = {A note on the dichotomy of limit sets for cooperative CNNs with delays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2035--2038}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537175}, doi = {10.1109/ISCAS.2010.5537175}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarcoFGP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarijanI10, author = {Malisa Marijan and Zeljko Ignjatovic}, title = {Code division parallel delta-sigma {A/D} converter with probabilistic iterative decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4025--4028}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537642}, doi = {10.1109/ISCAS.2010.5537642}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarijanI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinezVCPG10, author = {Herminio Mart{\'{\i}}nez and Eva Vidal and Andrea Cant{\'{o}} and Alberto Poveda and Francesc Guinjoan}, title = {Bandwidth-enhancement gm-C filter with independent {\(\omega\)}O and {Q} tuning mechanisms in both topology and control loops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3625--3628}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537780}, doi = {10.1109/ISCAS.2010.5537780}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinezVCPG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsD10, author = {Wallace Alves Martins and Paulo S. R. Diniz}, title = {Pilot-aided designs of memoryless block equalizers with minimum redundancy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3312--3315}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537975}, doi = {10.1109/ISCAS.2010.5537975}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinsD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinsUMM10, author = {Miguel A. Martins and Ka{-}Fai Un and Pui{-}In Mak and Rui Paulo Martins}, title = {{SC} biquad filter with hybrid utilization of OpAmp and comparator-based circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1276--1279}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537270}, doi = {10.1109/ISCAS.2010.5537270}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MartinsUMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarzioGRSAS10, author = {M. Di Marzio and Michelangelo Grosso and Matteo Sonza Reorda and Luca Sterpone and G. Audisio and Marco Sabatini}, title = {A novel scalable and reconfigurable emulation platform for embedded systems verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {865--868}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537422}, doi = {10.1109/ISCAS.2010.5537422}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarzioGRSAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MasmoudiAK10, author = {Khaled Masmoudi and Marc Antonini and Pierre Kornprobst}, title = {Another look at the retina as an image scalar quantizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3076--3079}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537983}, doi = {10.1109/ISCAS.2010.5537983}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MasmoudiAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MasuchD10, author = {Jens Masuch and Manuel Delgado{-}Restituto}, title = {Low power 2.4 GHz quadrature generation for body area network applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {493--496}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537609}, doi = {10.1109/ISCAS.2010.5537609}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MasuchD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatolinWLP10, author = {Daniel Matolin and Rainer Wohlgenannt and Martin Litzenberger and Christoph Posch}, title = {A load-balancing readout method for large event-based {PWM} imaging arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {361--364}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537778}, doi = {10.1109/ISCAS.2010.5537778}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatolinWLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatosCS10, author = {Debora Matos and Luigi Carro and Altamiro Amadeu Susin}, title = {Associating packets of heterogeneous cores using a synchronizer wrapper for NoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4177--4180}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537596}, doi = {10.1109/ISCAS.2010.5537596}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatosCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsuiTH10, author = {Keiju Matsui and Susumu Tanaka and Masaru Hasegawa}, title = {Analysis and improvement of bilateral chopper having current resonant soft-switch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2726--2729}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537037}, doi = {10.1109/ISCAS.2010.5537037}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsuiTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsushitaN10, author = {Haruna Matsushita and Yoshifumi Nishio}, title = {Self-Organizing Map with Weighted Connections avoiding false-neighbor effects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2554--2557}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537113}, doi = {10.1109/ISCAS.2010.5537113}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsushitaN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MauryaC10, author = {Satendra Kumar Maurya and Lawrence T. Clark}, title = {Fast and scalable priority encoding using static {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {433--436}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537688}, doi = {10.1109/ISCAS.2010.5537688}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MauryaC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MayrNPS10, author = {Christian Mayr and Marko Noack and Johannes Partzsch and Ren{\'{e}} Sch{\"{u}}ffny}, title = {Replicating experimental spike and rate based neural learning in {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {105--108}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537009}, doi = {10.1109/ISCAS.2010.5537009}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MayrNPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeherJCL10, author = {Manas Ranjan Meher and Ching{-}Chuen Jong and Chip{-}Hong Chang and Jeremy Yung Shern Low}, title = {A novel counter-based low complexity inner-product architecture for high speed inputs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {705--708}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537482}, doi = {10.1109/ISCAS.2010.5537482}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeherJCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeijerGKUBLV10, author = {Maurice Meijer and Jos{\'{e}} Pineda de Gyvez and Ben Kup and Bert van Uden and Peter Bastiaansen and Marco Lammers and Maarten Vertregt}, title = {A forward body bias generator for digital {CMOS} circuits with supply voltage scaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2482--2485}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537129}, doi = {10.1109/ISCAS.2010.5537129}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeijerGKUBLV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MendizabalAABMB10, author = {Jaizki Mendizabal and Unai Alvarado and I{\~{n}}igo Adin and Guillermo Bistu{\'{e}} and Juan Mel{\'{e}}ndez and Roc Berenguer}, title = {Design for test of a low power multi-standard {GPS/GALILEO} {RF} front-end}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3577--3580}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537802}, doi = {10.1109/ISCAS.2010.5537802}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MendizabalAABMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengZHCX10, author = {Yongde Meng and Chunpu Zou and Min Huang and Jie Chen and James Xing}, title = {Development of water-soluble sono/photo-sensitive nanopartices for cancer treatment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1516--1519}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537376}, doi = {10.1109/ISCAS.2010.5537376}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengZHCX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeolaCBSB10, author = {Marco Meola and Sergio Carrato and Angelo Bovino and J{\"{u}}rgen Sch{\"{a}}fer and Emanuele Bodano}, title = {Minimum hardware serial {PID} regulator for high efficiency, low power digital {DC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2924--2927}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538030}, doi = {10.1109/ISCAS.2010.5538030}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeolaCBSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerrettWZMA10, author = {Michael Merrett and Yangang Wang and Mark Zwolinski and Koushik Maharatna and Massimo Alioto}, title = {Design metrics for {RTL} level estimation of delay variability due to intradie (random) variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2498--2501}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537133}, doi = {10.1109/ISCAS.2010.5537133}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerrettWZMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MesgarzadehA10, author = {Behzad Mesgarzadeh and Atila Alvandpour}, title = {{EMI} reduction by resonant clock distribution networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {977--980}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537380}, doi = {10.1109/ISCAS.2010.5537380}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MesgarzadehA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MezghaniN10, author = {Amine Mezghani and Josef A. Nossek}, title = {How to choose the {ADC} resolution for short range low power communication?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1025--1028}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537362}, doi = {10.1109/ISCAS.2010.5537362}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MezghaniN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MichaelVMP10, author = {Navin Michael and A. Prasad Vinod and Christophe Moy and Jacques Palicot}, title = {Design paradigm for standard agnostic channelization in flexible mobile radios}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {65--68}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537076}, doi = {10.1109/ISCAS.2010.5537076}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MichaelVMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MichalDC10, author = {Jan M{\'{\i}}chal and Josef Dobes and David Cern{\'{y}}}, title = {Multiobjective optimization with an asymptotically uniform coverage of Pareto front}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2912--2915}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538044}, doi = {10.1109/ISCAS.2010.5538044}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MichalDC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MichalKSRS10, author = {Vratislav Michal and Geoffroy Klisnick and G{\'{e}}rard Sou and Michel Redon and Jir{\'{\i}} Sedl{\'{a}}cek}, title = {Current conveyor with very low output impedance voltage buffer for laboratory instrumentation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1049--1052}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537353}, doi = {10.1109/ISCAS.2010.5537353}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MichalKSRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MichalPPA10, author = {Vratislav Michal and Christophe Premont and Ga{\"{e}}l Pillonnet and Nacer Abouchi}, title = {Zero-derivative method of analog controller design applied to step-down {DC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2920--2923}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538046}, doi = {10.1109/ISCAS.2010.5538046}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MichalPPA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiguelLRC10, author = {Jos{\'{e}} Mar{\'{\i}}a Algueta{-}Miguel and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Tunable rail-to-rail {FGMOS} transconductor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {225--228}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537948}, doi = {10.1109/ISCAS.2010.5537948}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiguelLRC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MillerSPS10, author = {Steven R. Miller and Andreas S. Spanias and Antonia Papandreou{-}Suppappola and Robert W. Santucci}, title = {Enhanced direction of arrival estimation via reassigned space-time-frequency methods}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2538--2541}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537109}, doi = {10.1109/ISCAS.2010.5537109}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MillerSPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirhassaniZ10, author = {Mitra Mirhassani and Babak Zamanlooy}, title = {System-level design of low complexity {CVNS} feed forward neural network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2578--2581}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537102}, doi = {10.1109/ISCAS.2010.5537102}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MirhassaniZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MishkovskiRBK10, author = {Igor Mishkovski and Marco Righero and Mario Biey and Ljupco Kocarev}, title = {Building synchronizable and robust networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {681--684}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537491}, doi = {10.1109/ISCAS.2010.5537491}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MishkovskiRBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MitraIE10, author = {Srinjoy Mitra and Giacomo Indiveri and Ralph Etienne{-}Cummings}, title = {Synthesis of log-domain integrators for silicon synapses with global parametric control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {97--100}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537019}, doi = {10.1109/ISCAS.2010.5537019}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MitraIE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Miyashita10, author = {Kiyoshi Miyashita}, title = {A Ku-band down-converter with perfect differential {PLL} in 0.18um {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4289--4292}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537556}, doi = {10.1109/ISCAS.2010.5537556}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Miyashita10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MladenovNKD10, author = {Todor Mladenov and Saeid Nooshabadi and Keseon Kim and Alberto Dassatti}, title = {Parallel scalable hardware architecture for hard Raptor decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3741--3744}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537741}, doi = {10.1109/ISCAS.2010.5537741}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MladenovNKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohsenD10, author = {Mohamed Mohsen and Mohamed Dessouky}, title = {13-bit 205 MS/s time-interleaved pipelined {ADC} with digital background calibration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1727--1730}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537535}, doi = {10.1109/ISCAS.2010.5537535}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohsenD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MolahosseiniTN10, author = {Amir Sabbagh Molahosseini and Faegheh Teymouri and Keivan Navi}, title = {A new four-modulus {RNS} to binary converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4161--4164}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537592}, doi = {10.1109/ISCAS.2010.5537592}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MolahosseiniTN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MolzahnL10, author = {Daniel K. Molzahn and Bernard C. Lesieutre}, title = {An eigenvalue formulation for determining initial conditions of induction machines in dynamic power system simulations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2311--2313}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537071}, doi = {10.1109/ISCAS.2010.5537071}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MolzahnL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoonC10, author = {Jinyeong Moon and Byong{-}Tae Chung}, title = {Sense amplifier with offset mismatch calibration for sub 1-V {DRAM} core operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3501--3504}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537834}, doi = {10.1109/ISCAS.2010.5537834}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MoonC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Morfu10, author = {S. Morfu}, title = {Image processing using diffusion processes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1811--1814}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537719}, doi = {10.1109/ISCAS.2010.5537719}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Morfu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorganEEG10, author = {Ahmed A. Morgan and Haytham Elmiligi and M. Watheq El{-}Kharashi and Fayez Gebali}, title = {Multi-objective optimization for Networks-on-Chip architectures using Genetic Algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3725--3728}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537754}, doi = {10.1109/ISCAS.2010.5537754}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorganEEG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoriS10, author = {Hiroyuki Mori and Kojiro Shimomugi}, title = {A multi-objective meta-heuristic method for distribution network optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3457--3460}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537840}, doi = {10.1109/ISCAS.2010.5537840}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoriS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorieSLIHS10, author = {Takashi Morie and Yilai Sun and Haichao Liang and Makoto Igarashi and Chi{-}Hsien Huang and Seiji Samukawa}, title = {A 2-dimensional Si nanodisk array structure for spiking neuron models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {781--784}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537456}, doi = {10.1109/ISCAS.2010.5537456}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorieSLIHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Moro-FriasSC10, author = {D. Moro{-}Fr{\'{\i}}as and Mar{\'{\i}}a Teresa Sanz{-}Pascual and Carlos Aristoteles De la Cruz{-}Blas}, title = {Linear-in-dB Variable Gain Amplifier with {PWL} exponential gain control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2824--2827}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536984}, doi = {10.1109/ISCAS.2010.5536984}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Moro-FriasSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaAE10, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1739--1742}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537544}, doi = {10.1109/ISCAS.2010.5537544}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MostafaAE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaAE10a, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Statistical timing yield improvement of dynamic circuits using negative capacitance technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1747--1750}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537560}, doi = {10.1109/ISCAS.2010.5537560}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MostafaAE10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MukadamFZA10, author = {Mustansir Yunus Mukadam and Oscar da Costa Gouveia{-}Filho and Xuan Zhang and Alyssa B. Apsel}, title = {Process variation compensation of a 4.6 GHz {LNA} in 65nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2490--2493}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537131}, doi = {10.1109/ISCAS.2010.5537131}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MukadamFZA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MullerCNK10, author = {Jonathan M{\"{u}}ller and Andreia Cathelin and Ali M. Niknejad and Andreas Kaiser}, title = {A {FIR} baseband filter for high data rate 60-GHz wireless communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1771--1774}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537620}, doi = {10.1109/ISCAS.2010.5537620}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MullerCNK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MullerD10, author = {Matthias Albrecht M{\"{u}}ller and Alejandro D. Dom{\'{\i}}nguez{-}Garc{\'{\i}}a}, title = {On input-to-state stability notions for reachability analysis of power systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2754--2757}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537027}, doi = {10.1109/ISCAS.2010.5537027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MullerD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuralidharanC10, author = {Ramya Muralidharan and Chip{-}Hong Chang}, title = {Fast hard multiple generators for radix-8 Booth encoded modulo 2\({}^{\mbox{n}}\)-1 and modulo 2\({}^{\mbox{n}}\)+1 multipliers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {717--720}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537480}, doi = {10.1109/ISCAS.2010.5537480}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuralidharanC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Murthy-BellurK10, author = {Dakshina Murthy{-}Bellur and Marian K. Kazimierczuk}, title = {Two-switch flyback-forward {PWM} {DC-DC} converter with reduced switch voltage stress}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3705--3708}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537766}, doi = {10.1109/ISCAS.2010.5537766}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Murthy-BellurK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MusahM10, author = {Tawfiq Musah and Un{-}Ku Moon}, title = {Pseudo-differential zero-crossing-based circuit with differential error suppression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1731--1734}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537538}, doi = {10.1109/ISCAS.2010.5537538}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MusahM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaHBKLMK10, author = {Yeoul Na and Seokjoong Hwang and Giseong Bak and Seon Wook Kim and Cheol Ho Lee and Junkyu Min and Taejin Kim}, title = {Hierarchical data structure-based timing controller design for plasma display panels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4121--4124}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537616}, doi = {10.1109/ISCAS.2010.5537616}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaHBKLMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaeemCLJ10, author = {Abdul Naeem and Xiaowen Chen and Zhonghai Lu and Axel Jantsch}, title = {Scalability of weak consistency in NoC based multicore architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3497--3500}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537833}, doi = {10.1109/ISCAS.2010.5537833}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaeemCLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakajimaW10, author = {Mao Nakajima and Minoru Watanabe}, title = {A 100-context optically reconfigurable gate array}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2884--2887}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536965}, doi = {10.1109/ISCAS.2010.5536965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakajimaW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakataSHKMMMM10, author = {Shunji Nakata and Hirotsugu Suzuki and Ryota Honda and Takahito Kusumoto and Shin'ichiro Mutoh and Hiroshi Makino and Masayuki Miyama and Yoshio Matsuda}, title = {Adiabatic {SRAM} with a shared access port using a controlled ground line and step-voltage circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2474--2477}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537144}, doi = {10.1109/ISCAS.2010.5537144}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakataSHKMMMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakayamaSW10, author = {Kiyoshi Nakayama and Norihiko Shinomiya and Hitoshi Watanabe}, title = {Distributed control for link failure based on tie-sets in information networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3913--3916}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537682}, doi = {10.1109/ISCAS.2010.5537682}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakayamaSW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaraASTYO10, author = {Ryuta Nara and Hiroshi Atobe and Youhua Shi and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, title = {State-dependent changeable scan architecture against scan-based side channel attacks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1867--1870}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537859}, doi = {10.1109/ISCAS.2010.5537859}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaraASTYO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NavarroDMG10, author = {David Navarro and Wan Du and Fabien Mieyeville and Fr{\'{e}}d{\'{e}}ric Gaffiot}, title = {A complete system-level behavioural model for {IEEE} 802.15.4 Wireless Sensor Network simulations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3917--3920}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537683}, doi = {10.1109/ISCAS.2010.5537683}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NavarroDMG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NechmaZR10, author = {Tarek Nechma and Mark Zwolinski and Jeff S. Reeve}, title = {Parallel sparse matrix solver for direct circuit simulations on FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2358--2361}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537195}, doi = {10.1109/ISCAS.2010.5537195}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NechmaZR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeftciCCID10, author = {Emre Neftci and Elisabetta Chicca and Matthew Cook and Giacomo Indiveri and Rodney J. Douglas}, title = {Live demonstration: State-dependent sensory processing in networks of {VLSI} spiking neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2788}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537006}, doi = {10.1109/ISCAS.2010.5537006}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NeftciCCID10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeftciCCID10a, author = {Emre Neftci and Elisabetta Chicca and Matthew Cook and Giacomo Indiveri and Rodney J. Douglas}, title = {State-dependent sensory processing in networks of {VLSI} spiking neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2789--2792}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537007}, doi = {10.1109/ISCAS.2010.5537007}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NeftciCCID10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeirynckPR10, author = {Dries Neirynck and Kathleen Philips and Olivier Rousseaux}, title = {Partially coherent signal combination for impulse radio synchronisation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3292--3295}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537901}, doi = {10.1109/ISCAS.2010.5537901}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeirynckPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgBB10, author = {Kwan Ting Ng and Farid Boussa{\"{\i}}d and Amine Bermak}, title = {A frequency-based signature gas identification circuit for SnO2 gas sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2275--2278}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536952}, doi = {10.1109/ISCAS.2010.5536952}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgamkhamSHS10, author = {Wannaya Ngamkham and Chutham Sawigun and Senad Hiseni and Wouter A. Serdijn}, title = {Analog complex gammatone filter for cochlear implant channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {969--972}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537383}, doi = {10.1109/ISCAS.2010.5537383}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgamkhamSHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NganDAC10, author = {Nicolas Ngan and Eva Dokl{\'{a}}dalov{\'{a}} and Mohamed Akil and Fran{\c{c}}ois Contou{-}Carr{\`{e}}re}, title = {Dynamically adaptable architecture for real-time video processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4125--4128}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537617}, doi = {10.1109/ISCAS.2010.5537617}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NganDAC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NgoYC10, author = {VietAnh Ngo and Wenxian Yang and Jianfei Cai}, title = {Accurate playfield detection using Area-of-Coverage}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3441--3444}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537853}, doi = {10.1109/ISCAS.2010.5537853}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NgoYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenCT10, author = {Viet Anh Nguyen and Zhenzhong Chen and Yap{-}Peng Tan}, title = {Perceptually optimized error resilient transcoding using attention-based intra refresh}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4217--4220}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537572}, doi = {10.1109/ISCAS.2010.5537572}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenTX10, author = {Minh Nhut Nguyen and Qi Tian and Ping Xue}, title = {Efficient discovery of unknown ads for audio podcast content}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3677--3680}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537776}, doi = {10.1109/ISCAS.2010.5537776}, timestamp = {Fri, 22 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenTX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NicolosiTABH10, author = {Leonardo Nicolosi and Ronald Tetzlaff and Felix Abt and Andreas Blug and Heinrich H{\"{o}}fler}, title = {A camera based closed loop control system for keyhole welding processes: Algorithm comparison}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2043--2046}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537177}, doi = {10.1109/ISCAS.2010.5537177}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NicolosiTABH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NigussiePI10, author = {Ethiopia Nigussie and Juha Plosila and Jouni Isoaho}, title = {Monitoring and reconfiguration techniques for power supply variation tolerant on-chip links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2892--2895}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536967}, doi = {10.1109/ISCAS.2010.5536967}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NigussiePI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NikolicSCSLN10, author = {Borivoje Nikolic and Changhwan Shin and Min Hee Cho and Xin Sun and Tsu{-}Jae King Liu and Bich{-}Yen Nguyen}, title = {{SRAM} design in fully-depleted {SOI} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1707--1710}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537520}, doi = {10.1109/ISCAS.2010.5537520}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NikolicSCSLN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NikolicT10, author = {Konstantin Nikolic and Chris Toumazou}, title = {A bio-inspired ultrasensitive imaging chip - Phase one: Design paradigm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {345--348}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537798}, doi = {10.1109/ISCAS.2010.5537798}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NikolicT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NimniS10, author = {Yigal Nimni and Doron Shmilovitz}, title = {A returned energy architecture for improved photovoltaic systems efficiency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2191--2194}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537199}, doi = {10.1109/ISCAS.2010.5537199}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NimniS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NjinowaBB10, author = {Marcel Siadjine Njinowa and Hung Tien Bui and Fran{\c{c}}ois R. Boyer}, title = {Peak-to-peak jitter reduction technique for the Free-Running Period Synthesizer {(FRPS)}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1312--1315}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537254}, doi = {10.1109/ISCAS.2010.5537254}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NjinowaBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NjugunaG10, author = {Raphael Njuguna and Viktor Gruev}, title = {Linear current mode image sensor with focal plane spatial image processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4265--4268}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537567}, doi = {10.1109/ISCAS.2010.5537567}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NjugunaG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NoguchiTSKY10, author = {Hiroki Noguchi and Junichi Tani and Yusuke Shimai and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Parallel-processing {VLSI} architecture for mixed integer linear programming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2362--2365}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537196}, doi = {10.1109/ISCAS.2010.5537196}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NoguchiTSKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NomuraAFKK10, author = {Kumiko Nomura and Keiko Abe and Shinobu Fujita and Yasuhiko Kurosawa and Atsushi Kageshima}, title = {Performance analysis of 3D-IC for multi-core processors in sub-65nm {CMOS} technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2876--2879}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536963}, doi = {10.1109/ISCAS.2010.5536963}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NomuraAFKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NorthemannMRBM10, author = {Thomas Northemann and Michael Maurer and Stefan Rombach and Alexander Buhmann and Yiannos Manoli}, title = {Drive and sense interface for gyroscopes based on bandpass sigma-delta modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3264--3267}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537911}, doi = {10.1109/ISCAS.2010.5537911}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NorthemannMRBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NorthemannZMM10, author = {Thomas Northemann and Anne Ziegler and Michael Maurer and Yiannos Manoli}, title = {An amplitude regulation for gyroscope drive loops based on phase-shifting}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3268--3271}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537912}, doi = {10.1109/ISCAS.2010.5537912}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NorthemannZMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NunezAQ10, author = {Juan N{\'{u}}{\~{n}}ez and Maria J. Avedillo and Jos{\'{e}} M. Quintana}, title = {Single phase {MOS-NDR} mobile networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {153--156}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538038}, doi = {10.1109/ISCAS.2010.5538038}, timestamp = {Wed, 30 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NunezAQ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NurmiN10, author = {William Nurmi and Saeid Nooshabadi}, title = {An adaptive Space-Time Coding / Spatial Multiplexing detector on {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4169--4172}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537594}, doi = {10.1109/ISCAS.2010.5537594}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NurmiN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ODriscollM10, author = {Stephen O'Driscoll and Teresa H. Meng}, title = {Adaptive signal acquisition and wireless power transfer for an implantable prosthesis processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3589--3592}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537805}, doi = {10.1109/ISCAS.2010.5537805}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ODriscollM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgunfunmiR10, author = {Tokunbo Ogunfunmi and Mahmudur Rahman}, title = {A concept inventory for an Electric Circuits course : Rationale and fundamental topics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2804--2807}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536996}, doi = {10.1109/ISCAS.2010.5536996}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OgunfunmiR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhC10, author = {Byung Joon Oh and Chang Wen Chen}, title = {A cross-layer adaptation {HCCA} {MAC} for QoS-aware {H.264} video communications over Wireless Mesh Networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2259--2262}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537216}, doi = {10.1109/ISCAS.2010.5537216}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhchiTYO10, author = {Akira Ohchi and Nozomu Togawa and Masao Yanagisawa and Tatsuo Ohtsuki}, title = {Performance-driven high-level synthesis with floorplan for {GDR} architectures and its evaluation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {921--924}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537401}, doi = {10.1109/ISCAS.2010.5537401}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhchiTYO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhhataIOTYYC10, author = {Kenichi Ohhata and Hironori Imamura and Toshinobu Ohno and Takaya Taniguchi and Kiichi Yamashita and Toru Yazaki and Norio Chujo}, title = {17 Gb/s {VCSEL} driver using double-pulse asymmetric emphasis technique in 90-nm {CMOS} for optical interconnection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1847--1850}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537809}, doi = {10.1109/ISCAS.2010.5537809}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhhataIOTYYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OkaforZGB10, author = {Nelson Okafor and Bashar Zahawi and Damian Giaouris and Soumitro Banerjee}, title = {Chaos, coexisting attractors, and fractal basin boundaries in {DC} drives with full-bridge converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {129--132}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536979}, doi = {10.1109/ISCAS.2010.5536979}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OkaforZGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OlssonCWEPD10, author = {Thomas Olsson and Anders Carlsson and Leif R. Wilhelmsson and Johan Eker and Carl von Platen and Isael Diaz}, title = {A reconfigurable {OFDM} inner receiver implemented in the {CAL} dataflow language}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2904--2907}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538042}, doi = {10.1109/ISCAS.2010.5538042}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OlssonCWEPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OneteO10, author = {Cristian E. Onete and Maria Cristina C. Onete}, title = {Indefinite matrices of linear electrical circuits, their pseudoinverses, and applications in related fields}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2402--2405}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537168}, doi = {10.1109/ISCAS.2010.5537168}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OneteO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OngWL10, author = {Ee Ping Ong and Shiqian Wu and Mei Hwan Loke}, title = {IN-service video quality monitoring}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3381--3384}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537872}, doi = {10.1109/ISCAS.2010.5537872}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OngWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OnizawaH10, author = {Naoya Onizawa and Takahiro Hanyu}, title = {High-throughput protocol converter based on an independent encoding/decoding scheme for asynchronous Network-on-Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {157--160}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538027}, doi = {10.1109/ISCAS.2010.5538027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OnizawaH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OppenheimAV10, author = {Harry Oppenheim and Robert S. Armiger and R. Jacob Vogelstein}, title = {WiiEMG: {A} real-time environment for control of the Wii with surface electromyography}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {957--960}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537390}, doi = {10.1109/ISCAS.2010.5537390}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OppenheimAV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrengoSBG10, author = {Giancarlo Orengo and Giovanni Saggio and Stefano Bocchetti and Franco Giannini}, title = {Advanced characterization of piezoresistive sensors for human body movement tracking}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1181--1184}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537306}, doi = {10.1109/ISCAS.2010.5537306}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrengoSBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OuLLL10, author = {Shih{-}Hao Ou and Yen{-}Cheng Lin and Tay{-}Jyi Lin and Chih{-}Wei Liu}, title = {Improving energy efficiency of functional units by exploiting their data-dependent latency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4165--4168}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537593}, doi = {10.1109/ISCAS.2010.5537593}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OuLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OuZ10, author = {Hsu{-}Cheng Ou and Mona E. Zaghloul}, title = {The {SAW} resonators on LiNb03 for mass-sensing applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1787--1790}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537659}, doi = {10.1109/ISCAS.2010.5537659}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OuZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OudaHR10, author = {Mahmoud Ouda and Emad Hegazi and Hani Fikry Ragai}, title = {Digital enhancement of frequency synthesizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {973--976}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537384}, doi = {10.1109/ISCAS.2010.5537384}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OudaHR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ouzounov10, author = {Sotir Ouzounov}, title = {On the characterization of limit cycle modes in oversampled data converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1073--1076}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537344}, doi = {10.1109/ISCAS.2010.5537344}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ouzounov10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PachnisDD10, author = {Ioannis Pachnis and Andreas Demosthenous and Nick Donaldson}, title = {Towards an adaptive modified quasi-tripole amplifier configuration for {EMG} neutralization in neural recording tripoles}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3144--3147}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537966}, doi = {10.1109/ISCAS.2010.5537966}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PachnisDD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PakniatYL10, author = {Hossein Pakniat and Mohammad Yavari and Reza Lotfi}, title = {A digital background correction technique combined with {DWA} for {DAC} mismatch errors in multibit {\(\Sigma\)}{\(\Delta\)} ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {293--296}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537857}, doi = {10.1109/ISCAS.2010.5537857}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PakniatYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PalV10, author = {Piya Pal and P. P. Vaidyanathan}, title = {Beamforming using passive nested arrays of sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2840--2843}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536971}, doi = {10.1109/ISCAS.2010.5536971}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PalV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanellaM10, author = {Massimo Panella and Fabio Massimo Frattale Mascioli}, title = {A tuning procedure for the electric networks of {PEM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3272--3275}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537913}, doi = {10.1109/ISCAS.2010.5537913}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanellaM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanteaC10, author = {Casian Pantea and Gheorghe Craciun}, title = {Computational methods for analyzing bistability in biochemical reaction networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {549--552}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537543}, doi = {10.1109/ISCAS.2010.5537543}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PanteaC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParameswaranK10, author = {Shankar Parameswaran and Nagendra Krishnapura}, title = {A 100 {\(\mathrm{\mu}\)}W Decimator for a 16 bit 24 kHz bandwidth Audio {\(\Delta\)}{\(\Sigma\)} Modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2410--2413}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537170}, doi = {10.1109/ISCAS.2010.5537170}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParameswaranK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Parfieniuk10, author = {Marek Parfieniuk}, title = {A directional extension of the {JPEG} image codec}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2872--2875}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536962}, doi = {10.1109/ISCAS.2010.5536962}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Parfieniuk10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkCLKPKL10, author = {Chanwoo Park and Sanghyun Cha and Yuenjoong Lee and Ohjo Kwon and Deukhee Park and Kyoungsoo Kwon and Jaeshin Lee}, title = {A highly accurate piezoelectric actuator driver {IC} for auto-focus in camera module of mobile phone}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1284--1287}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537267}, doi = {10.1109/ISCAS.2010.5537267}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkCLKPKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkLL10, author = {Sanghoon Park and Vincent W. Leung and Lawrence E. Larson}, title = {An improved wide-dynamic range tunable {RF} interference suppression notch filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1033--1036}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537359}, doi = {10.1109/ISCAS.2010.5537359}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkLY10, author = {Junyoung Park and Seungjin Lee and Hoi{-}Jun Yoo}, title = {A 30fps stereo matching processor based on belief propagation with disparity-parallel {PE} array architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {453--456}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537657}, doi = {10.1109/ISCAS.2010.5537657}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkO10, author = {Jeoong Sung Park and Tokunbo Ogunfunmi}, title = {{FPGA} implementation of the {MIMO-OFDM} physical layer using single {FFT} multiplexing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2682--2685}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537043}, doi = {10.1109/ISCAS.2010.5537043}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkP10, author = {Kangwoo Park and In{-}Cheol Park}, title = {Low-complexity tone reservation method for {PAPR} reduction of {OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2147--2150}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536949}, doi = {10.1109/ISCAS.2010.5536949}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParkP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkQH10, author = {Jin H. Park and Yunfei Qiu and Martin C. Herbordt}, title = {{CAAD} BLASTn: Accelerated {NCBI} BLASTn with {FPGA} prefiltering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3797--3800}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537721}, doi = {10.1109/ISCAS.2010.5537721}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkQH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkW10, author = {Youngmin Park and David D. Wentzloff}, title = {{IR-UWB} transmitters synthesized from standard digital library components}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3296--3299}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537902}, doi = {10.1109/ISCAS.2010.5537902}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkW10a, author = {Youngmin Park and David D. Wentzloff}, title = {A cyclic vernier time-to-digital converter synthesized from a 65nm {CMOS} standard library}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3561--3564}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537815}, doi = {10.1109/ISCAS.2010.5537815}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkW10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatelSSG10, author = {Dimpesh Patel and Vadim Smolyakov and Mahdi Shabany and P. Glenn Gulak}, title = {{VLSI} implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best {MIMO} detector}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {593--596}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537524}, doi = {10.1109/ISCAS.2010.5537524}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatelSSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatonTPH10, author = {Susana Pat{\'{o}}n and Juan A. Torre{\~{n}}o and Enrique Prefasi and Luis Hern{\'{a}}ndez}, title = {Continuous Time Cascade Sigma Delta Modulator without digital cancellation filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4009--4012}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537655}, doi = {10.1109/ISCAS.2010.5537655}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PatonTPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PattersonWMFI10, author = {Roy D. Patterson and Thomas C. Walters and Jessica Monaghan and Christian Feldbauer and Toshio Irino}, title = {Auditory speech processing for scale-shift covariance and its evaluation in automatic speech recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3813--3816}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537725}, doi = {10.1109/ISCAS.2010.5537725}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PattersonWMFI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaulLLL10, author = {Manoranjan Paul and Weisi Lin and Chiew Tong Lau and Bu{-}Sung Lee}, title = {McFIS: Better I-frame for video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2171--2174}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537228}, doi = {10.1109/ISCAS.2010.5537228}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PaulLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pavan10, author = {Shanthi Pavan}, title = {Understanding weak loop filter nonlinearities in continuous time {\(\Delta\)}{\(\Sigma\)} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {17--20}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537136}, doi = {10.1109/ISCAS.2010.5537136}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pavan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PecheuxML10, author = {Fran{\c{c}}ois P{\^{e}}cheux and Morgan Madec and Christophe Lallement}, title = {Is SystemC-AMS an appropriate "promoter" for the modeling and simulation of bio-compatible systems?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1791--1794}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537669}, doi = {10.1109/ISCAS.2010.5537669}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PecheuxML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiL10, author = {Soo{-}Chang Pei and Huei{-}Shan Lin}, title = {Design of {IIR} allpass fractional-delay fractional Hilbert transformer using complex cepstrum}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {737--740}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537470}, doi = {10.1109/ISCAS.2010.5537470}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiL10a, author = {Soo{-}Chang Pei and Huei{-}Shan Lin}, title = {Two-dimensional partially differential cepstrum and minimum-phase sequence construction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {993--996}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537374}, doi = {10.1109/ISCAS.2010.5537374}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiL10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pena-PerezGM10, author = {Aldo Pena{-}Perez and Victor R. Gonzalez{-}Diaz and Franco Maloberti}, title = {Double-sampling analog-look-ahead second order {\(\Sigma\)}{\(\Delta\)} modulator with reduced dynamics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2422--2425}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537148}, doi = {10.1109/ISCAS.2010.5537148}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pena-PerezGM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengH10, author = {Ying Peng and Zhirun Hu}, title = {60 GHz meta-material wideband antenna for {FPGA} Giga bit data transmission}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537319}, doi = {10.1109/ISCAS.2010.5537319}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengXW10, author = {Xiulian Peng and Jizheng Xu and Feng Wu}, title = {Line-based image coding using adaptive prediction filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4221--4224}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537573}, doi = {10.1109/ISCAS.2010.5537573}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengXW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PerenzoniBG10, author = {Matteo Perenzoni and Fausto Borghetti and Lorenzo Gonzo}, title = {A column readout channel for infrared and terahertz bolometers with direct analog to digital conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1288--1291}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537263}, doi = {10.1109/ISCAS.2010.5537263}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PerenzoniBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Perez-CarrascoZSL10, author = {Jos{\'{e}} Antonio P{\'{e}}rez{-}Carrasco and Carlos Zamarre{\~{n}}o{-}Ramos and Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco}, title = {On neuromorphic spiking architectures for asynchronous {STDP} memristive systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1659--1662}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537484}, doi = {10.1109/ISCAS.2010.5537484}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Perez-CarrascoZSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetersHMM10, author = {Christian Peters and Jonas Handwerker and Dominic Maurath and Yiannos Manoli}, title = {An ultra-low-voltage active rectifier for energy harvesting applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {889--892}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537413}, doi = {10.1109/ISCAS.2010.5537413}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PetersHMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetraCSGNCT10, author = {Nicola Petra and Davide De Caro and Antonio G. M. Strollo and Valeria Garofalo and Ettore Napoli and Marino Coppola and Pietro Todisco}, title = {Fixed-width {CSD} multipliers with minimum mean square error}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4149--4152}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537606}, doi = {10.1109/ISCAS.2010.5537606}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PetraCSGNCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PicolliCCMB10, author = {Luca Picolli and Lorenzo Crespi and Faouzi Chaahoub and Piero Malcovati and Andrea Baschirotto}, title = {A 1.6-GHz, 54-dB signal-to-noise and distortion ratio pipeline {A/D} converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1735--1738}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537541}, doi = {10.1109/ISCAS.2010.5537541}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PicolliCCMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PierzchalaF10, author = {Marian Pierzchala and Mourad Fakhfakh}, title = {Generation of active inductor circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2394--2397}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537166}, doi = {10.1109/ISCAS.2010.5537166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PierzchalaF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Piestrak10, author = {Stanislaw J. Piestrak}, title = {Design of cost-efficient multipliers modulo 2\({}^{\mbox{a}}\)-1}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4093--4096}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537626}, doi = {10.1109/ISCAS.2010.5537626}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Piestrak10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PinageFSN10, author = {Frederico S. Pinag{\'{e}} and Lara C. R. L. Feio and Eduardo A. B. da Silva and Sergio L. Netto}, title = {Waveform speech coding using multiscale recurrent patterns}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3072--3075}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537982}, doi = {10.1109/ISCAS.2010.5537982}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PinageFSN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Piskorowski10, author = {Jacek Piskorowski}, title = {Digital notch filter with time-varying quality factor for the reduction of powerline interference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2706--2709}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537032}, doi = {10.1109/ISCAS.2010.5537032}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Piskorowski10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PiskorowskiA10, author = {Jacek Piskorowski and Miguel {\'{A}}ngel Guti{\'{e}}rrez de Anda}, title = {A new concept of continuous-time narrow bandpass Q-varying filter with transient suppression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1272--1275}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537269}, doi = {10.1109/ISCAS.2010.5537269}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PiskorowskiA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoggiS10, author = {Tomaso Poggi and Marco Storace}, title = {Digital architectures implementing piecewise-affine functions: An overview}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3304--3307}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537904}, doi = {10.1109/ISCAS.2010.5537904}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoggiS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoschMW10, author = {Christoph Posch and Daniel Matolin and Rainer Wohlgenannt}, title = {High-DR frame-free {PWM} imaging with asynchronous {AER} intensity encoding and focal-plane temporal redundancy suppression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2430--2433}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537150}, doi = {10.1109/ISCAS.2010.5537150}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoschMW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoschMWHSLBG10, author = {Christoph Posch and Daniel Matolin and Rainer Wohlgenannt and Michael Hofst{\"{a}}tter and Peter Sch{\"{o}}n and Martin Litzenberger and Daniel Bauer and Heinrich Garn}, title = {Live demonstration: Asynchronous time-based image sensor {(ATIS)} camera with full-custom {AE} processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1392}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537265}, doi = {10.1109/ISCAS.2010.5537265}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoschMWHSLBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pouliquen10, author = {Philippe O. Pouliquen}, title = {A ratioless and biasless static {CMOS} level shifter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4097--4100}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537627}, doi = {10.1109/ISCAS.2010.5537627}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pouliquen10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PourhajTWK10, author = {Peyman Pourhaj and Daniel H.{-}Y. Teng and Khan A. Wahid and Seok{-}Bum Ko}, title = {A novel scalable parallel architecture for biological neural simulations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3152--3155}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537951}, doi = {10.1109/ISCAS.2010.5537951}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PourhajTWK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PradaS10, author = {Ricardo B. Prada and Lindomar J. Souza}, title = {Interaction among voltage controlling devices and voltage control effect identification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2762--2765}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537012}, doi = {10.1109/ISCAS.2010.5537012}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PradaS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrincipiRCMSP10, author = {Emanuele Principi and Rudy Rotili and Simone Cifani and Lorenzo Marinelli and Stefano Squartini and Francesco Piazza}, title = {Robust speech recognition using feature-domain multi-channel bayesian estimators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2670--2673}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537057}, doi = {10.1109/ISCAS.2010.5537057}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrincipiRCMSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ProdromakisMT10, author = {Themistoklis Prodromakis and Kostis Michelakis and Chris Toumazou}, title = {Fabrication and electrical characteristics of memristors with TiO2/TiO2+x active layers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1520--1522}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537379}, doi = {10.1109/ISCAS.2010.5537379}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ProdromakisMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrommeeSAD10, author = {Pipat Prommee and Montri Somdunyakanok and Krit Angkeaw and Kobchai Dejhan}, title = {Tunable current-mode log-domain universal filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1041--1044}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537356}, doi = {10.1109/ISCAS.2010.5537356}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrommeeSAD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrommeeST10, author = {Pipat Prommee and Montri Somdunyakanok and Sompongse Toomsawasdi}, title = {CMOS-based current-controlled {DDCC} and its applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1045--1048}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537357}, doi = {10.1109/ISCAS.2010.5537357}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrommeeST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PsotaMKHBEA10, author = {James Psota and Jason E. Miller and George Kurian and Henry Hoffmann and Nathan Beckmann and Jonathan Eastep and Anant Agarwal}, title = {{ATAC:} Improving performance and programmability with on-chip optical networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3325--3328}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537892}, doi = {10.1109/ISCAS.2010.5537892}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PsotaMKHBEA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PurohitHM10, author = {Sohan Purohit and David Harrington and Martin Margala}, title = {An area efficient design methodology for {SEU} tolerant digital circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {981--984}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537381}, doi = {10.1109/ISCAS.2010.5537381}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PurohitHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PuttmannPGSR10, author = {Christoph Puttmann and Mario Porrmann and Paolo Roberto Grassi and Marco D. Santambrogio and Ulrich R{\"{u}}ckert}, title = {High level specification of embedded listeners for monitoring of Network-on-Chips}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3333--3336}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537894}, doi = {10.1109/ISCAS.2010.5537894}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PuttmannPGSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QianAHBB10, author = {Zhijun Qian and Osama Abdel{-}Rahman and Christopher Hamilton and Majd Ghazi Batarseh and Issa Batarseh}, title = {An integrated four-port converter for compact and efficient hybrid power systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2207--2210}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537203}, doi = {10.1109/ISCAS.2010.5537203}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QianAHBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuelhasP10, author = {Mauricio F. Qu{\'{e}}lhas and Antonio Petraglia}, title = {On the design of {IIR} digital filter using linearized equation systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2702--2705}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537031}, doi = {10.1109/ISCAS.2010.5537031}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QuelhasP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QureshiBBDH10, author = {Muhammad Shakeel Qureshi and Arindam Basu and Baris Bicen and Levent Degertekin and Paul E. Hasler}, title = {Integrated low voltage and low power {CMOS} circuits for optical sensing of diffraction based micromachined microphone}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2031--2034}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537165}, doi = {10.1109/ISCAS.2010.5537165}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QureshiBBDH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QureshiG10, author = {Fahad Qureshi and Oscar Gustafsson}, title = {Twiddle factor memory switching activity analysis of radix-2\({}^{\mbox{2}}\) and equivalent {FFT} algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4145--4148}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537605}, doi = {10.1109/ISCAS.2010.5537605}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QureshiG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadHM10, author = {Ali Ajdari Rad and Martin Hasler and Parham Moradi}, title = {Automatic skill acquisition in Reinforcement Learning using connection graph stability centrality}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {697--700}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537485}, doi = {10.1109/ISCAS.2010.5537485}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RadHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadhakrishnanJ10, author = {Chandrasekhar Radhakrishnan and W. Kenneth Jenkins}, title = {Modified Discrete Fourier Transforms for fast convolution and adaptive filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1611--1614}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537448}, doi = {10.1109/ISCAS.2010.5537448}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RadhakrishnanJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmanC10, author = {Mosaddequr Rahman and Sazzadur Chowdhury}, title = {A new deflection shape function for square membrane {CMUT} design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2019--2022}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537157}, doi = {10.1109/ISCAS.2010.5537157}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahmanC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmanO10, author = {Mahmudur Rahman and Tokunbo Ogunfunmi}, title = {A set of questions for a concept inventory for a {DC} Circuits course}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2808--2811}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536997}, doi = {10.1109/ISCAS.2010.5536997}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RahmanO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmaniDLT10, author = {Amir{-}Mohammad Rahmani and Masoud Daneshtalab and Pasi Liljeberg and Hannu Tenhunen}, title = {Power-aware NoC router using central forecasting-based dynamic virtual channel allocation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3224--3227}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537935}, doi = {10.1109/ISCAS.2010.5537935}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahmaniDLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajaeeHGMM10, author = {Omid Rajaee and Yue Hu and Manideep Gande and Tawfiq Musah and Un{-}Ku Moon}, title = {An interstage correlated double sampling technique for switched-capacitor gain stages}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1252--1255}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537279}, doi = {10.1109/ISCAS.2010.5537279}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajaeeHGMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RajendranGJPK10, author = {Jeyavijayan Rajendran and Efstratios Gavas and Jorge Jimenez and Vikram Padman and Ramesh Karri}, title = {Towards a comprehensive and systematic classification of hardware Trojans}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537869}, doi = {10.1109/ISCAS.2010.5537869}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RajendranGJPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamachandranLA10, author = {Parameswaran Ramachandran and Wu{-}Sheng Lu and Andreas Antoniou}, title = {Optimized numerical mapping scheme for filter-based exon location in {DNA} using a quasi-Newton algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2231--2234}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537209}, doi = {10.1109/ISCAS.2010.5537209}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RamachandranLA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamachandranS10, author = {Ravi Prakash Ramachandran and Sachin S. Shetty}, title = {Blind channel estimation based robust physical layer key generation in {MIMO} networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2522--2525}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537122}, doi = {10.1109/ISCAS.2010.5537122}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamachandranS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamakrishnanHG10, author = {Shubha Ramakrishnan and Paul E. Hasler and Christal Gordon}, title = {Floating gate synapses with spike time dependent plasticity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {369--372}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537768}, doi = {10.1109/ISCAS.2010.5537768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RamakrishnanHG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloCCL10, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Bel{\'{e}}n Calvo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {Low-voltage gm-enhanced {CMOS} differential pairs using positive feedback}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {773--776}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537459}, doi = {10.1109/ISCAS.2010.5537459}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramirez-AnguloNCL10, author = {Jaime Ram{\'{\i}}rez{-}Angulo and Ayesha Nargis and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {{CMOS} operational amplifiers with continuous-time capacitive common mode feedback}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1280--1283}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537266}, doi = {10.1109/ISCAS.2010.5537266}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ramirez-AnguloNCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ramos-PajaSPGR10, author = {Carlos Andr{\'{e}}s Ramos{-}Paja and Giovanni Spagnuolo and Giovanni Petrone and Roberto Giral and Alfonso Romero}, title = {Fuel cell {MPPT} for fuel consumption optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2199--2202}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537201}, doi = {10.1109/ISCAS.2010.5537201}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ramos-PajaSPGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RanieriRS10, author = {Juri Ranieri and Riccardo Rovatti and Gianluca Setti}, title = {Compressive sensing of localized signals: Application to Analog-to-Information conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3513--3516}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537820}, doi = {10.1109/ISCAS.2010.5537820}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RanieriRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RashdanYHM10, author = {Mostafa Rashdan and Abdel Yousif and James W. Haslett and Brent Maundy}, title = {Data link design using a time-based approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3977--3980}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537664}, doi = {10.1109/ISCAS.2010.5537664}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RashdanYHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RiegerH10, author = {Robert Rieger and Yan{-}Ru Huang}, title = {A high-gain, low-noise {CMOS} amplifier for sampled bio-potential recording}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1220--1223}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537291}, doi = {10.1109/ISCAS.2010.5537291}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RiegerH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezAIA10, author = {Enric Rodriguez and Eduard Alarc{\'{o}}n and Herbert H. C. Iu and Abdelali El Aroudi}, title = {A frequency domain approach for controlling chaos in switching converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2928--2931}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538031}, doi = {10.1109/ISCAS.2010.5538031}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezAIA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezRBG10, author = {Jose R. Rodriguez and Felipe Ruiz and Domingo Biel and Francesc Guinjoan}, title = {Simulation and analysis of distributed {PV} generation in a {LV} network using MATLAB-Simulink}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2322--2325}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537074}, doi = {10.1109/ISCAS.2010.5537074}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezRBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RodriguezTIR10, author = {Saul Rodriguez and Sha Tao and Mohammed Ismail and Ana Rusu}, title = {An {IIP2} digital calibration technique for passive {CMOS} down-converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {825--828}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537437}, doi = {10.1109/ISCAS.2010.5537437}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RodriguezTIR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoermundMSM10, author = {Arthur H. M. van Roermund and Foad Arfaei Malekzadeh and Mehdi Sarkeshi and Reza Mahmoudi}, title = {Extended modelling for time-encoding converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1077--1080}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537345}, doi = {10.1109/ISCAS.2010.5537345}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoermundMSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RohBY10, author = {Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A lOMb/s 4ns jitter direct conversion low Modulation Index {FSK} demodulator for low-energy body sensor network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3004--3007}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538016}, doi = {10.1109/ISCAS.2010.5538016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RohBY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RongJZ10, author = {Liang Rong and Fredrik Jonsson and Li{-}Rong Zheng}, title = {A switch mode resonating H-Bridge polar transmitter using {RF} {\(\Sigma\)}{\(\Delta\)} modulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1911--1914}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537969}, doi = {10.1109/ISCAS.2010.5537969}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RongJZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RongYW10, author = {Zhihai Rong and Han{-}Xin Yang and Wen{-}Xu Wang}, title = {Effect of clustering coefficient on cooperation in scale-free public goods game}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {405--408}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537717}, doi = {10.1109/ISCAS.2010.5537717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RongYW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rose10, author = {Garrett S. Rose}, title = {Overview: Memristive devices, circuits and systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1955--1958}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536990}, doi = {10.1109/ISCAS.2010.5536990}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rose10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoyMHH10, author = {Sujan Kumar Roy and Md. Khademul Islam Molla and Keikichi Hirose and Md. Kamrul Hasan}, title = {Pitch estimation of noisy speech signals using EMD-fourier based hybrid algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2658--2661}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537054}, doi = {10.1109/ISCAS.2010.5537054}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoyMHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RuanP10, author = {Zhuo Ruan and David A. Penry}, title = {Partitioning and synthesis for hybrid architecture simulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1859--1862}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537839}, doi = {10.1109/ISCAS.2010.5537839}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RuanP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RuizLR10, author = {Jes{\'{u}}s Aguado Ruiz and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Jaime Ram{\'{\i}}rez{-}Angulo}, title = {Three novel improved {CMOS} capacitance scaling schemes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1304--1307}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537257}, doi = {10.1109/ISCAS.2010.5537257}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RuizLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RuizT10, author = {Francisco Colodro Ruiz and Antonio Jes{\'{u}}s Torralba Silgado}, title = {Pulse-width modulation in sigma-delta modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1081--1084}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537341}, doi = {10.1109/ISCAS.2010.5537341}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RuizT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RustN10, author = {Ingo Rust and Tobias G. Noll}, title = {A radix-4 single-precision floating point divider based on digit set interleaving}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {709--712}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537483}, doi = {10.1109/ISCAS.2010.5537483}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RustN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RusuA10, author = {Corneliu Rusu and Jaakko Astola}, title = {On the energy concentration property for zero-phase sequences}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2694--2697}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537046}, doi = {10.1109/ISCAS.2010.5537046}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RusuA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RusuC10, author = {Corneliu Rusu and Colin F. N. Cowan}, title = {An Improved Exponentiated stochastic gradient algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2980--2983}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538010}, doi = {10.1109/ISCAS.2010.5538010}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RusuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SacchettoJCML10, author = {Davide Sacchetto and M. Haykel Ben Jamaa and Sandro Carrara and Giovanni De Micheli and Yusuf Leblebici}, title = {Memristive devices fabricated with silicon nanowire schottky barrier transistors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {9--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537146}, doi = {10.1109/ISCAS.2010.5537146}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SacchettoJCML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SacchettoJML10, author = {Davide Sacchetto and M. Haykel Ben Jamaa and Giovanni De Micheli and Yusuf Leblebici}, title = {Design aspects of carry lookahead adders with vertically-stacked nanowire transistors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1715--1718}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537526}, doi = {10.1109/ISCAS.2010.5537526}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SacchettoJML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SachdevaKB10, author = {Vipin Sachdeva and Michael Kistler and David A. Bader}, title = {Evaluating Cell/B.E software cache for ClustalW}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3793--3796}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537720}, doi = {10.1109/ISCAS.2010.5537720}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SachdevaKB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadhuH10, author = {Bodhisatwa Sadhu and Ramesh Harjani}, title = {Capacitor bank design for wide tuning range {LC} VCOs: 850MHz-7.1GHz (157{\%})}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1975--1978}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537040}, doi = {10.1109/ISCAS.2010.5537040}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadhuH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Safi-HarbSM10, author = {Mona Safi{-}Harb and Mohamad Sawan and Shahriar Mirabbasi}, title = {Super-regeneration-inspired time-based testing of LC-tank oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4285--4288}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537555}, doi = {10.1109/ISCAS.2010.5537555}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Safi-HarbSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaidKLF10, author = {Amir Said and Ton Kalker and Bowon Lee and Majid Fozunbal}, title = {Massively parallel processing of signals in dense microphone arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3080--3083}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537984}, doi = {10.1109/ISCAS.2010.5537984}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaidKLF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaighiTBBMR10, author = {Sylvain Sa{\"{\i}}ghi and Jean Tomas and Yannick Bornat and Bilel Belhadj and Olivia Malot and Sylvie Renaud}, title = {Real-time multi-board architecture for analog spiking neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1939--1942}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538039}, doi = {10.1109/ISCAS.2010.5538039}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaighiTBBMR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaitoHYN10, author = {Hiroshi Saito and Naohiro Hamada and Tomohiro Yoneda and Takashi Nanya}, title = {A floorplan method for asynchronous circuits with bundled-data implementation on FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {925--928}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537402}, doi = {10.1109/ISCAS.2010.5537402}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaitoHYN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SakellaridisV10, author = {Nikos G. Sakellaridis and Costas D. Vournas}, title = {Critical load-shedding time calculation based on region of attraction limits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {529--532}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537558}, doi = {10.1109/ISCAS.2010.5537558}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SakellaridisV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SakuiE10, author = {Koji Sakui and Tetsuo Endoh}, title = {A compact and low power logic design for multi-pillar vertical MOSFETs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {309--312}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537837}, doi = {10.1109/ISCAS.2010.5537837}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SakuiE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SaleemV10, author = {Shahzad Saleem and Christian Vogel}, title = {Adaptive compensation of frequency response mismatches in high-resolution time-interleaved ADCs using a low-resolution {ADC} and a time-varying filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {561--564}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537536}, doi = {10.1109/ISCAS.2010.5537536}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SaleemV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Salehi-AbariP10, author = {Omid Salehi{-}Abari and Calvin Plett}, title = {A differential 5\({}^{\mbox{th}}\) derivative Gaussian pulse generator for {UWB} transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1089--1092}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537338}, doi = {10.1109/ISCAS.2010.5537338}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Salehi-AbariP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Salem10, author = {Fathi M. Salem}, title = {Ultra-high speed atomic force microscopy: Video-rate and beyond}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1197--1200}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537300}, doi = {10.1109/ISCAS.2010.5537300}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Salem10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalomaaYKAH10, author = {Jarno Salomaa and Mikail Y{\"{u}}cetas and Antti Kalanti and Lasse Aaltonen and Kari Halonen}, title = {A {\(\Delta\)}{\(\Sigma\)} {ADC} for low power sensor applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3100--3103}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537972}, doi = {10.1109/ISCAS.2010.5537972}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalomaaYKAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/San-UmT10, author = {Wimol San{-}Um and Masayoshi Tachibana}, title = {A low-jitter supply-regulated charge pump phase-locked loop with built-in test and calibration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1931--1934}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538019}, doi = {10.1109/ISCAS.2010.5538019}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/San-UmT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanchezSPD10, author = {Mikel S{\'{a}}nchez and Javier Del Ser and Pablo Prieto and David Dominguez}, title = {Design and implementation of a direct RF-to-digital {UHF-TV} multichannel transceiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3925--3928}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537685}, doi = {10.1109/ISCAS.2010.5537685}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanchezSPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanderDA10, author = {David Sander and Timir Datta and Pamela Abshire}, title = {Mismatch compensation of a subthreshold {CMOS} current normalizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3409--3412}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537862}, doi = {10.1109/ISCAS.2010.5537862}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanderDA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SantinONG10, author = {Edinei Santin and Lu{\'{\i}}s B. Oliveira and Blazej Nowacki and Jo{\~{a}}o Goes}, title = {Fully integrated and reconfigurable architecture for coherent self-testing of {IQ} ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1927--1930}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538009}, doi = {10.1109/ISCAS.2010.5538009}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SantinONG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Saremi-YarahmadiMT10, author = {Siavash Saremi{-}Yarahmadi and Olive H. Murphy and Christofer Toumazou}, title = {{RF} inductive sensors for detection of change in the ionic strength and pH of liquid samples}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2279--2282}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536953}, doi = {10.1109/ISCAS.2010.5536953}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Saremi-YarahmadiMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarkarBHT10, author = {Mukul Sarkar and David San Segundo Bello and Chris Van Hoof and Albert J. P. Theuwissen}, title = {Integrated polarization-analyzing {CMOS} image sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {621--624}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537516}, doi = {10.1109/ISCAS.2010.5537516}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarkarBHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarkarMKP10, author = {Souradip Sarkar and Turbo Majumder and Ananth Kalyanaraman and Partha Pratim Pande}, title = {Hardware accelerators for biocomputing: {A} survey}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3789--3792}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537736}, doi = {10.1109/ISCAS.2010.5537736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarkarMKP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarmentoS10, author = {Jos{\'{e}} Sarmento and John T. Stonick}, title = {A minimal-gate-count fully digital frequency-tracking oversampling {CDR} circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2099--2102}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537061}, doi = {10.1109/ISCAS.2010.5537061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarmentoS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatarzadehLH10, author = {Patrick Satarzadeh and Bernard C. Levy and Paul J. Hurst}, title = {A parametric polyphase domain approach to blind calibration of timing mismatches for M-channel time-interleaved ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4053--4056}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537632}, doi = {10.1109/ISCAS.2010.5537632}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatarzadehLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoUNM10, author = {Takashi Sato and Takumi Uezono and Noriaki Nakayama and Kazuya Masu}, title = {Decomposition of drain-current variation into gain-factor and threshold voltage variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1053--1056}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537354}, doi = {10.1109/ISCAS.2010.5537354}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoUNM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawigunPD10, author = {Chutham Sawigun and Dipankar Pal and Andreas Demosthenous}, title = {A wide-input linear range sub-threshold transconductor for sub-Hz filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1567--1570}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537415}, doi = {10.1109/ISCAS.2010.5537415}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawigunPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SawigunS10, author = {Chutham Sawigun and Wouter A. Serdijn}, title = {A 24nW, 0.65-V, 74-dB SNDR, 83-dB DR, class-AB current-mode sample and hold circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3132--3135}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537963}, doi = {10.1109/ISCAS.2010.5537963}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SawigunS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SayedBJ10, author = {Mohammed Sayed and Wael M. Badawy and Graham A. Jullien}, title = {Video-Active {RAM:} {A} processor-in-memory architecture for video coding applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2968--2971}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538024}, doi = {10.1109/ISCAS.2010.5538024}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SayedBJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SayilirLPHJ10, author = {Serkan Sayilir and Yung{-}Hsiang Lu and Dimitrios Peroulis and Y. Charlie Hu and Byunghoo Jung}, title = {Phase difference and frequency offset estimation for collaborative beamforming in sensor networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537367}, doi = {10.1109/ISCAS.2010.5537367}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SayilirLPHJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Scandurra10, author = {Alberto Scandurra}, title = {Rationale for optical interconnect}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3597--3600}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537790}, doi = {10.1109/ISCAS.2010.5537790}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Scandurra10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchaikJMH10, author = {Andr{\'{e}} van Schaik and Craig T. Jin and Alistair Lee McEwan and Tara Julia Hamilton}, title = {A log-domain implementation of the Izhikevich neuron model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4253--4256}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537564}, doi = {10.1109/ISCAS.2010.5537564}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SchaikJMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchaikJMHMN10, author = {Andr{\'{e}} van Schaik and Craig T. Jin and Alistair Lee McEwan and Tara Julia Hamilton and Stefan Mihalas and Ernst Niebur}, title = {A log-domain implementation of the Mihalas-Niebur neuron model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4249--4252}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537563}, doi = {10.1109/ISCAS.2010.5537563}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SchaikJMHMN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchemmBS10, author = {Nathan Schemm and Sina Balkir and Sharad C. Seth}, title = {Hardware implementation of the double-tree scan architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {429--432}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537687}, doi = {10.1109/ISCAS.2010.5537687}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchemmBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchemmLBHB10, author = {Nathan Schemm and Bo Liang and Sina Balkir and Michael W. Hoffman and Mark Bauer}, title = {A single chip computational sensor system for gamma isotope identification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2271--2274}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536951}, doi = {10.1109/ISCAS.2010.5536951}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchemmLBHB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchemmelBGHMM10, author = {Johannes Schemmel and Daniel Br{\"{u}}derle and Andreas Gr{\"{u}}bl and Matthias Hock and Karlheinz Meier and Sebastian Millner}, title = {A wafer-scale neuromorphic hardware system for large-scale neural modeling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1947--1950}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536970}, doi = {10.1109/ISCAS.2010.5536970}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SchemmelBGHMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchlottmannDAH10, author = {Craig Schlottmann and Brian P. Degnan and David Abramson and Paul E. Hasler}, title = {Reducing offset errors in {MITE} systems by precise floating gate programming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1340--1343}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537246}, doi = {10.1109/ISCAS.2010.5537246}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchlottmannDAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchmidSPKZN10, author = {Hanspeter Schmid and Sven Sigel and Marc Pastre and Maher Kayal and Pascal Zwahlen and Anne{-}Marie Nguyen}, title = {An internally non-linear {ADC} for a {\(\Sigma\)}{\(\Delta\)} accelerometer loop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2155--2158}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537224}, doi = {10.1109/ISCAS.2010.5537224}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchmidSPKZN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchramlBMS10, author = {Stephan Schraml and Ahmed Nabil Belbachir and Nenad Milosevic and Peter Sch{\"{o}}n}, title = {Live demonstration: Dynamic stereo vision system for real-time tracking}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1408}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537286}, doi = {10.1109/ISCAS.2010.5537286}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchramlBMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchramlBMS10a, author = {Stephan Schraml and Ahmed Nabil Belbachir and Nenad Milosevic and Peter Sch{\"{o}}n}, title = {Dynamic stereo vision system for real-time tracking}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1409--1412}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537289}, doi = {10.1109/ISCAS.2010.5537289}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchramlBMS10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SecareanuYLBEJH10, author = {Radu M. Secareanu and Jian Yang and Qiang Li and Luis Briones and Salem Eid and Vigier Jean{-}Stephane and Olin L. Hartin}, title = {Impact of module design on the signal-isolation of mixed-signal {RF} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3749--3752}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537743}, doi = {10.1109/ISCAS.2010.5537743}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SecareanuYLBEJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SenguptaSZ10, author = {Anirban Sengupta and Reza Sedaghat and Zhipeng Zeng}, title = {Rapid design space exploration for multi parametric optimization of {VLSI} designs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3164--3167}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537954}, doi = {10.1109/ISCAS.2010.5537954}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SenguptaSZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeokHWCLBS10, author = {Mingoo Seok and Scott Hanson and Michael Wieckowski and Gregory K. Chen and Yu{-}Shiang Lin and David T. Blaauw and Dennis Sylvester}, title = {Circuit design advances to enable ubiquitous sensing environments}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {285--288}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537867}, doi = {10.1109/ISCAS.2010.5537867}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeokHWCLBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeptimusS10, author = {Avi Septimus and Raphael Steinberg}, title = {Compressive sampling hardware reconstruction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3316--3319}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537976}, doi = {10.1109/ISCAS.2010.5537976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeptimusS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeverA10, author = {Refik Sever and Murat Askar}, title = {8{\texttimes}8-Bit multiplier designed with a new wave-pipelining scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2095--2098}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537223}, doi = {10.1109/ISCAS.2010.5537223}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeverA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShamsaS10, author = {Yousif Shamsa and Wouter A. Serdijn}, title = {A 21pJ/pulse {FCC} compliant {UWB} pulse generator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {497--500}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537598}, doi = {10.1109/ISCAS.2010.5537598}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShamsaS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShangXY10, author = {Delong Shang and Fei Xia and Alexandre Yakovlev}, title = {Asynchronous {FPGA} architecture with distributed control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1436--1439}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537316}, doi = {10.1109/ISCAS.2010.5537316}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShangXY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShangXY10a, author = {Delong Shang and Fei Xia and Alexandre Yakovlev}, title = {Highly parallel multi-resource arbiters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4117--4120}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537615}, doi = {10.1109/ISCAS.2010.5537615}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShangXY10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharifAZ10, author = {Mhd Saeed Sharif and Abbes Amira and Habib Zaidi}, title = {3D Oncological {PET} volume analysis using {CNN} and {LVQNN}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1783--1786}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537649}, doi = {10.1109/ISCAS.2010.5537649}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharifAZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharifAZ10a, author = {Mhd Saeed Sharif and Abbes Amira and Habib Zaidi}, title = {Intelligent approach for {PET} volume analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2291--2294}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536956}, doi = {10.1109/ISCAS.2010.5536956}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharifAZ10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharkawyGT10, author = {Mohamed Fayez El{-}Sharkawy and Pantelis Georgiou and Chris Toumazou}, title = {A silicon pancreatic islet for the treatment of diabetes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3136--3139}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537964}, doi = {10.1109/ISCAS.2010.5537964}, timestamp = {Fri, 09 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SharkawyGT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShawkeyE10, author = {Heba A. Shawkey and Magdy A. El{-}Moursy}, title = {Modeling of {RLC} interconnect lines}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3889--3892}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537693}, doi = {10.1109/ISCAS.2010.5537693}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShawkeyE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenEMS10, author = {Chung{-}An Shen and Ahmed M. Eltawil and Sudip Mondal and Khaled N. Salama}, title = {A best-first tree-searching approach for {ML} decoding in {MIMO} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3533--3536}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537825}, doi = {10.1109/ISCAS.2010.5537825}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenEMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenLC10, author = {Shye{-}Tzeng Shen and Shin{-}Ying Lee and Chung{-}Ho Chen}, title = {Full system simulation with {QEMU:} An approach to multi-view 3D {GPU} design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3877--3880}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537690}, doi = {10.1109/ISCAS.2010.5537690}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenX10, author = {Minmin Shen and Ping Xue}, title = {Super-resolution from observations with variable zooming ratios}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2622--2625}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537079}, doi = {10.1109/ISCAS.2010.5537079}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenXW10, author = {Minmin Shen and Ping Xue and Ci Wang}, title = {Down-sampling based video coding with super-resolution technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {673--676}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537494}, doi = {10.1109/ISCAS.2010.5537494}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenXW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Shi10, author = {Chuanjin Richard Shi}, title = {Mixed-signal system-on-chip verification using a recursively-verifying-modeling {(RVM)} methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1432--1435}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537313}, doi = {10.1109/ISCAS.2010.5537313}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Shi10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiTGR10, author = {Yiqiong Shi and Chan Wai Ting and Bah{-}Hwee Gwee and Ye Ren}, title = {A highly efficient method for extracting FSMs from flattened gate-level netlist}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2610--2613}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537093}, doi = {10.1109/ISCAS.2010.5537093}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiTGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiY10, author = {Dong Shi and Ya Jun Yu}, title = {Low-complexity linear phase fir filters in cascade form}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {177--180}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538008}, doi = {10.1109/ISCAS.2010.5538008}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiYYHZY10, author = {Zewen Shi and Kaidi You and Yan Ying and Bei Huang and Xiaoyang Zeng and Zhiyi Yu}, title = {A scalable and fault-tolerant routing algorithm for NoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {165--168}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538017}, doi = {10.1109/ISCAS.2010.5538017}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiYYHZY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiahRM10, author = {Jack Shiah and Hooman Rashtian and Shahriar Mirabbasi}, title = {A low-noise high-sensitivity readout circuit for {MEMS} capacitive sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3280--3283}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537915}, doi = {10.1109/ISCAS.2010.5537915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiahRM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShibataP10, author = {Kimio Shibata and Cong{-}Kha Pham}, title = {A {DC-DC} Converter using a high speed soft-start control circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {833--836}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537434}, doi = {10.1109/ISCAS.2010.5537434}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShibataP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShibataP10a, author = {Kimio Shibata and Cong{-}Kha Pham}, title = {A compact adaptive slope compensation circuit for Current-Mode {DC-DC} converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1651--1654}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537478}, doi = {10.1109/ISCAS.2010.5537478}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShibataP10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShikiTN10, author = {Takanobu Shiki and Yasuhiro Takashima and Yuichi Nakamura}, title = {Delay analysis of sub-path on fabricated chips by several path-delay tests}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1595--1598}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537436}, doi = {10.1109/ISCAS.2010.5537436}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShikiTN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimaK10, author = {Takeshi Shima and Takashi Kusaga}, title = {Oscillation frequency analysis of N-stage {CMOS} ring oscillator with wired-OR connections}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4269--4272}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537551}, doi = {10.1109/ISCAS.2010.5537551}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimaK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiratoriYSX10, author = {Natsuko Shiratori and Shi Yan and Hsin{-}Jang Shieh and Li Xu}, title = {State-space formulation of n-variable bilinear transformation for n-D systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1009--1012}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537368}, doi = {10.1109/ISCAS.2010.5537368}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShiratoriYSX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShivaramaiahD10, author = {Nagaraj Channarayapatna Shivaramaiah and Andrew G. Dempster}, title = {On the baseband hardware complexity of modernized {GNSS} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3565--3568}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537816}, doi = {10.1109/ISCAS.2010.5537816}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShivaramaiahD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Shor10, author = {Joseph Shor}, title = {Low noise linear voltage regulator for use as an on-chip {PLL} supply in microprocessors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {841--844}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537431}, doi = {10.1109/ISCAS.2010.5537431}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Shor10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Shoufan10, author = {Abdulhadi Shoufan}, title = {A compact course on {VHDL-AMS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {89--92}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537029}, doi = {10.1109/ISCAS.2010.5537029}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Shoufan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShoufanH10, author = {Abdulhadi Shoufan and Nico Huber}, title = {A fast hash tree generator for Merkle signature scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3945--3948}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537673}, doi = {10.1109/ISCAS.2010.5537673}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShoufanH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShresthaTU10, author = {Anish Man Singh Shrestha and Satoshi Tayu and Shuichi Ueno}, title = {On two-directional orthogonal ray graphs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1807--1810}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537709}, doi = {10.1109/ISCAS.2010.5537709}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShresthaTU10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShuTF10, author = {Lin Shu and Xiaoming Tao and David Dagan Feng}, title = {A wearable, wireless electronic interface for textile sensors lin shu}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3104--3107}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537973}, doi = {10.1109/ISCAS.2010.5537973}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShuTF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShuYGFRXLC10, author = {Guanghua Shu and Fan Ye and Yao Guo and Mingjun Fan and Junyan Ren and Jun Xu and Ning Li and Cheng Chen}, title = {A 0.22 pJ/step subsampling {ADC} with fast input-tracking sampling and simplified opamp sharing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3016--3019}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538002}, doi = {10.1109/ISCAS.2010.5538002}, timestamp = {Sat, 09 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShuYGFRXLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShulyzkiAG10, author = {Ruslana Shulyzki and Karim Abdelhalim and Roman Genov}, title = {{CMOS} current-copying neural stimulator with OTA-sharing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1232--1235}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537284}, doi = {10.1109/ISCAS.2010.5537284}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShulyzkiAG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SinghalNP10, author = {Nitesh Singhal and Nitin Nidhi and Sudhakar Pamarti}, title = {A power amplifier with minimal efficiency degradation under back-off}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1851--1854}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537819}, doi = {10.1109/ISCAS.2010.5537819}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SinghalNP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiretSNR10, author = {Nicolas Siret and Isma{\"{\i}}l Sabry and Jean{-}Fran{\c{c}}ois Nezan and Micka{\"{e}}l Raulet}, title = {A codesign synthesis from an {MPEG-4} decoder dataflow description}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1995--1998}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537107}, doi = {10.1109/ISCAS.2010.5537107}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SiretSNR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SitjongsatapornY10, author = {Suchada Sitjongsataporn and Peerapol Yuvapoositanon}, title = {Low complexity adaptive step-size filtered gradient-based per-tone {DMT} equalisation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2526--2529}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537123}, doi = {10.1109/ISCAS.2010.5537123}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SitjongsatapornY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SleimanI10, author = {Sleiman Bou{-}Sleiman and Mohammed Ismail}, title = {Transceiver parameter detection using a high conversion gain {RF} amplitude detector}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2059--2062}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537235}, doi = {10.1109/ISCAS.2010.5537235}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SleimanI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SoldaCVBGN10, author = {Silvia Sold{\`{a}} and Michele Caruso and Daniele Vogrig and Andrea Bevilacqua and Andrea Gerosa and Andrea Neviani}, title = {Low-power {UWB} transmitter using a combined mixer and power amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {333--336}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537807}, doi = {10.1109/ISCAS.2010.5537807}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SoldaCVBGN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongI10, author = {Yu Song and Zeljko Ignjatovic}, title = {A low-power active switched-capacitor loop filter for phase locked loops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537255}, doi = {10.1109/ISCAS.2010.5537255}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongLPB10, author = {Zhiguo Song and Caroline Lelandais{-}Perrault and Daniel Poulton and Philippe B{\'{e}}nab{\`{e}}s}, title = {Synthesis of Subband Hybrid Filter Banks ADCs with finite word-length coefficients using adaptive equalization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {577--580}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537530}, doi = {10.1109/ISCAS.2010.5537530}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongLPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongLY10, author = {Kiseok Song and Seulki Lee and Hoi{-}Jun Yoo}, title = {A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2087--2090}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537221}, doi = {10.1109/ISCAS.2010.5537221}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongWC10, author = {Jianan Song and David Welch and Jennifer Blain Christen}, title = {Amplification circuit and microelectrode array for {HL-1} Cardiomyocyte action potential measurement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1384--1387}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537259}, doi = {10.1109/ISCAS.2010.5537259}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongZJGL10, author = {Liu Song and Dajiang Zhou and Xin Jin and Satoshi Goto and Peilin Liu}, title = {An adaptive bandwidth reduction scheme for video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {401--404}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537728}, doi = {10.1109/ISCAS.2010.5537728}, timestamp = {Sat, 11 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SongZJGL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sotiriadis10, author = {Paul{-}Peter Sotiriadis}, title = {All-digital frequency and clock synthesis architectures from a signals and systems perspective, current state and future directions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {233--236}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537938}, doi = {10.1109/ISCAS.2010.5537938}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Sotiriadis10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sotiriadis10a, author = {Paul{-}Peter Sotiriadis}, title = {Optimizing continuous-time filters driven by bang-bang signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1264--1267}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537272}, doi = {10.1109/ISCAS.2010.5537272}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Sotiriadis10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StanisavljevicSL10, author = {Milos Stanisavljevic and Alexandre Schmid and Yusuf Leblebici}, title = {Selective redundancy-based design techniques for the minimization of local delay variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2486--2489}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537130}, doi = {10.1109/ISCAS.2010.5537130}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StanisavljevicSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Staszewski10, author = {Robert Bogdan Staszewski}, title = {State-of-the-art and future directions of high-performance all-digital frequency synthesis in nanometer {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {229--232}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537937}, doi = {10.1109/ISCAS.2010.5537937}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Staszewski10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SteinhorstH10, author = {Sebastian Steinhorst and Lars Hedrich}, title = {Improving verification coverage of analog circuit blocks by state space-guided transient simulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {645--648}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537507}, doi = {10.1109/ISCAS.2010.5537507}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SteinhorstH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StrukovSBLPMRSSWXYW10, author = {Dmitri B. Strukov and Duncan R. Stewart and Julien Borghetti and Xuema Li and Matthew D. Pickett and Gilberto Medeiros{-}Ribeiro and Warren Robinett and Gregory S. Snider and John Paul Strachan and Wei Wu and Qiangfei Xia and J. Joshua Yang and R. Stanley Williams}, title = {Hybrid CMOS/memristor circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1967--1970}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537020}, doi = {10.1109/ISCAS.2010.5537020}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/StrukovSBLPMRSSWXYW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuC10, author = {Ya{-}Fan Su and Homer H. Chen}, title = {Shadow removal from natural images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3369--3372}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537886}, doi = {10.1109/ISCAS.2010.5537886}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuCHL10, author = {Yu{-}Cheng Su and Huan Chen and Ching{-}Lun Hung and Shuenn{-}Yuh Lee}, title = {Wireless {ECG} detection system with low-power analog front-end circuit and bio-processing ZigBee firmware}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1216--1219}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537290}, doi = {10.1109/ISCAS.2010.5537290}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuCHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuRWC10, author = {Housheng Su and Zhihai Rong and Xiaofan Wang and Guanrong Chen}, title = {On decentralized adaptive pinning synchronization of complex dynamical networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {417--420}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537708}, doi = {10.1109/ISCAS.2010.5537708}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuRWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SubediT10, author = {Laxmi Subedi and Ljiljana Trajkovic}, title = {Spectral analysis of Internet topology graphs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1803--1806}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537699}, doi = {10.1109/ISCAS.2010.5537699}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SubediT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuetsuguK10, author = {Tadashi Suetsugu and Marian K. Kazimierczuk}, title = {Power efficiency calculation of class {E} amplifier with nonlinear shunt capacitance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2714--2717}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537034}, doi = {10.1109/ISCAS.2010.5537034}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuetsuguK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Sugimoto10, author = {Yasuhiro Sugimoto}, title = {A highly efficient transient and frequency-response simulation method for switching converters without using a SPICE-like analog simulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1308--1311}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537258}, doi = {10.1109/ISCAS.2010.5537258}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Sugimoto10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SulflowFD10, author = {Andr{\'{e}} S{\"{u}}lflow and G{\"{o}}rschwin Fey and Rolf Drechsler}, title = {Using {QBF} to increase accuracy of SAT-based debugging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {641--644}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537506}, doi = {10.1109/ISCAS.2010.5537506}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SulflowFD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunKS10, author = {Zhuoli Sun and Kyunghee Kang and Tadashi Shibata}, title = {A self-learning multiple-class classifier using multi-dimensional quasi-Gaussian analog circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2330--2333}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537241}, doi = {10.1109/ISCAS.2010.5537241}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunSMH10, author = {Qing Sun and Fran{\c{c}}ois Schwartz and Jacques Michel and Yannick Herv{\'{e}}}, title = {A reinforcement learning algorithm used in analog spiking neural network for an adaptive cardiac Resynchronization Therapy device}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2546--2549}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537111}, doi = {10.1109/ISCAS.2010.5537111}, timestamp = {Fri, 29 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunSMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunWWWY10, author = {Pinping Sun and Guoan Wang and Wayne H. Woods and Hailing Wang and Ya Jun Yu}, title = {An adaptive body-bias low voltage low power {LC} {VCO}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1121--1124}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537326}, doi = {10.1109/ISCAS.2010.5537326}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunWWWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunXS10, author = {Yu Sun and Liyi Xiao and Cong Shi}, title = {{DSTN} sleep transistor sizing with a new approach to estimate the maximum instantaneous current}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3717--3720}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537752}, doi = {10.1109/ISCAS.2010.5537752}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunXS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SutthiwanSDTN10, author = {Patchara Sutthiwan and Yun{-}Qing Shi and Jing Dong and Tieniu Tan and Tian{-}Tsong Ng}, title = {New developments in color image tampering detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3064--3067}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537980}, doi = {10.1109/ISCAS.2010.5537980}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SutthiwanSDTN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SutulaFS10, author = {Stepan Sutula and Carles Ferrer and Francisco Serra{-}Graells}, title = {A 100/{\(\mu\)}A/Ch fully-integrable lock-in multi-channel frontend for infrared spectroscopic gas recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2267--2270}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537218}, doi = {10.1109/ISCAS.2010.5537218}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SutulaFS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuzukiI10, author = {Taizo Suzuki and Masaaki Ikehara}, title = {Structurally regular integer discrete cosine transform for low-bit-word-length coefficients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1607--1610}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537445}, doi = {10.1109/ISCAS.2010.5537445}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuzukiI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaghvaeiCANE10, author = {Mohammad Ali Taghvaei and Paul{-}Vahe Cicek and Karim Allidina and Frederic Nabki and Mourad N. El{-}Gamal}, title = {A MEMS-based temperature-compensated vacuum sensor for low-power monolithic integration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3276--3279}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537914}, doi = {10.1109/ISCAS.2010.5537914}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaghvaeiCANE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakahashiS10, author = {Norihiro Takahashi and Tadashi Shibata}, title = {A row-parallel cyclic-line-access edge detection {CMOS} image sensor employing global thresholding operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {625--628}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537512}, doi = {10.1109/ISCAS.2010.5537512}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakahashiS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakhtiSL10, author = {Mohammad Takhti and Amir M. Sodagar and Reza Lotfi}, title = {Domino {ADC:} {A} novel analog-to-digital converter architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4057--4060}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537633}, doi = {10.1109/ISCAS.2010.5537633}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakhtiSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanZCR10, author = {Hui Li Tan and Yongwei Zhu and Lekha Chaisorn and Susanto Rahardja}, title = {Audio onset detection using energy-based and pitch-based processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3689--3692}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537762}, doi = {10.1109/ISCAS.2010.5537762}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanZCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanaSASA10, author = {Hasan Tana and Abdul N. Sazish and Afandi Ahmad and Mhd Saeed Sharif and Abbes Amira}, title = {Efficient {FPGA} implementation of a wireless communication system using Bluetooth connectivity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1767--1770}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537610}, doi = {10.1109/ISCAS.2010.5537610}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanaSASA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanakaHKSI10, author = {Yuichi Tanaka and Madoka Hasegawa and Shigeo Kato and Taizo Suzuki and Masaaki Ikehara}, title = {Direction scalability of adaptive directional wavelet transform: An approach using block-lifting based {DCT} and {SPIHT}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3044--3047}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537992}, doi = {10.1109/ISCAS.2010.5537992}, timestamp = {Tue, 12 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TanakaHKSI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangHKMC10, author = {Wei Tang and Chenxi Huang and Dongsoo Kim and Berin Martini and Eugenio Culurciello}, title = {4-Channel asynchronous bio-potential recording system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {953--956}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537389}, doi = {10.1109/ISCAS.2010.5537389}, timestamp = {Mon, 10 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangHKMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangMCA10, author = {Tong Boon Tang and Alan F. Murray and Binjie Cheng and Asen Asenov}, title = {Statistical NBTI-effect prediction for {ULSI} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2494--2497}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537132}, doi = {10.1109/ISCAS.2010.5537132}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangMCA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangNW10, author = {Qiang Tang and Panos Nasiopoulos and Rabab Kreidieh Ward}, title = {Fast block-size partitioning using empirical rate-distortion models for {MPEG-2} to {H.264/AVC} transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2860--2863}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536976}, doi = {10.1109/ISCAS.2010.5536976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangNW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tanji10, author = {Yuichi Tanji}, title = {Fast simulation of interconnects with nonlinear loads using woodbury's formula}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2590--2593}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537088}, doi = {10.1109/ISCAS.2010.5537088}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tanji10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaoKG10, author = {Liang Tao and Hon Keung Kwan and Juan{-}juan Gu}, title = {Filterbank-based fast parallel algorithms for real-valued discrete gabor expansion and transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2674--2677}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537041}, doi = {10.1109/ISCAS.2010.5537041}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaoKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TaokaW10, author = {Satoshi Taoka and Toshimasa Watanabe}, title = {Heuristic algorithms for the marking construction problem of Petri nets}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1344--1347}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537243}, doi = {10.1109/ISCAS.2010.5537243}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TaokaW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tapson10, author = {Jonathan Tapson}, title = {Mixed signal phase sensitive detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1292--1295}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537264}, doi = {10.1109/ISCAS.2010.5537264}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tapson10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TapsonHS10, author = {Jonathan Tapson and Tara Julia Hamilton and Andr{\'{e}} van Schaik}, title = {Live demonstration: The self-tuned regenerative electromechanical parametric amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1423}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537304}, doi = {10.1109/ISCAS.2010.5537304}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TapsonHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TapsonHS10a, author = {Jonathan Tapson and Tara Julia Hamilton and Andr{\'{e}} van Schaik}, title = {The self-tuned regenerative electromechanical arametric amplifier: {A} model for Active amplification in the cochlea}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1424--1427}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537307}, doi = {10.1109/ISCAS.2010.5537307}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TapsonHS10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TarannumPFA10, author = {Nafisa Tarannum and Mark R. Pickering and Michael R. Frater and John F. Arnold}, title = {Transform-domain super resolution for improved motion-compensated prediction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2187--2190}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537198}, doi = {10.1109/ISCAS.2010.5537198}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TarannumPFA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TatikondaBK10, author = {Ravi Chandra Tatikonda and Venkata Praveen Battula and Vijay Kumar}, title = {Control of inverted pendulum using adaptive neuro fuzzy inference structure {(ANFIS)}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1348--1351}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537234}, doi = {10.1109/ISCAS.2010.5537234}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TatikondaBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TayZ10, author = {David B. H. Tay and Jingxin Zhang}, title = {On Hilbert-pairs from non-minimum phase Daubechies filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1619--1622}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537454}, doi = {10.1109/ISCAS.2010.5537454}, timestamp = {Wed, 15 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TayZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TeixeiraBA10, author = {Fl{\'{a}}vio C. A. Teixeira and Stuart W. A. Bergen and Andreas Antoniou}, title = {Robust signal recovery approach for compressive sensing using unconstrained optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3521--3524}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537822}, doi = {10.1109/ISCAS.2010.5537822}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TeixeiraBA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThambiduraiK10, author = {Chembiyan Thambidurai and Nagendra Krishnapura}, title = {Spur reduction in wideband PLLs by random positioning of charge pump current pulses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3397--3400}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537876}, doi = {10.1109/ISCAS.2010.5537876}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThambiduraiK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThanigaivelanPH10, author = {Balavelan Thanigaivelan and Adam Postula and Tara Julia Hamilton}, title = {Live Demo: Affine arithmetic concept based Symbolic Circuit Analyser}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2776}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537017}, doi = {10.1109/ISCAS.2010.5537017}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThanigaivelanPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThirunakkarasuB10, author = {Shankar Thirunakkarasu and Bertan Bakkaloglu}, title = {A radix-3 {SAR} analog-to-digital converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1460--1463}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537334}, doi = {10.1109/ISCAS.2010.5537334}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThirunakkarasuB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThomasGTV10, author = {Kavitha P. Thomas and Cuntai Guan and Chiew Tong Lau and A. Prasad Vinod}, title = {A Study on the impact of spectral variability in brain-computer interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1189--1192}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537303}, doi = {10.1109/ISCAS.2010.5537303}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThomasGTV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThomasNFJDPBADBBNVA10, author = {Olivier Thomas and Jean{-}Philippe Noel and Claire Fenouillet{-}B{\'{e}}ranger and Marie{-}Anne Jaud and J. Dura and P. Perreau and Fr{\'{e}}d{\'{e}}ric Boeuf and Fran{\c{c}}ois Andrieu and D. Delprat and F. Boedt and Konstantin Bourdelle and Bich{-}Yen Nguyen and Andrei Vladimirescu and Amara Amara}, title = {32nm and beyond Multi-VT Ultra-Thin Body and {BOX} {FDSOI:} From device to circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1703--1706}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537517}, doi = {10.1109/ISCAS.2010.5537517}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ThomasNFJDPBADBBNVA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThorpeBP10, author = {Simon J. Thorpe and Adrien Brilhault and Jos{\'{e}} Antonio P{\'{e}}rez{-}Carrasco}, title = {Suggestions for a biologically inspired spiking retina using order-based coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {265--268}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537898}, doi = {10.1109/ISCAS.2010.5537898}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThorpeBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Thourhout10, author = {Dries Van Thourhout}, title = {State of the art in optical interconnect technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3601--3604}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537791}, doi = {10.1109/ISCAS.2010.5537791}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Thourhout10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TikkaR10, author = {Tero Tikka and Jussi Ryyn{\"{a}}nen}, title = {30-39GHz 2Gbit/s ring oscillator based OOK-modulator for chip-to-chip communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {745--748}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537467}, doi = {10.1109/ISCAS.2010.5537467}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TikkaR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TingS10, author = {Shang{-}Kee Ting and Ali H. Sayed}, title = {Reduction of the effects of spurious {PLL} tones on {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3985--3988}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537666}, doi = {10.1109/ISCAS.2010.5537666}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TingS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TongCT10, author = {Jianzhong Tong and Hsiao{-}Dong Chiang and Yasuyuki Tada}, title = {On-line power system stability screening of practical power system models using {TEPCO-BCU}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {537--540}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537548}, doi = {10.1109/ISCAS.2010.5537548}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TongCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TosoBGN10, author = {Stefano Dal Toso and Andrea Bevilacqua and Andrea Gerosa and Andrea Neviani}, title = {A thorough analysis of the tank quality factor in {LC} oscillators with switched capacitor banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1903--1906}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537949}, doi = {10.1109/ISCAS.2010.5537949}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TosoBGN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TowficTS10, author = {Zaid J. Towfic and Shang{-}Kee Ting and Ali H. Sayed}, title = {Sampling clock jitter estimation and compensation in {ADC} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {829--832}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537438}, doi = {10.1109/ISCAS.2010.5537438}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TowficTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TownsendMH10, author = {Kenneth A. Townsend and Andrew R. Macpherson and James W. Haslett}, title = {A fine-resolution Time-to-Digital Converter for a 5GS/S {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3024--3027}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538004}, doi = {10.1109/ISCAS.2010.5538004}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TownsendMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrogerHSK10, author = {Tobias Tr{\"{o}}ger and Henning Heiber and Andreas Schmitt and Andr{\'{e}} Kaup}, title = {Improved mode selection in hybrid error concealment for multi-broadcast-reception}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3645--3648}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537785}, doi = {10.1109/ISCAS.2010.5537785}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrogerHSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrpevskiTK10, author = {Daniel Trpevski and Wallace Kit{-}Sang Tang and Ljupco Kocarev}, title = {An opinion disseminating model for market penetration in social networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {413--416}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537707}, doi = {10.1109/ISCAS.2010.5537707}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrpevskiTK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiC10, author = {Chia{-}Liang Tsai and Shao{-}Yi Chien}, title = {Image information splitting framework with importance sampling for robust transmission}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4225--4228}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537574}, doi = {10.1109/ISCAS.2010.5537574}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCLH10, author = {Pei{-}Yun Tsai and Wei{-}Tzuo Chen and Xing{-}Cheng Lin and Meng{-}Yuan Huang}, title = {A 4{\texttimes}4 64-QAM reduced-complexity K-best {MIMO} detector up to 1.5Gbps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3953--3956}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537675}, doi = {10.1109/ISCAS.2010.5537675}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCWHH10, author = {Wei{-}Yu Tsai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Yarsun Hsu}, title = {A novel {MUX-FF} circuit for low power and high speed serial link interfaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4305--4308}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537547}, doi = {10.1109/ISCAS.2010.5537547}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCWHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiHLC10, author = {Jen{-}Chieh Tsai and Tsung{-}Ying Huang and Wang{-}Wei Lai and Ke{-}Horng Chen}, title = {Dual modulation technique for high efficiency in high switching buck converters over a wide load range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3709--3712}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537750}, doi = {10.1109/ISCAS.2010.5537750}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiHLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiKGL10, author = {Chia{-}Chun Tsai and Chung{-}Chieh Kuo and Lin{-}Jeng Gu and Trong{-}Yen Lee}, title = {Double-via insertion enhanced X-architecture clock routing for reliability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3413--3416}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537863}, doi = {10.1109/ISCAS.2010.5537863}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiKGL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiTSG10, author = {Chang{-}Hung Tsai and Kheng{-}Joo Tan and Ching{-}Lung Su and Jiun{-}In Guo}, title = {A group of macroblock based motion estimation algorithm supporting adaptive search range for {H.264} video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1891--1894}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537919}, doi = {10.1109/ISCAS.2010.5537919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiTSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tsividis10, author = {Yannis P. Tsividis}, title = {Event-driven, continuous-time ADCs and DSPs for adapting power dissipation to signal activity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3581--3584}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537803}, doi = {10.1109/ISCAS.2010.5537803}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tsividis10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsourakisV10, author = {Georgios Tsourakis and Costas D. Vournas}, title = {A controller for wind generators to increase damping of power oscillations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2195--2198}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537200}, doi = {10.1109/ISCAS.2010.5537200}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsourakisV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsungYLCC10, author = {Pei{-}Kuei Tsung and Hsin{-}Jung Yang and Pin{-}Chih Lin and Kuan{-}Yu Chen and Liang{-}Gee Chen}, title = {Hybrid color compensation for virtual view synthesis in multiview video applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {121--124}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536989}, doi = {10.1109/ISCAS.2010.5536989}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsungYLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UbarMRJ10, author = {Raimund Ubar and Dmitri Mironov and Jaan Raik and Artur Jutman}, title = {Fault collapsing with linear complexity in digital circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {653--656}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537504}, doi = {10.1109/ISCAS.2010.5537504}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UbarMRJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UezonoTSHMOS10, author = {Takumi Uezono and Tomoyuki Takahashi and Michihiro Shintani and Kazumi Hatayama and Kazuya Masu and Hiroyuki Ochi and Takashi Sato}, title = {Scan based process parameter estimation through path-delay inequalities}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3553--3556}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537813}, doi = {10.1109/ISCAS.2010.5537813}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UezonoTSHMOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UhligHES10, author = {Johannes Uhlig and Sebastian H{\"{o}}ppner and Georg Ellguth and Ren{\'{e}} Sch{\"{u}}ffny}, title = {A low-power cell-based-design multi-port register file in 65nm {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {313--316}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537838}, doi = {10.1109/ISCAS.2010.5537838}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UhligHES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UpathamkuekoolJM10, author = {Chairat Upathamkuekool and Amorn Jiraseree{-}amornkun and Jirayuth Mahattanakul}, title = {A compensation technique for compact low-voltage low-power active-RC filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3633--3636}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537782}, doi = {10.1109/ISCAS.2010.5537782}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UpathamkuekoolJM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaidyaWZK10, author = {Vaibhav Vaidya and Denise M. Wilson and Xiaohong Zhang and Bernard Kippelen}, title = {An organic complementary differential amplifier for flexible {AMOLED} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3260--3263}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537910}, doi = {10.1109/ISCAS.2010.5537910}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/VaidyaWZK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaidyanathanW10, author = {Palghat P. Vaidyanathan and Ching{-}Chih Weng}, title = {Active beamforming with interpolated {FIR} filterin}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {173--176}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538007}, doi = {10.1109/ISCAS.2010.5538007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaidyanathanW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValenteDB10, author = {Virgilio Valente and Andreas Demosthenous and Richard H. Bayford}, title = {Electric field focusing and shifting technique in deep brain stimulation using a dynamic tripolar current source}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2091--2094}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537222}, doi = {10.1109/ISCAS.2010.5537222}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValenteDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValkamaSH10, author = {Mikko Valkama and Andreas Springer and Gernot Hueber}, title = {Digital signal processing for reducing the effects of {RF} imperfections in radio devices - An overview}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {813--816}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537444}, doi = {10.1109/ISCAS.2010.5537444}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ValkamaSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValleWS10, author = {Bruno Do Valle and Christian T. Wentz and Rahul Sarpeshkar}, title = {An ultra-compact and efficient Li-ion battery charger circuit for biomedical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1224--1227}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537287}, doi = {10.1109/ISCAS.2010.5537287}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValleWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vandewalle10, author = {Joos Vandewalle}, title = {Important questions related to the education of the mathematics of circuits and systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {77--80}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537038}, doi = {10.1109/ISCAS.2010.5537038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vandewalle10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Vandewalle10a, author = {Joos Vandewalle}, title = {A concepts inventory for an attractive teaching approach of the mathematics of circuits and systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2800--2803}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536995}, doi = {10.1109/ISCAS.2010.5536995}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Vandewalle10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VasanGC10, author = {Bharath K. Vasan and Randall L. Geiger and Degang Chen}, title = {Linearity testing of ADCs using low linearity stimulus and Kalman filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3032--3035}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538006}, doi = {10.1109/ISCAS.2010.5538006}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VasanGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VasudevanNAV10, author = {Barath Vasudevan and Mohammed Y. Niamat and Mansoor Alam and Srinivasa Vemuru}, title = {Analysis and test of electromigration failures in FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3905--3908}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537680}, doi = {10.1109/ISCAS.2010.5537680}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VasudevanNAV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VeltenSKG10, author = {J{\"{o}}rg Velten and Sam Schauland and Anton Kummert and Krzysztof Galkowski}, title = {Application specific stability of 2-D Roesser model realizations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1001--1004}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537371}, doi = {10.1109/ISCAS.2010.5537371}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VeltenSKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ViitalaR10, author = {Olli Viitala and Jussi Ryyn{\"{a}}nen}, title = {Chip-to-chip communications using capacitive interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2888--2891}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536966}, doi = {10.1109/ISCAS.2010.5536966}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ViitalaR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VillaCK10, author = {Oreste Villa and Long Chen and Sriram Krishnamoorthy}, title = {High performance Molecular Dynamic simulation on single and multi-GPU systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3805--3808}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537723}, doi = {10.1109/ISCAS.2010.5537723}, timestamp = {Tue, 28 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VillaCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VolkaertsMDSRDG10, author = {Wouter Volkaerts and Bart Marien and Hans Danneels and Valentijn De Smedt and Patrick Reynaert and Wim Dehaene and Georges G. E. Gielen}, title = {A 0.5 {V-1.4} {V} supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3096--3099}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537971}, doi = {10.1109/ISCAS.2010.5537971}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VolkaertsMDSRDG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VoraC10, author = {Pritesh Vora and Masud H. Choudhary}, title = {Prospects and implementation of Non-DVFS dynamic thermal management techniques}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {53--56}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537085}, doi = {10.1109/ISCAS.2010.5537085}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VoraC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuSDHNLH10, author = {Tuan Anh Vu and Shanthi Sudalaiyandi and Malihe Zarre Dooghabadi and H{\aa}kon A. Hjortland and {\O}ivind N{\ae}ss and Tor Sverre Lande and Svein{-}Erik Hamran}, title = {Continuous-time {CMOS} quantizer for ultra-wideband applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3757--3760}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537745}, doi = {10.1109/ISCAS.2010.5537745}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VuSDHNLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VuWYD10, author = {Ngoc{-}Vinh Vu and Jim Whittington and Hua Ye and John C. Devlin}, title = {Implementation of the {MFCC} front-end for low-cost speech recognition systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2334--2337}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537242}, doi = {10.1109/ISCAS.2010.5537242}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VuWYD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WaheedKSD10, author = {Khurram Waheed and John Kilpatrick and Greg Sheets and Geoff Dawe}, title = {Softransceiver transmit origin offset compensation: Digital to the rescue of {RF-CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {817--820}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537440}, doi = {10.1109/ISCAS.2010.5537440}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WaheedKSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCLLW10, author = {Jinn{-}Shyan Wang and Chun{-}Yuan Cheng and Je{-}Ching Liu and Yu{-}Chia Liu and Yi{-}Ming Wang}, title = {A 55nm 1GHz one-cycle-locking de-skewing circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1755--1758}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537580}, doi = {10.1109/ISCAS.2010.5537580}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCLLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCP10, author = {Tse{-}Wei Wang and Yi{-}Wen Chen and Wen{-}Hsiao Peng}, title = {Analysis of template matching prediction and its application to parametric overlapped block motion compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1563--1566}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537412}, doi = {10.1109/ISCAS.2010.5537412}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCYT10, author = {Yan Wang and Chia{-}Hung Chen and Wenhuan Yu and Gabor C. Temes}, title = {Noise-coupled low-power incremental ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4001--4004}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537653}, doi = {10.1109/ISCAS.2010.5537653}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangDT10, author = {Xubo Wang and Anh Dinh and Daniel Teng}, title = {Low-power low-complexity carrier-based {UWB} transmitter in 90nm {CMOS} for wireless biomedical radar sensing applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3477--3480}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537845}, doi = {10.1109/ISCAS.2010.5537845}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangDT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCMDRW10, author = {Wen{-}Chieh Wang and Zue{-}Der Huang and Geert Carchon and Abdelkarim Mercha and Stefaan Decoutere and Walter De Raedt and Chung{-}Yu Wu}, title = {45-nm Planar bulk-CMOS 23-GHz LNAs with high-Q above-IC inductors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {741--744}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537471}, doi = {10.1109/ISCAS.2010.5537471}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCMDRW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHLPS10, author = {Chua{-}Chin Wang and Chi{-}Chun Huang and Yi{-}Cheng Liu and Victor Pikov and Doron Shmilovitz}, title = {A mini-invasive multi-function biomedical pressure measurement system {ASIC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2936--2939}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538033}, doi = {10.1109/ISCAS.2010.5538033}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHLPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHY10, author = {Hung{-}Ming Wang and Chun{-}Hao Huang and Jar{-}Ferr Yang}, title = {Depth maps interpolation from existing pairs of keyframes and depth maps for 3D video generation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3248--3251}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537924}, doi = {10.1109/ISCAS.2010.5537924}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangJB10, author = {Wei Wang and Tom T. Jing and Brian Butcher}, title = {cFPGA: {CNT} emerging memory-based {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1444--1447}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537322}, doi = {10.1109/ISCAS.2010.5537322}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangJB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangJB10a, author = {Wei Wang and Tom T. Jing and Brian Butcher}, title = {{FPGA} based on integration of memristors and {CMOS} devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1963--1966}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537010}, doi = {10.1109/ISCAS.2010.5537010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangJB10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangL10, author = {Yingxue Wang and Shih{-}Chii Liu}, title = {Motion detection using an aVLSI network of spiking neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {93--96}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537018}, doi = {10.1109/ISCAS.2010.5537018}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLHLYHCHHJ10, author = {Hui{-}Min Wang and You{-}Liang Lai and Mark C. Hou and Shih{-}Hsiang Lin and Brad S. Yen and Yu{-}Chieh Huang and Lei{-}Chun Chou and Shao{-}You Hsu and Sheng{-}Chieh Huang and Ming{-}Yie Jan}, title = {A {\(\pm\)}6ms-accuracy, 0.68mm\({}^{\mbox{2}}\) and 2.21{\(\mu\)}W {QRS} detection {ASIC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1372--1375}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537250}, doi = {10.1109/ISCAS.2010.5537250}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLHLYHCHHJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLL10, author = {Chua{-}Chin Wang and Szu{-}Chia Liao and Yi{-}Cheng Liu}, title = {A 125-MHz wide-range mixed-voltage {I/O} buffer using gated Floating N-well circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3421--3424}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537865}, doi = {10.1109/ISCAS.2010.5537865}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLS10, author = {Yu{-}Lin Wang and Wei{-}Hsiang Liao and Alvin Wen{-}Yu Su}, title = {A {SOT} based digital audio coder using reference frame ordering method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1141--1144}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537321}, doi = {10.1109/ISCAS.2010.5537321}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangMS10, author = {Shenjie Wang and Vaibhav Maheshwari and Wouter A. Serdijn}, title = {Instantaneously companding baseband {SC} low-pass filter and {ADC} for 802.1 la/g {WLAN} receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2215--2218}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537205}, doi = {10.1109/ISCAS.2010.5537205}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangS10, author = {Xinxin Wang and Bertram E. Shi}, title = {{GPU} implemention of fast Gabor filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {373--376}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537757}, doi = {10.1109/ISCAS.2010.5537757}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangS10a, author = {Li{-}Li Wang and Wan{-}Chi Siu}, title = {Improved hybrid coding scheme for intra 4{\texttimes}4 residual block produced by {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {949--952}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537393}, doi = {10.1109/ISCAS.2010.5537393}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangS10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangT10, author = {Yan Wang and Gabor C. Temes}, title = {Design techniques for discrete-time delta-sigma ADCs with extra loop delay}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2159--2162}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537225}, doi = {10.1109/ISCAS.2010.5537225}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangT10a, author = {Tao Wang and Gabor C. Temes}, title = {Switched-resistor tuning technique for highly linear Gm-C filter design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3617--3620}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537795}, doi = {10.1109/ISCAS.2010.5537795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangT10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYWZL10, author = {Chen Wang and Qinye Yin and Wenjie Wang and Jingjing Zhang and Haixia Liu}, title = {A simple energy efficient transceiver for {IEEE} 802.15.4}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {597--600}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537525}, doi = {10.1109/ISCAS.2010.5537525}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangYWZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WatanabeMK10, author = {Hidenori Watanabe and Shogo Muramatsu and Hisakazu Kikuchi}, title = {Interval calculation of {EM} algorithm for {GMM} parameter estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2686--2689}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537044}, doi = {10.1109/ISCAS.2010.5537044}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WatanabeMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Watts10, author = {Lloyd Watts}, title = {Real-time, high-resolution simulation of the auditory pathway, with application to cell-phone noise reduction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3821--3824}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537710}, doi = {10.1109/ISCAS.2010.5537710}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Watts10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeerakoonSKSYC10, author = {Pujitha Weerakoon and Fred J. Sigworth and Peter Kindlmann and Joseph Santos{-}Sacchi and Youshan Yang and Eugenio Culurciello}, title = {An integrated patch-clamp system with dual Input}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1523--1526}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537382}, doi = {10.1109/ISCAS.2010.5537382}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeerakoonSKSYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiSKSK10, author = {Xiuqin Wei and Hiroo Sekiya and Shingo Kuroiwa and Tadashi Suetsugu and Marian K. Kazimierczuk}, title = {Effect of {MOSFET} gate-to-drain parasitic capacitance on class-E power amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3200--3203}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537946}, doi = {10.1109/ISCAS.2010.5537946}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiSKSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiY10, author = {Chia{-}Ling Wei and Hsiu{-}Hui Yang}, title = {Analysis and design of a step-down switched-capacitor-based converter for low-power application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3184--3187}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537942}, doi = {10.1109/ISCAS.2010.5537942}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WeiY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeirY10, author = {Jonathan Weir and WeiQi Yan}, title = {Resolution variant visual cryptography for street view of Google Maps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1695--1698}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537511}, doi = {10.1109/ISCAS.2010.5537511}, timestamp = {Tue, 23 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WeirY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WengL10, author = {Ro{-}Min Weng and Shu{-}Wei Liu}, title = {A 1.5V low noise figure mixer for 3.5GHz WiMAX systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2211--2214}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537204}, doi = {10.1109/ISCAS.2010.5537204}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WengL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WhatmoughDBDK10, author = {Paul N. Whatmough and Izzat Darwazeh and David M. Bull and Shidhartha Das and Danny Kershaw}, title = {A robust {FIR} filter with in situ error detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4185--4188}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537581}, doi = {10.1109/ISCAS.2010.5537581}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WhatmoughDBDK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijeratneM10, author = {Dunisha Wijeratne and Gerry Moschopoulos}, title = {Three-phase single-stage {AC-DC} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3701--3704}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537765}, doi = {10.1109/ISCAS.2010.5537765}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WijeratneM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Willems10, author = {Jan C. Willems}, title = {Terminals and ports}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {81--84}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537039}, doi = {10.1109/ISCAS.2010.5537039}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Willems10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WinsteadMM10, author = {Chris Winstead and Curtis Madsen and Chris J. Myers}, title = {iSSA: An incremental stochastic simulation algorithm for genetic circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {553--556}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537539}, doi = {10.1109/ISCAS.2010.5537539}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WinsteadMM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WitG10, author = {Pieter De Wit and Georges G. E. Gielen}, title = {Efficient simulation model for {DAC} dynamic properties}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2896--2899}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5538040}, doi = {10.1109/ISCAS.2010.5538040}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WitG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WitteNO10, author = {Pascal Witte and Carsten Noeske and Maurits Ortmanns}, title = {Hardware complexity of a correlation based background {DAC} error estimation technique for sigma-delta ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2167--2170}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537227}, doi = {10.1109/ISCAS.2010.5537227}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WitteNO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu10, author = {Chai Wah Wu}, title = {On control of networks of dynamical systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3785--3788}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537735}, doi = {10.1109/ISCAS.2010.5537735}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuDY10, author = {Xuebin Wu and Yongmei Dai and Zhiyuan Yan}, title = {List based soft-decision {MIMO} detection by the {MCTS} algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3537--3540}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537826}, doi = {10.1109/ISCAS.2010.5537826}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuDY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuFZ10, author = {Jiying Wu and Jingjing Fu and Bing Zeng}, title = {TV-based multi-scale super resolution using intra- and inter-scale correlations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2646--2649}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537051}, doi = {10.1109/ISCAS.2010.5537051}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuFZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuL10, author = {Chien{-}Da Wu and Yinyi Lin}, title = {Efficient algorithm for {H.264/AVC} intra frame transcoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2856--2859}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5536975}, doi = {10.1109/ISCAS.2010.5536975}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSSR10, author = {Chang{-}Ching Wu and Xuening Sun and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {A 2.2mW {CMOS} {LNA} for 6-8.5GHz {UWB} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1631--1634}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537463}, doi = {10.1109/ISCAS.2010.5537463}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuSSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuTW10, author = {Chun{-}Pang Wu and Hen{-}Wai Tsao and Jingshown Wu}, title = {A novel sigma-delta fractional-N synthesizer architecture with fractional spur and quantization noise cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1117--1120}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537330}, doi = {10.1109/ISCAS.2010.5537330}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoOS10, author = {Xin Xiao and Erdal Oruklu and Jafar Saniie}, title = {Reduced memory architecture for CORDIC-based {FFT}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2690--2693}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537045}, doi = {10.1109/ISCAS.2010.5537045}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoTCWW10, author = {Mou Xiao and Pin Tao and Jianwen Chen and Wenting Wu and Jiangtao Wen}, title = {Macroblock level hybrid temporal-spatial prediction for {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {941--944}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537396}, doi = {10.1109/ISCAS.2010.5537396}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoTCWW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XinC10, author = {Ling Xin and Chiu{-}sing Choy}, title = {A low-latency NoC router with lookahead bypass}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3981--3984}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537665}, doi = {10.1109/ISCAS.2010.5537665}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XinC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiuHG10, author = {Liming Xiu and Chen{-}Wei Huang and Ping Gui}, title = {A comparative study between Fractional-N {PLL} and Flying-Adder {PLL}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {237--240}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537927}, doi = {10.1109/ISCAS.2010.5537927}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiuHG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuDN10, author = {Di Xu and Colin Doutre and Panos Nasiopoulos}, title = {Saturated-pixel enhancement for color images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3377--3380}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537871}, doi = {10.1109/ISCAS.2010.5537871}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuDN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuFZ10, author = {Xianfeng Xu and Da{-}Zheng Feng and Wei Xing Zheng}, title = {A joint block diagonalization approach to convolutive blind source separation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {805--808}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537447}, doi = {10.1109/ISCAS.2010.5537447}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuFZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuH10, author = {Dabo Xu and Jie Huang}, title = {Robust adaptive control of a class of nonlinear systems by internal model design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {689--692}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537488}, doi = {10.1109/ISCAS.2010.5537488}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuHNL10, author = {Wenbo Xu and Zhiqiang He and Kai Niu and Jiaru Lin}, title = {Sub-Sampling Framework of Distributed Video Coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1145--1148}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537317}, doi = {10.1109/ISCAS.2010.5537317}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuHNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuL10, author = {Yihu Xu and Myoung{-}Seob Lim}, title = {Split-radix {FFT} pruning for the reduction of computational complexity in {OFDM} based Cognitive Radio system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {69--72}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537048}, doi = {10.1109/ISCAS.2010.5537048}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuL10a, author = {Zhimin Xu and Edmund Y. Lam}, title = {Hyperspectral reconstruction in biomedical imaging using terahertz systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2079--2082}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537219}, doi = {10.1109/ISCAS.2010.5537219}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuL10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLM10, author = {Huiling Xu and Zhiping Lin and Anamitra Makur}, title = {Non-fragile H{\(\infty\)} filter design for polytopic 2-D systems in Fornasini- Marchesini model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {997--1000}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537375}, doi = {10.1109/ISCAS.2010.5537375}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuSR10, author = {Liangge Xu and Kari Stadius and Jussi Ryyn{\"{a}}nen}, title = {A wide-band digitally controlled ring oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1983--1986}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537077}, doi = {10.1109/ISCAS.2010.5537077}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuWL10, author = {Mingdong Xu and Fan Wu and Henry Leung}, title = {Stochastic delay differential equation and its application on communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1364--1367}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537244}, doi = {10.1109/ISCAS.2010.5537244}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuX10, author = {Yang Xu and Hongkai Xiong}, title = {Optimized inpainting-based macroblock prediction in video compression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3653--3656}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537770}, doi = {10.1109/ISCAS.2010.5537770}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XuX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuXWC10, author = {Jing Xu and Weikai Xu and Lin Wang and Guanrong Chen}, title = {Design and simulation of a cooperative communication system based on {DCSK/FM-DCSK}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2454--2457}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537139}, doi = {10.1109/ISCAS.2010.5537139}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuXWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuY10, author = {Wei Jing Xu and Ya Jun Yu}, title = {Polynomial implementation structure for lagrange-type variable fractional delay filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {733--736}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537474}, doi = {10.1109/ISCAS.2010.5537474}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuZW10, author = {Jizheng Xu and Bing Zeng and Feng Wu}, title = {An overview of directional transforms in image coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3036--3039}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537990}, doi = {10.1109/ISCAS.2010.5537990}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuanSTTC10, author = {Guorong Xuan and Yun Q. Shi and Jianzhong Teng and Xuefeng Tong and Peiqi Chai}, title = {Double-threshold reversible data hiding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1129--1132}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537323}, doi = {10.1109/ISCAS.2010.5537323}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuanSTTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XydisSPSE10, author = {Sotirios Xydis and Christos Skouroumounis and Kiamal Z. Pekmestzi and Dimitrios Soudris and George Economakos}, title = {Designing efficient {DSP} datapaths through compiler-in-the-loop exploration methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2598--2601}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537090}, doi = {10.1109/ISCAS.2010.5537090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XydisSPSE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamakiAK10, author = {Shunsuke Yamaki and Masahide Abe and Masayuki Kawamata}, title = {Analytical synthesis of minimum L2-sensitivity realizations of all-pass digital filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {729--732}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537473}, doi = {10.1109/ISCAS.2010.5537473}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamakiAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanC10, author = {Jin{-}Tai Yan and Zhi{-}Wei Chen}, title = {Low-cost low-power bypassing-based multiplier design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2338--2341}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537190}, doi = {10.1109/ISCAS.2010.5537190}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.