Search dblp for Publications

export results for "toc:db/conf/iccd/iccd2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccd/AbelNG19,
  author       = {Inga Abel and
                  Maximilian Neuner and
                  Helmut Graeb},
  title        = {Constraint-Programmed Initial Sizing of Analog Operational Amplifiers},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {413--421},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00065},
  doi          = {10.1109/ICCD46524.2019.00065},
  timestamp    = {Tue, 18 Feb 2020 15:29:20 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AbelNG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AbrishamiPN19,
  author       = {Mohammad Saeed Abrishami and
                  Massoud Pedram and
                  Shahin Nazarian},
  title        = {{CSM-NN:} Current Source Model Based Logic Circuit Simulation - {A}
                  Neural Network Approach},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {393--400},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00061},
  doi          = {10.1109/ICCD46524.2019.00061},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AbrishamiPN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AhmedA19,
  author       = {Mohammed Salman Ahmed and
                  Zia Abbas},
  title        = {A Memetic Algorithm Based {PVT} Variation-Aware Robust Transistor
                  Sizing Scheme for Power-Delay Optimal Digital Standard Cell Design},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {385--392},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00060},
  doi          = {10.1109/ICCD46524.2019.00060},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/AhmedA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/AntoniadisMESP19,
  author       = {Charalampos Antoniadis and
                  Milan Mihajlovic and
                  Nestor E. Evmorfopoulos and
                  Georgios I. Stamoulis and
                  Vasilis F. Pavlidis},
  title        = {Efficient Linear System Solution Techniques in the Simulation of Large
                  Dense Mutually Inductive Circuits},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {405--408},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00063},
  doi          = {10.1109/ICCD46524.2019.00063},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/AntoniadisMESP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BeaumontM19,
  author       = {Jonathan Beaumont and
                  Trevor N. Mudge},
  title        = {Fine-Grained Management of Thread Blocks for Irregular Applications},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {283--292},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00042},
  doi          = {10.1109/ICCD46524.2019.00042},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BeaumontM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BelliniCMMMM19,
  author       = {Emanuele Bellini and
                  Florian Caullery and
                  Rusydi H. Makarim and
                  Marc Manzano and
                  Chiara Marcolla and
                  V{\'{\i}}ctor Mateu},
  title        = {Advances and Challenges of Rank Metric Cryptography Implementations},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00051},
  doi          = {10.1109/ICCD46524.2019.00051},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/BelliniCMMMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BidmeshkiSM19,
  author       = {Mohammad{-}Mahdi Bidmeshki and
                  Kiruba Sankaran Subramani and
                  Yiorgos Makris},
  title        = {Revisiting Capacitor-Based Trojan Design},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00047},
  doi          = {10.1109/ICCD46524.2019.00047},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/BidmeshkiSM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CaiCRLYW19,
  author       = {Ruizhe Cai and
                  Olivia Chen and
                  Ao Ren and
                  Ning Liu and
                  Nobuyuki Yoshikawa and
                  Yanzhi Wang},
  title        = {A Buffer and Splitter Insertion Framework for Adiabatic Quantum-Flux-Parametron
                  Superconducting Circuits},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {429--436},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00067},
  doi          = {10.1109/ICCD46524.2019.00067},
  timestamp    = {Tue, 02 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CaiCRLYW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CarpentierTB19,
  author       = {Eleonore Carpentier and
                  Corentin Thomasset and
                  J{\'{e}}r{\'{e}}my Briffaut},
  title        = {Bridging The Gap: Data Exfiltration In Highly Secured Environments
                  Using Bluetooth IoTs},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00044},
  doi          = {10.1109/ICCD46524.2019.00044},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CarpentierTB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChattopadhyayC19,
  author       = {Saranyu Chattopadhyay and
                  Rajat Subhra Chakraborty},
  title        = {Cyclic Bene{\v{s}} Network Based Logic Encryption for Mitigating SAT-Based
                  Attacks},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {567--575},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00083},
  doi          = {10.1109/ICCD46524.2019.00083},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ChattopadhyayC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChaudhuriGS19,
  author       = {Mainak Chaudhuri and
                  Jayesh Gaur and
                  Sreenivas Subramoney},
  title        = {Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip
                  Read and Write Bandwidth},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {109--118},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00022},
  doi          = {10.1109/ICCD46524.2019.00022},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ChaudhuriGS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CheHW19,
  author       = {Yuezhi Che and
                  Yuan Hong and
                  Rujia Wang},
  title        = {Imbalance-Aware Scheduler for Fast and Secure Ring {ORAM} Data Retrieval},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {604--612},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00087},
  doi          = {10.1109/ICCD46524.2019.00087},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CheHW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenCVR19,
  author       = {Huili Chen and
                  Rosario Cammarota and
                  Felipe Valencia and
                  Francesco Regazzoni},
  title        = {PlaidML-HE: Acceleration of Deep Learning Kernels to Compute on Encrypted
                  Data},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00053},
  doi          = {10.1109/ICCD46524.2019.00053},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenCVR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenL19,
  author       = {Shenggang Chen and
                  Zhonghai Lu},
  title        = {Hardware Acceleration of Multilayer Perceptron Based on Inter-Layer
                  Optimization},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {164--172},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00028},
  doi          = {10.1109/ICCD46524.2019.00028},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenS19,
  author       = {Jianqi Chen and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Low Power Design through Frequency-Optimized Runtime Micro-Architectural
                  Adaptation},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {359--366},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00057},
  doi          = {10.1109/ICCD46524.2019.00057},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChenS19a,
  author       = {Jianqi Chen and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Exploiting the Benefits of High-Level Synthesis for Thermal-Aware
                  {VLSI} Design},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {401--404},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00062},
  doi          = {10.1109/ICCD46524.2019.00062},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChenS19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChoudharySNRS19,
  author       = {Raj Kumar Choudhary and
                  Newton Singh and
                  Harideep Nair and
                  Rishabh Rawat and
                  Virendra Singh},
  title        = {Freeflow Core: Enhancing Performance of In-Order Cores with Energy
                  Efficiency},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {702--705},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00103},
  doi          = {10.1109/ICCD46524.2019.00103},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChoudharySNRS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ChoudhuryS19,
  author       = {Avishek Choudhury and
                  Biplab K. Sikdar},
  title        = {Soft Error Resilience in Chip Multiprocessor Cache using a Markov
                  Model Based Re-usability Predictor},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {468--476},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00072},
  doi          = {10.1109/ICCD46524.2019.00072},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ChoudhuryS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CroninGMTY19,
  author       = {Patrick Cronin and
                  Charles Gouert and
                  Dimitris Mouris and
                  Nektarios Georgios Tsoutsos and
                  Chengmo Yang},
  title        = {Covert Data Exfiltration Using Light and Power Channels},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00045},
  doi          = {10.1109/ICCD46524.2019.00045},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/CroninGMTY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CuiWCTLLG19,
  author       = {Weihao Cui and
                  Mengze Wei and
                  Quan Chen and
                  Xiaoxin Tang and
                  Jingwen Leng and
                  Li Li and
                  Mingyi Guo},
  title        = {Ebird: Elastic Batch for Improving Responsiveness and Throughput of
                  Deep Learning Services},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {497--505},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00075},
  doi          = {10.1109/ICCD46524.2019.00075},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/CuiWCTLLG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/CuiWLZX19,
  author       = {Lanlan Cui and
                  Fei Wu and
                  Xiaojian Liu and
                  Meng Zhang and
                  Changsheng Xie},
  title        = {VaLLR: Threshold Voltage Distribution Aware {LLR} Optimization to
                  Improve {LDPC} Decoding Performance for 3D {TLC} {NAND} Flash},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {668--671},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00096},
  doi          = {10.1109/ICCD46524.2019.00096},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/CuiWLZX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuYLZYL19,
  author       = {Gaoming Du and
                  Zhenwen Yang and
                  Zhenmin Li and
                  Duoli Zhang and
                  Yongsheng Yin and
                  Zhonghai Lu},
  title        = {{NR-MPA:} Non-Recovery Compression Based Multi-Path Packet-Connected-Circuit
                  Architecture of Convolution Neural Networks Accelerator},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00029},
  doi          = {10.1109/ICCD46524.2019.00029},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DuYLZYL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuanLCTRQL19,
  author       = {Moming Duan and
                  Duo Liu and
                  Xianzhang Chen and
                  Yujuan Tan and
                  Jinting Ren and
                  Lei Qiao and
                  Liang Liang},
  title        = {Astraea: Self-Balancing Federated Learning for Improving Classification
                  Accuracy of Mobile Deep Learning Applications},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {246--254},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00038},
  doi          = {10.1109/ICCD46524.2019.00038},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/DuanLCTRQL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuanLLJJZ19,
  author       = {Zhuohui Duan and
                  Haikun Liu and
                  Xiaofei Liao and
                  Hai Jin and
                  Wenbin Jiang and
                  Yu Zhang},
  title        = {HiNUMA: NUMA-Aware Data Placement and Migration in Hybrid Memory Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {367--375},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00058},
  doi          = {10.1109/ICCD46524.2019.00058},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/DuanLLJJZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ErgunAMR19,
  author       = {Kazim Ergun and
                  Raid Ayoub and
                  Pietro Mercati and
                  Tajana Rosing},
  title        = {Dynamic Optimization of Battery Health in IoT Networks},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {648--655},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00093},
  doi          = {10.1109/ICCD46524.2019.00093},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ErgunAMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/EvenblijTPCSDKF19,
  author       = {Timon Evenblij and
                  Christian Tenllado and
                  Manu Perumkunnil and
                  Francky Catthoor and
                  Sushil Sakhare and
                  Peter Debacker and
                  Gouri Sankar Kar and
                  Arnaud Furn{\'{e}}mont and
                  Nicolas Bueno and
                  Jos{\'{e}} Ignacio G{\'{o}}mez P{\'{e}}rez},
  title        = {A Comparative Analysis on the Impact of Bank Contention in {STT-MRAM}
                  and {SRAM} Based LLCs},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {255--263},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00039},
  doi          = {10.1109/ICCD46524.2019.00039},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/EvenblijTPCSDKF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/FarajiSB19,
  author       = {Sayed Abdolrasouol Faraji and
                  Gaurav Singh and
                  Kia Bazargan},
  title        = {{HBUNN} - Hybrid Binary-Unary Neural Network: Realizing a Complete
                  {CNN} on an {FPGA}},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {156--163},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00027},
  doi          = {10.1109/ICCD46524.2019.00027},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/FarajiSB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GeGTXL19,
  author       = {Jingquan Ge and
                  Neng Gao and
                  Chenyang Tu and
                  Ji Xiang and
                  Zeyi Liu},
  title        = {AdapTimer: Hardware/Software Collaborative Timer Resistant to Flush-Based
                  Cache Attacks on {ARM-FPGA} Embedded SoC},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {585--593},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00085},
  doi          = {10.1109/ICCD46524.2019.00085},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GeGTXL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GiechaskielRS19,
  author       = {Ilias Giechaskiel and
                  Kasper Rasmussen and
                  Jakub Szefer},
  title        = {Reading Between the Dies: Cross-SLR Covert Channels on Multi-Tenant
                  Cloud FPGAs},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00010},
  doi          = {10.1109/ICCD46524.2019.00010},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/GiechaskielRS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GuoLWYHLLH19,
  author       = {Jinrong Guo and
                  Wantao Liu and
                  Wang Wang and
                  Chunrong Yao and
                  Jizhong Han and
                  Ruixuan Li and
                  Yijun Lu and
                  Songlin Hu},
  title        = {AccUDNN: {A} {GPU} Memory Efficient Accelerator for Training Ultra-Deep
                  Neural Networks},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {65--72},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00017},
  doi          = {10.1109/ICCD46524.2019.00017},
  timestamp    = {Wed, 28 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/GuoLWYHLLH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HaoLZZY19,
  author       = {Xiaochen Hao and
                  Mingsong Lv and
                  Jiesheng Zheng and
                  Zhengkui Zhang and
                  Wang Yi},
  title        = {Integrating Cyber-Attack Defense Techniques into Real-Time Cyber-Physical
                  Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {237--245},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00037},
  doi          = {10.1109/ICCD46524.2019.00037},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/HaoLZZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuW19,
  author       = {Yang Hu and
                  Jianda Wang},
  title        = {Architectural and Cost Implications of the 5G Edge {NFV} Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {594--603},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00086},
  doi          = {10.1109/ICCD46524.2019.00086},
  timestamp    = {Tue, 25 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangFLDB19,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  Zeye Liu and
                  Ruizhou Ding and
                  R. D. Shawn Blanton},
  title        = {{IPSA:} Integer Programming via Sparse Approximation for Efficient
                  Test-Chip Design},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {11--19},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00011},
  doi          = {10.1109/ICCD46524.2019.00011},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangFLDB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JiangZZHY19,
  author       = {Tianming Jiang and
                  Jiangfeng Zeng and
                  Ke Zhou and
                  Ping Huang and
                  Tianming Yang},
  title        = {Lifelong Disk Failure Prediction via GAN-Based Anomaly Detection},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {199--207},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00033},
  doi          = {10.1109/ICCD46524.2019.00033},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/JiangZZHY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/JosephEBOP19,
  author       = {Jan Moritz Joseph and
                  Dominik Ermel and
                  Lennart Bamberg and
                  Alberto Garc{\'{\i}}a Ortiz and
                  Thilo Pionteck},
  title        = {System-Level Optimization of Network-on-Chips for Heterogeneous 3D
                  System-on-Chips},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {409--412},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00064},
  doi          = {10.1109/ICCD46524.2019.00064},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/JosephEBOP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KadomotoIS19,
  author       = {Junichiro Kadomoto and
                  Hidetsugu Irie and
                  Shuichi Sakai},
  title        = {WiXI: An Inter-Chip Wireless Bus Interface for Shape-Changeable Chiplet-Based
                  Computers},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {100--108},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00021},
  doi          = {10.1109/ICCD46524.2019.00021},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KadomotoIS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KalaitzidisS19,
  author       = {Kleovoulos Kalaitzidis and
                  Andr{\'{e}} Seznec},
  title        = {Value Speculation through Equality Prediction},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {694--697},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00101},
  doi          = {10.1109/ICCD46524.2019.00101},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KalaitzidisS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KangKKPH19,
  author       = {Donghyun Kang and
                  Jintaek Kang and
                  Hyungdal Kwon and
                  Hyunsik Park and
                  Soonhoi Ha},
  title        = {A Novel Convolutional Neural Network Accelerator That Enables Fully-Pipelined
                  Execution of Layers},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {698--701},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00102},
  doi          = {10.1109/ICCD46524.2019.00102},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KangKKPH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KhaleghiSIR19,
  author       = {Behnam Khaleghi and
                  Sahand Salamat and
                  Mohsen Imani and
                  Tajana Rosing},
  title        = {{FPGA} Energy Efficiency by Leveraging Thermal Margin},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {376--384},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00059},
  doi          = {10.1109/ICCD46524.2019.00059},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KhaleghiSIR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KimLS19,
  author       = {Youngbin Kim and
                  Kyoungwoo Lee and
                  Aviral Shrivastava},
  title        = {Static Function Prefetching for Efficient Code Management on Scratchpad
                  Memory},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {350--358},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00056},
  doi          = {10.1109/ICCD46524.2019.00056},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/KimLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/KrishnamurthySD19,
  author       = {Prashanth Krishnamurthy and
                  Hossein Salehghaffari and
                  Shiva Duraisamy and
                  Ramesh Karri and
                  Farshad Khorrami},
  title        = {Stealthy Rootkits in Smart Grid Controllers},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {20--28},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00012},
  doi          = {10.1109/ICCD46524.2019.00012},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/KrishnamurthySD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeCWY19,
  author       = {Ming{-}Chang Lee and
                  Li{-}Pin Chang and
                  Sung{-}Ming Wu and
                  Wei{-}Shang Yui},
  title        = {Adaptive Write Interference Management with Efficient Mapping for
                  Shingled Recording Disks},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {181--189},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00031},
  doi          = {10.1109/ICCD46524.2019.00031},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeCWY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeKLCC19,
  author       = {Young Seo Lee and
                  Kyung Min Kim and
                  Ji Heon Lee and
                  Jeong Hwan Choi and
                  Sung Woo Chung},
  title        = {A High-Performance Processing-in-Memory Accelerator for Inline Data
                  Deduplication},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {515--523},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00077},
  doi          = {10.1109/ICCD46524.2019.00077},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeKLCC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LeeX19,
  author       = {Chiou{-}Yng Lee and
                  Jiafeng Xie},
  title        = {Efficient Scalable Three Operand Multiplier Over GF(2m) Based on Novel
                  Decomposition Strategy},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {29--37},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00013},
  doi          = {10.1109/ICCD46524.2019.00013},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LeeX19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiCP19,
  author       = {Yongjian Li and
                  Jialun Cao and
                  Jun Pang},
  title        = {A Learning-Based Framework for Automatic Parameterized Verification},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {450--459},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00070},
  doi          = {10.1109/ICCD46524.2019.00070},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiCP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiPRC19,
  author       = {Yunfan Li and
                  Drew Penney and
                  Abhishek Ramamurthy and
                  Lizhong Chen},
  title        = {Characterizing On-Chip Traffic Patterns in General-Purpose GPUs: {A}
                  Deep Learning Approach},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {56--64},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00016},
  doi          = {10.1109/ICCD46524.2019.00016},
  timestamp    = {Thu, 29 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LiPRC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiWLL19,
  author       = {Wen Li and
                  Ying Wang and
                  Huawei Li and
                  Xiaowei Li},
  title        = {RRAMedy: Protecting ReRAM-Based Neural Network from Permanent and
                  Soft Faults During Its Lifetime},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {91--99},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00020},
  doi          = {10.1109/ICCD46524.2019.00020},
  timestamp    = {Thu, 11 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LiWLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiangWRDCZ19,
  author       = {Hengyi Liang and
                  Zhilu Wang and
                  Debayan Roy and
                  Soumyajit Dey and
                  Samarjit Chakraborty and
                  Qi Zhu},
  title        = {Security-Driven Codesign with Weakly-Hard Constraints for Real-Time
                  Embedded Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {217--226},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00035},
  doi          = {10.1109/ICCD46524.2019.00035},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LiangWRDCZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinLGQL19,
  author       = {Ning Lin and
                  Hang Lu and
                  Jingliang Gao and
                  Shunjie Qiao and
                  Xiaowei Li},
  title        = {VNet: {A} Versatile Network for Efficient Real-Time Semantic Segmentation},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {626--629},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00090},
  doi          = {10.1109/ICCD46524.2019.00090},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LinLGQL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinLHGZL19,
  author       = {Ning Lin and
                  Hang Lu and
                  Xing Hu and
                  Jingliang Gao and
                  Mingzhe Zhang and
                  Xiaowei Li},
  title        = {When Deep Learning Meets the Edge: Auto-Masking Deep Neural Networks
                  for Efficient Machine Learning on Edge Devices},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {506--514},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00076},
  doi          = {10.1109/ICCD46524.2019.00076},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LinLHGZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuLLCZ19,
  author       = {Yubo Liu and
                  Hongbo Li and
                  Yutong Lu and
                  Zhiguang Chen and
                  Ming Zhao},
  title        = {An Efficient and Flexible Metadata Management Layer for Local File
                  Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {208--216},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00034},
  doi          = {10.1109/ICCD46524.2019.00034},
  timestamp    = {Thu, 04 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuLLCZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MaTWY19,
  author       = {Maodi Ma and
                  Jingweijia Tan and
                  Xiaohui Wei and
                  Kaige Yan},
  title        = {Process Variation Mitigation on Convolutional Neural Network Accelerator
                  Architecture},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {47--55},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00015},
  doi          = {10.1109/ICCD46524.2019.00015},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MaTWY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MaorZWH19,
  author       = {Guy Maor and
                  Xiaoming Zeng and
                  Zhendong Wang and
                  Yang Hu},
  title        = {An {FPGA} Implementation of Stochastic Computing-Based {LSTM}},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {38--46},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00014},
  doi          = {10.1109/ICCD46524.2019.00014},
  timestamp    = {Tue, 25 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MaorZWH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MontoyaHBMF19,
  author       = {Maxime Montoya and
                  Thomas Hiscock and
                  Simone Bacles{-}Min and
                  Anca Molnos and
                  Jacques Fournier},
  title        = {Adaptive Masking: a Dynamic Trade-off between Energy Consumption and
                  Hardware Security},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {559--566},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00082},
  doi          = {10.1109/ICCD46524.2019.00082},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/MontoyaHBMF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NazarianFP19,
  author       = {Shahin Nazarian and
                  Arash Fayyazi and
                  Massoud Pedram},
  title        = {qCG: {A} Low-Power Multi-Domain {SFQ} Logic Design and Verification
                  Framework},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {446--449},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00069},
  doi          = {10.1109/ICCD46524.2019.00069},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NazarianFP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NejatollahiCD19,
  author       = {Hamid Nejatollahi and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Flexible {NTT} Accelerators for {RLWE} Lattice-Based Cryptography},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00052},
  doi          = {10.1109/ICCD46524.2019.00052},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NejatollahiCD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ParkEA19,
  author       = {HeeJong Park and
                  Arvind Easwaran and
                  Sidharta Andalam},
  title        = {TiLA: Twin-in-the-Loop Architecture for Cyber-Physical Production
                  Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {82--90},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00019},
  doi          = {10.1109/ICCD46524.2019.00019},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ParkEA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PathakS19,
  author       = {Divya Pathak and
                  Ioannis Savidis},
  title        = {Applying Swarm Intelligence to Distributed On-Chip Power Management},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {532--540},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00079},
  doi          = {10.1109/ICCD46524.2019.00079},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PathakS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/QureshiM19,
  author       = {Mahmood Azhar Qureshi and
                  Arslan Munir},
  title        = {{PUF-RLA:} {A} PUF-Based Reliable and Lightweight Authentication Protocol
                  Employing Binary String Shuffling},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {576--584},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00084},
  doi          = {10.1109/ICCD46524.2019.00084},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/QureshiM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RenCTLDLQ19,
  author       = {Jinting Ren and
                  Xianzhang Chen and
                  Yujuan Tan and
                  Duo Liu and
                  Moming Duan and
                  Liang Liang and
                  Lei Qiao},
  title        = {Archivist: {A} Machine Learning Assisted Data Placement Mechanism
                  for Hybrid Storage Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {676--679},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00098},
  doi          = {10.1109/ICCD46524.2019.00098},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/RenCTLDLQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/RohbaniMNMMT19,
  author       = {Nezam Rohbani and
                  Tapas Kumar Maiti and
                  Dondee Navarro and
                  Mitiko Miura{-}Mattausch and
                  Hans J{\"{u}}rgen Mattausch and
                  Hirotaka Takatsuka},
  title        = {NVDL-Cache: Narrow-Width Value Aware Variable Delay Low-Power Data
                  Cache},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {264--272},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00040},
  doi          = {10.1109/ICCD46524.2019.00040},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/RohbaniMNMMT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Roy19,
  author       = {Sujoy Sinha Roy},
  title        = {SaberX4: High-Throughput Software Implementation of Saber Key Encapsulation
                  Mechanism},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00050},
  doi          = {10.1109/ICCD46524.2019.00050},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Roy19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SahooTSM19,
  author       = {Debiprasanna Sahoo and
                  Shivani Tripathy and
                  Manoranjan Satpathy and
                  Madhu Mutyam},
  title        = {Post-Model Validation of Victim {DRAM} Caches},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00046},
  doi          = {10.1109/ICCD46524.2019.00046},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/SahooTSM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShaoTWYS19,
  author       = {En Shao and
                  Guangming Tan and
                  Zhan Wang and
                  Guojun Yuan and
                  Ninghui Sun},
  title        = {A New Traffic Offloading Method with Slow Switching Optical Device
                  in Exascale Computer},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {138--146},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00025},
  doi          = {10.1109/ICCD46524.2019.00025},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShaoTWYS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ShresthamaliKN19,
  author       = {Shaswot Shresthamali and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  title        = {Power Management of Wireless Sensor Nodes with Coordinated Distributed
                  Reinforcement Learning},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {638--647},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00092},
  doi          = {10.1109/ICCD46524.2019.00092},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ShresthamaliKN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SongCLH19,
  author       = {Ling{-}Yen Song and
                  Yi{-}Ling Chen and
                  Yung{-}Chun Lei and
                  Juinn{-}Dar Huang},
  title        = {Forecast-Based Sample Preparation Algorithm for Unbalanced Splitting
                  Correction on DMFBs},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {422--428},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00066},
  doi          = {10.1109/ICCD46524.2019.00066},
  timestamp    = {Fri, 09 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/SongCLH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SongK19,
  author       = {WonJun Song and
                  John Kim},
  title        = {A Case for Software-Based Adaptive Routing in {NUMA} Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {684--693},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00100},
  doi          = {10.1109/ICCD46524.2019.00100},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/SongK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SongXF19,
  author       = {Xiaojia Song and
                  Tao Xie and
                  Stephen Fischer},
  title        = {A Memory-Access-Efficient Adaptive Implementation of kNN on {FPGA}
                  through {HLS}},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00030},
  doi          = {10.1109/ICCD46524.2019.00030},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/SongXF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/SoniNBK19,
  author       = {Deepraj Soni and
                  Mohammed Nabeel and
                  Kanad Basu and
                  Ramesh Karri},
  title        = {Power, Area, Speed, and Security {(PASS)} Trade-Offs of {NIST} {PQC}
                  Signature Candidates Using a {C} to {ASIC} Design Flow},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {337--340},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00054},
  doi          = {10.1109/ICCD46524.2019.00054},
  timestamp    = {Thu, 02 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/SoniNBK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TaherBS19,
  author       = {Farah Naz Taher and
                  Anjana Balachandran and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Learning-Based Diversity Estimation: Leveraging the Power of High-Level
                  Synthesis to Mitigate Common-Mode Failure},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {460--467},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00071},
  doi          = {10.1109/ICCD46524.2019.00071},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/TaherBS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TanOJPTAB19,
  author       = {Cheng Tan and
                  Yanghui Ou and
                  Shunning Jiang and
                  Peitian Pan and
                  Christopher Torng and
                  Shady Agwa and
                  Christopher Batten},
  title        = {PyOCN: {A} Unified Framework for Modeling, Testing, and Evaluating
                  On-Chip Networks},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {437--445},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00068},
  doi          = {10.1109/ICCD46524.2019.00068},
  timestamp    = {Mon, 26 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/TanOJPTAB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TripathySSP19,
  author       = {Shivani Tripathy and
                  Debiprasanna Sahoo and
                  Manoranjan Satpathy and
                  Srinivas Pinisetty},
  title        = {Formal Modeling and Verification of {NAND} Flash Memory Supporting
                  Advanced Operations},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00048},
  doi          = {10.1109/ICCD46524.2019.00048},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/TripathySSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/TrompoukiK19,
  author       = {Matina Maria Trompouki and
                  Leonidas Kosmidis},
  title        = {{BRASIL:} {A} High-Integrity {GPGPU} Toolchain for Automotive Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {660--663},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00094},
  doi          = {10.1109/ICCD46524.2019.00094},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/TrompoukiK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VazquezGS19,
  author       = {Ruben Vazquez and
                  Ann Gordon{-}Ross and
                  Greg Stitt},
  title        = {Energy Prediction for Cache Tuning in Embedded Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {630--637},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00091},
  doi          = {10.1109/ICCD46524.2019.00091},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VazquezGS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VilardellSACC19,
  author       = {Sergi Vilardell and
                  Isabel Serra and
                  Jaume Abella and
                  Joan del Castillo and
                  Francisco J. Cazorla},
  title        = {Software Timing Analysis for Complex Hardware with Survivability and
                  Risk Analysis},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {227--236},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00036},
  doi          = {10.1109/ICCD46524.2019.00036},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/VilardellSACC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/VrecenarHZNRK19,
  author       = {Ryan Vrecenar and
                  Michael Hall and
                  Joshua Zshiesche and
                  Mahesh Naidu and
                  Jeyavijayan Rajendran and
                  Stavros Kalafatis},
  title        = {Red Teaming a Multi-Colored Bluetooth Bulb},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00043},
  doi          = {10.1109/ICCD46524.2019.00043},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/VrecenarHZNRK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WagleSYKV19,
  author       = {Ankit Wagle and
                  Gian Singh and
                  Jinghua Yang and
                  Sunil P. Khatri and
                  Sarma B. K. Vrudhula},
  title        = {Threshold Logic in a Flash},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {550--558},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00081},
  doi          = {10.1109/ICCD46524.2019.00081},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WagleSYKV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangLWZ19,
  author       = {Yicheng Wang and
                  Yang Liu and
                  Peiyun Wu and
                  Zhao Zhang},
  title        = {Reinforce Memory Error Protection by Breaking {DRAM} Disturbance Correlation
                  Within {ECC} Words},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {672--675},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00097},
  doi          = {10.1109/ICCD46524.2019.00097},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WangLWZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenZY19,
  author       = {Wen Wen and
                  Youtao Zhang and
                  Jun Yang},
  title        = {ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network
                  Accelerators},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {487--496},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00074},
  doi          = {10.1109/ICCD46524.2019.00074},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WenZY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WuFTLWZP19,
  author       = {Bing Wu and
                  Dan Feng and
                  Wei Tong and
                  Jingning Liu and
                  Chengning Wang and
                  Wei Zhao and
                  Mengye Peng},
  title        = {ReRAM Crossbar-Based Analog Computing Architecture for Naive Bayesian
                  Engine},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {147--155},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00026},
  doi          = {10.1109/ICCD46524.2019.00026},
  timestamp    = {Thu, 19 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WuFTLWZP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XuS19,
  author       = {Siyuan Xu and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {Low Power Design of Runtime Reconfigurable FPGAs through Contexts
                  Approximations},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {524--531},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00078},
  doi          = {10.1109/ICCD46524.2019.00078},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XuS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YaghiniMG19,
  author       = {Pooria M. Yaghini and
                  George Michelogiannakis and
                  Paul V. Gratz},
  title        = {SpecLock: Speculative Lock Forwarding},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {273--282},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00041},
  doi          = {10.1109/ICCD46524.2019.00041},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/YaghiniMG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YangFCHL19,
  author       = {Hsuan{-}Kung Yang and
                  Tsu{-}Jui Fu and
                  Po{-}Han Chiang and
                  Kuan{-}Wei Ho and
                  Chun{-}Yi Lee},
  title        = {A Distributed Scheme for Accelerating Semantic Video Segmentation
                  on An Embedded Cluster},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {73--81},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00018},
  doi          = {10.1109/ICCD46524.2019.00018},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/YangFCHL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YoungCQ19,
  author       = {Vinson Young and
                  Zeshan A. Chishti and
                  Moinuddin K. Qureshi},
  title        = {TicToc: Enabling Bandwidth-Efficient {DRAM} Caching for Both Hits
                  and Misses in Hybrid Memory Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {341--349},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00055},
  doi          = {10.1109/ICCD46524.2019.00055},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YoungCQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/YoungQ19,
  author       = {Vinson Young and
                  Moinuddin K. Qureshi},
  title        = {To Update or Not To Update?: Bandwidth-Efficient Intelligent Replacement
                  Policies for {DRAM} Caches},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {119--128},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00023},
  doi          = {10.1109/ICCD46524.2019.00023},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/YoungQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZacharopoulosFA19,
  author       = {Georgios Zacharopoulos and
                  Lorenzo Ferretti and
                  Giovanni Ansaloni and
                  Giuseppe Di Guglielmo and
                  Luca P. Carloni and
                  Laura Pozzi},
  title        = {Compiler-Assisted Selection of Hardware Acceleration Candidates from
                  Application Source Code},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {129--137},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00024},
  doi          = {10.1109/ICCD46524.2019.00024},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZacharopoulosFA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangCH19,
  author       = {Xiaoyu Zhang and
                  Xiaoming Chen and
                  Yinhe Han},
  title        = {FeMAT: Exploring In-Memory Processing in Multifunctional FeFET-Based
                  Memory Array},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {541--549},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00080},
  doi          = {10.1109/ICCD46524.2019.00080},
  timestamp    = {Wed, 27 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangCH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangCJYDY19,
  author       = {Wenhui Zhang and
                  Qiang Cao and
                  Hong Jiang and
                  Jie Yao and
                  Yuanyuan Dong and
                  Puyuan Yang},
  title        = {{SPA-SSD:} Exploit Heterogeneity and Parallelism of 3D {SLC-TLC} Hybrid
                  {SSD} to Improve Write Performance},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {613--621},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00088},
  doi          = {10.1109/ICCD46524.2019.00088},
  timestamp    = {Mon, 13 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangCJYDY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangLCLGD19,
  author       = {Yi Zhang and
                  Zhanwei Ling and
                  Ran Cui and
                  Mingsong Lv and
                  Nan Guan and
                  Qingxu Deng},
  title        = {Detecting and Predicting Performance Degradation Caused by Impaired
                  Cache Isolation},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {680--683},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00099},
  doi          = {10.1109/ICCD46524.2019.00099},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangLCLGD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangTS19,
  author       = {Jinghan Zhang and
                  Hamed Tabkhi and
                  Gunar Schirner},
  title        = {Mitigating Application Diversity for Allocating a Unified ACC-Rich
                  Platform},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {622--625},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00089},
  doi          = {10.1109/ICCD46524.2019.00089},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangTS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangZCL19,
  author       = {Mingzhe Zhang and
                  Lunkai Zhang and
                  Frederic T. Chong and
                  Zhiyong Liu},
  title        = {Balancing Performance and Energy Efficiency of ONoC by Using Adaptive
                  Bandwidth},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {664--667},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00095},
  doi          = {10.1109/ICCD46524.2019.00095},
  timestamp    = {Thu, 16 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangZCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZuoWHHF19,
  author       = {Chunxue Zuo and
                  Fang Wang and
                  Ping Huang and
                  Yuchong Hu and
                  Dan Feng},
  title        = {RepEC-Duet: Ensure High Reliability and Performance for Deduplicated
                  and Delta-Compressed Storage Systems},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {190--198},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00032},
  doi          = {10.1109/ICCD46524.2019.00032},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZuoWHHF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccd/2019,
  title        = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8970097/proceeding},
  isbn         = {978-1-5386-6648-7},
  timestamp    = {Tue, 18 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics