Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2005.bht:"
@inproceedings{DBLP:conf/iccad/AcarO05, author = {Erkan Acar and Sule Ozev}, title = {Parametric test development for {RF} circuits targeting physical fault locations and using specification-based fault definitions}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {73--79}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560043}, doi = {10.1109/ICCAD.2005.1560043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AcarO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgarwalKR05, author = {Amit Agarwal and Kunhyuk Kang and Kaushik Roy}, title = {Accurate estimation and modeling of total chip leakage considering inter- {\&} intra-die process variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {736--741}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560162}, doi = {10.1109/ICCAD.2005.1560162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AgarwalKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgarwalV05, author = {Anuradha Agarwal and Ranga Vemuri}, title = {Hierarchical performance macromodels of feasible regions for synthesis of analog and {RF} circuits}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {430--436}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560106}, doi = {10.1109/ICCAD.2005.1560106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AgarwalV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgiwalS05, author = {Ankur Agiwal and Montek Singh}, title = {An architecture and a wrapper synthesis approach for multi-clock latency-insensitive systems}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1006--1013}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560209}, doi = {10.1109/ICCAD.2005.1560209}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AgiwalS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AliSVAD05, author = {Moayad Fahim Ali and Sean Safarpour and Andreas G. Veneris and Magdy S. Abadir and Rolf Drechsler}, title = {Post-verification debugging of hierarchical designs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {871--876}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560184}, doi = {10.1109/ICCAD.2005.1560184}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AliSVAD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ArmoniEFKV05, author = {Roy Armoni and Sergey Egorov and Ranan Fraer and Dmitry Korchemny and Moshe Y. Vardi}, title = {Efficient {LTL} compilation for SAT-based model checking}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {877--884}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560185}, doi = {10.1109/ICCAD.2005.1560185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ArmoniEFKV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BayazitM05, author = {Ali Alphan Bayazit and Sharad Malik}, title = {Complementary use of runtime validation and model checking}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1052--1059}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560217}, doi = {10.1109/ICCAD.2005.1560217}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BayazitM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BecerZPGALO05, author = {Murat R. Becer and Vladimir Zolotov and Rajendran Panda and Amir Grinshpon and Ilan Algor and Rafi Levy and Chanhee Oh}, title = {Pessimism reduction in crosstalk noise aware {STA}}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {954--961}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560199}, doi = {10.1109/ICCAD.2005.1560199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BecerZPGALO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BernardinisV05, author = {Fernando De Bernardinis and Alberto L. Sangiovanni{-}Vincentelli}, title = {Efficient analog platform characterization through analog constraint graphs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {415--421}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560104}, doi = {10.1109/ICCAD.2005.1560104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BernardinisV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhardwajV05, author = {Sarvesh Bhardwaj and Sarma B. K. Vrudhula}, title = {Formalizing designer's preferences for multiattribute optimization with application to leakage-delay tradeoffs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {713--718}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560158}, doi = {10.1109/ICCAD.2005.1560158}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhardwajV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BjesseK05, author = {Per Bjesse and James H. Kukula}, title = {Automatic generalized phase abstraction for formal verification}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1076--1082}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560220}, doi = {10.1109/ICCAD.2005.1560220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BjesseK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BoianapallyMR05, author = {Kapil D. Boianapally and Ting Mei and Jaijeet S. Roychowdhury}, title = {A multi-harmonic probe technique for computing oscillator steady states}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {610--613}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560139}, doi = {10.1109/ICCAD.2005.1560139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BoianapallyMR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BondD05, author = {Bradley N. Bond and Luca Daniel}, title = {Parameterized model order reduction of nonlinear dynamical systems}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {487--494}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560117}, doi = {10.1109/ICCAD.2005.1560117}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BondD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BordoleyNS05, author = {David Bordoley and Hieu Nguyen and Mani Soma}, title = {A statistical study of the effectiveness of {BIST} jitter measurement techniques}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {100--107}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560047}, doi = {10.1109/ICCAD.2005.1560047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BordoleyNS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChakrabartyC05, author = {Krishnendu Chakrabarty and J. E. Chen}, title = {A cocktail approach on random access scan toward low power and high efficiency test}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {94--99}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560046}, doi = {10.1109/ICCAD.2005.1560046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChakrabartyC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChanP05, author = {Jeremy Chan and Sri Parameswaran}, title = {NoCEE: energy macro-model extraction methodology for network on chip routers}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {254--259}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560073}, doi = {10.1109/ICCAD.2005.1560073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChanP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Chang05, author = {M. Frank Chang}, title = {CDMA/FDMA-interconnects for future {ULSI} communications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {975--978}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560203}, doi = {10.1109/ICCAD.2005.1560203}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Chang05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangBM05, author = {Kai{-}Hui Chang and Valeria Bertacco and Igor L. Markov}, title = {Simulation-based bug trace minimization with BMC-based refinement}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1045--1051}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560216}, doi = {10.1109/ICCAD.2005.1560216}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangBM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangMB05, author = {Kai{-}Hui Chang and Igor L. Markov and Valeria Bertacco}, title = {Post-placement rewiring and rebuffering by exhaustive search for functional symmetries}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {56--63}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560040}, doi = {10.1109/ICCAD.2005.1560040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangMB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChaoWCC05, author = {Mango Chia{-}Tso Chao and Seongmoon Wang and Srimat T. Chakradhar and Kwang{-}Ting Cheng}, title = {Response shaper: a novel technique to enhance unknown tolerance for output response compaction}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {80--87}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560044}, doi = {10.1109/ICCAD.2005.1560044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChaoWCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChatterjeeMBWK05, author = {Satrajit Chatterjee and Alan Mishchenko and Robert K. Brayton and Xinning Wang and Timothy Kam}, title = {Reducing structural bias in technology mapping}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {519--526}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560122}, doi = {10.1109/ICCAD.2005.1560122}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChatterjeeMBWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChaudharyCWNR05, author = {Amitabh Chaudhary and Danny Z. Chen and Kevin Whitton and Michael T. Niemier and Ramprasad Ravichandran}, title = {Eliminating wire crossings for molecular quantum-dot cellular automata implementation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {565--571}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560130}, doi = {10.1109/ICCAD.2005.1560130}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChaudharyCWNR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCL05, author = {Tung{-}Chieh Chen and Yao{-}Wen Chang and Shyh{-}Chang Lin}, title = {{IMF:} interconnect-driven multilevel floorplanning for large-scale building-module designs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {159--164}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560057}, doi = {10.1109/ICCAD.2005.1560057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenK05, author = {Guilin Chen and Mahmut T. Kandemir}, title = {Code restructuring for improving cache performance of MPSoCs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {271--274}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560076}, doi = {10.1109/ICCAD.2005.1560076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenK05a, author = {Guilin Chen and Mahmut T. Kandemir}, title = {Runtime integrity checking for inter-object connections}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {303--306}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560083}, doi = {10.1109/ICCAD.2005.1560083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenK05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenOKK05, author = {Guilin Chen and Ozcan Ozturk and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Integrating loop and data optimizations for locality within a constraint network based framework}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {279--282}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560078}, doi = {10.1109/ICCAD.2005.1560078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenOKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenYWRNWM05, author = {Hongyu Chen and Chao{-}Yang Yeh and Gustavo R. Wilke and Subodh M. Reddy and Hoa{-}van Nguyen and William W. Walker and Rajeev Murgai}, title = {A sliding window scheme for accurate clock mesh analysis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {939--946}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560197}, doi = {10.1109/ICCAD.2005.1560197}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenYWRNWM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenZ05, author = {Ruiming Chen and Hai Zhou}, title = {Efficient algorithms for buffer insertion in general circuits based on network flow}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {322--326}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560087}, doi = {10.1109/ICCAD.2005.1560087}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiangKSX05, author = {Charles C. Chiang and Andrew B. Kahng and Subarna Sinha and Xu Xu}, title = {Fast and efficient phase conflict detection and correction in standard-cell layouts}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {149--156}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560055}, doi = {10.1109/ICCAD.2005.1560055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiangKSX05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoAP05, author = {Minsik Cho and Suhail Ahmed and David Z. Pan}, title = {{TACO:} temperature aware clock-tree optimization}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {582--587}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560133}, doi = {10.1109/ICCAD.2005.1560133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoAP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChopraSSBS05, author = {Kaviraj Chopra and Saumil Shah and Ashish Srivastava and David T. Blaauw and Dennis Sylvester}, title = {Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1023--1028}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560212}, doi = {10.1109/ICCAD.2005.1560212}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChopraSSBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChouP05, author = {Pai H. Chou and Chulsung Park}, title = {Energy-efficient platform designs for real-world wireless sensing applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {913--920}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560192}, doi = {10.1109/ICCAD.2005.1560192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChouP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongHZ05, author = {Jason Cong and Guoling Han and Zhiru Zhang}, title = {Architecture and compilation for data bandwidth improvement in configurable embedded processors}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {263--270}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560075}, doi = {10.1109/ICCAD.2005.1560075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongHZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongRS05, author = {Jason Cong and Michail Romesis and Joseph R. Shinnerl}, title = {Robust mixed-size placement under tight white-space constraints}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {165--172}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560058}, doi = {10.1109/ICCAD.2005.1560058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongRS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongZ05, author = {Jason Cong and Yan Zhang}, title = {Thermal via planning for 3-D ICs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {745--752}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560164}, doi = {10.1109/ICCAD.2005.1560164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeHonL05, author = {Andr{\'{e}} DeHon and Konstantin Likharev}, title = {Hybrid CMOS/nanoelectronic digital circuits: devices, architectures, and design automation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {375--382}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560097}, doi = {10.1109/ICCAD.2005.1560097}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeHonL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DengW05, author = {Liang Deng and Martin D. F. Wong}, title = {Buffer insertion under process variations for delay minimization}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {317--321}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560086}, doi = {10.1109/ICCAD.2005.1560086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DengW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DubrovaTM05, author = {Elena Dubrova and Maxim Teslenko and Andr{\'{e}}s Martinelli}, title = {Kauffman networks: analysis and applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {479--484}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560115}, doi = {10.1109/ICCAD.2005.1560115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DubrovaTM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DuttaC05, author = {Prabal Dutta and David E. Culler}, title = {System software techniques for low-power operation in wireless sensor networks}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {925--932}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560194}, doi = {10.1109/ICCAD.2005.1560194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DuttaC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Dwyer05, author = {Chris Dwyer}, title = {Computer-aided design for {DNA} self-assembly: process and applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {662--667}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560149}, doi = {10.1109/ICCAD.2005.1560149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Dwyer05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangLYCW05, author = {Jia{-}Wei Fang and I{-}Jye Lin and Ping{-}Hung Yuh and Yao{-}Wen Chang and Jyh{-}Herng Wang}, title = {A routing algorithm for flip-chip design}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {753--758}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560165}, doi = {10.1109/ICCAD.2005.1560165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangLYCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FloresMC05, author = {Paulo F. Flores and Jos{\'{e}} Monteiro and Eduardo A. C. da Costa}, title = {An exact algorithm for the maximal sharing of partial terms in multiple constant multiplications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {13--16}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560032}, doi = {10.1109/ICCAD.2005.1560032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FloresMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FlynnK05, author = {Michael P. Flynn and Joshua Jaeyoung Kang}, title = {Global signaling over lossy transmission lines}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {985--992}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560205}, doi = {10.1109/ICCAD.2005.1560205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FlynnK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhoneimaIKTD05, author = {Maged Ghoneima and Yehea I. Ismail and Muhammad M. Khellah and James W. Tschanz and Vivek De}, title = {Serial-link bus: a low-power on-chip bus architecture}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {541--546}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560126}, doi = {10.1109/ICCAD.2005.1560126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhoneimaIKTD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Goldstein05, author = {Seth Copen Goldstein}, title = {The impact of the nanoscale on computing systems}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {655--661}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560148}, doi = {10.1109/ICCAD.2005.1560148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Goldstein05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GongWK05, author = {Wenrui Gong and Gang Wang and Ryan Kastner}, title = {Storage assignment during high-level synthesis for configurable architectures}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {3--6}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560030}, doi = {10.1109/ICCAD.2005.1560030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GongWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaS05, author = {Amit Gupta and Charles Selvidge}, title = {Acyclic modeling of combinational loops}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {343--347}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560091}, doi = {10.1109/ICCAD.2005.1560091}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuptaS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuthausVVZ05, author = {Matthew R. Guthaus and Natesan Venkateswaran and Chandu Visweswariah and Vladimir Zolotov}, title = {Gate sizing using incremental parameterized statistical timing analysis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1029--1036}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560213}, doi = {10.1109/ICCAD.2005.1560213}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuthausVVZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HaoB05, author = {Xin Hao and Forrest Brewer}, title = {Wirelength optimization by optimal block orientation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {64--70}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560041}, doi = {10.1109/ICCAD.2005.1560041}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HaoB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeloueN05, author = {Khaled R. Heloue and Farid N. Najm}, title = {Statistical timing analysis with two-sided constraints}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {829--836}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560178}, doi = {10.1109/ICCAD.2005.1560178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HeloueN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuS05, author = {Bo Hu and C.{-}J. Richard Shi}, title = {Fast-yet-accurate {PVT} simulation by combined direct and iterative methods}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {495--501}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560118}, doi = {10.1109/ICCAD.2005.1560118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IshiharaF05, author = {Tohru Ishihara and Farzan Fallah}, title = {A cache-defect-aware code placement algorithm for improving the performance of processors}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {995--1001}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560207}, doi = {10.1109/ICCAD.2005.1560207}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IshiharaF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JainBZ05, author = {Amit Jain and David T. Blaauw and Vladimir Zolotov}, title = {Accurate delay computation for noisy waveform shapes}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {947--953}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560198}, doi = {10.1109/ICCAD.2005.1560198}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JainBZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangFWLC05, author = {Rong Jiang and Wenyin Fu and Janet Meiling Wang and Vince Lin and Charlie Chung{-}Ping Chen}, title = {Efficient statistical capacitance variability modeling with orthogonal principle factor analysis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {683--690}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560153}, doi = {10.1109/ICCAD.2005.1560153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangFWLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngR05, author = {Andrew B. Kahng and Sherief Reda}, title = {Intrinsic shortest path length: a new, accurate a priori wirelength estimator}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560059}, doi = {10.1109/ICCAD.2005.1560059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngRW05, author = {Andrew B. Kahng and Sherief Reda and Qinke Wang}, title = {Architecture and details of a high quality, large-scale analytical placer}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {891--898}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560188}, doi = {10.1109/ICCAD.2005.1560188}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngRW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Kandemir05, author = {Mahmut T. Kandemir}, title = {2D data locality: definition, abstraction, and application}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {275--278}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560077}, doi = {10.1109/ICCAD.2005.1560077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Kandemir05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KennethKFMYHBDGLTCBBYBCSGSLYCHDHWZB05, author = {Kenneth K. O and Kihong Kim and Brian A. Floyd and Jesal Mehta and Hyun Yoon and Chih{-}Ming Hung and Daniel F. Bravo and Timothy O. Dickson and Xiaoling Guo and Ran Li and Narasimhan Trichy and James Caserta and Wayne R. Bomstad II and Jason Branch and Dong{-}Jun Yang and Jose L. Bohorquez and Jie Chen and Eunyoung Seok and Li Gao and Aravind Sugavanam and Jau{-}Jr Lin and S. Yu and Changhua Cao and M.{-}H. Hwang and Y.{-}R. Ding and S.{-}H. Hwang and Hsin{-}Ta Wu and N. Zhang and Joe E. Brewer}, title = {The feasibility of on-chip interconnection using antennas}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {979--984}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560204}, doi = {10.1109/ICCAD.2005.1560204}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KennethKFMYHBDGLTCBBYBCSGSLYCHDHWZB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/King05, author = {Tsu{-}Jae King}, title = {FinFETs for nanoscale {CMOS} digital integrated circuits}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {207--210}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560065}, doi = {10.1109/ICCAD.2005.1560065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/King05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoelblLM05, author = {Alfred K{\"{o}}lbl and Yuan Lu and Anmol Mathur}, title = {Embedded tutorial: formal equivalence checking between system-level models and {RTL}}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {965--971}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560201}, doi = {10.1109/ICCAD.2005.1560201}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KoelblLM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KouroussisFN05, author = {Dionysios Kouroussis and Imad A. Ferzli and Farid N. Najm}, title = {Incremental partitioning-based vectorless power grid verification}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {358--364}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560094}, doi = {10.1109/ICCAD.2005.1560094}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KouroussisFN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LamJKBC05, author = {Wai{-}Ching Douglas Lam and Jitesh Jain and Cheng{-}Kok Koh and Venkataramanan Balakrishnan and Yiran Chen}, title = {Statistical based link insertion for robust clock network design}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {588--591}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560134}, doi = {10.1109/ICCAD.2005.1560134}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LamJKBC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Leung05, author = {Kwok{-}Shing Leung}, title = {{SPIDER:} simultaneous post-layout IR-drop and metal density enhancement with redundant fill}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {33--38}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560036}, doi = {10.1109/ICCAD.2005.1560036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Leung05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Li05, author = {Peng Li}, title = {Variational analysis of large power grids by exploring statistical sampling sharing and spatial locality}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {645--651}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560146}, doi = {10.1109/ICCAD.2005.1560146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Li05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiCK05, author = {Feihui Li and Guilin Chen and Mahmut T. Kandemir}, title = {Compiler-directed voltage scaling on communication links for reducing power consumption}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {456--460}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560111}, doi = {10.1109/ICCAD.2005.1560111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiCKK05, author = {Feihui Li and Guilin Chen and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Improving scratch-pad memory reliability through compiler-guided data block duplication}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1002--1005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560208}, doi = {10.1109/ICCAD.2005.1560208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiCKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiKB05, author = {Yinghua Li and Alex Kondratyev and Robert K. Brayton}, title = {Synthesis methodology for built-in at-speed testing}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560061}, doi = {10.1109/ICCAD.2005.1560061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiKB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLCP05, author = {Xin Li and Jiayong Le and Mustafa Celik and Lawrence T. Pileggi}, title = {Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {844--851}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560180}, doi = {10.1109/ICCAD.2005.1560180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiLCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLP05, author = {Xin Li and Peng Li and Lawrence T. Pileggi}, title = {Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {806--812}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560174}, doi = {10.1109/ICCAD.2005.1560174}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLPS05, author = {Xin Li and Jiayong Le and Lawrence T. Pileggi and Andrzej J. Strojwas}, title = {Projection-based performance modeling for inter/intra-die variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {721--727}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560160}, doi = {10.1109/ICCAD.2005.1560160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiLPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiWPCC05, author = {Xin Li and Jian Wang and Lawrence T. Pileggi and Tun{-}Shih Chen and Wanju Chiang}, title = {Performance-centering optimization for system-level analog design exploration}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {422--429}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560105}, doi = {10.1109/ICCAD.2005.1560105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiWPCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LimLCC05, author = {Hyeonmin Lim and Kyungsoo Lee and Youngjin Cho and Naehyuck Chang}, title = {Flip-flop insertion with shifted-phase clocks for {FPGA} power reduction}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {335--342}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560090}, doi = {10.1109/ICCAD.2005.1560090}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LimLCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinWZ05, author = {Chuan Lin and Jia Wang and Hai Zhou}, title = {Clustering for processing rate optimization}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {189--195}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560062}, doi = {10.1109/ICCAD.2005.1560062}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinWZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinZ05, author = {Chuan Lin and Hai Zhou}, title = {Trade-off between latch and flop for min-period sequential circuit designs with crosstalk}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {329--334}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560089}, doi = {10.1109/ICCAD.2005.1560089}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuQLJWTY05, author = {Pu Liu and Zhenyu Qi and Hang Li and Lingling Jin and Wei Wu and Sheldon X.{-}D. Tan and Jun Yang}, title = {Fast thermal simulation for architecture level dynamic thermal management}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {639--644}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560145}, doi = {10.1109/ICCAD.2005.1560145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuQLJWTY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuTLQKMH05, author = {Pu Liu and Sheldon X.{-}D. Tan and Hang Li and Zhenyu Qi and Jun Kong and Bruce McGaughy and Lei He}, title = {An efficient method for terminal reduction of interconnect circuits considering delay variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {821--826}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560176}, doi = {10.1109/ICCAD.2005.1560176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuTLQKMH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoRAP05, author = {Tao Luo and Haoxing Ren and Charles J. Alpert and David Zhigang Pan}, title = {Computational geometry based placement migration}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {41--47}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560038}, doi = {10.1109/ICCAD.2005.1560038}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuoRAP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoSCK05, author = {Jianfeng Luo and Qing Su and Charles C. Chiang and Jamil Kawa}, title = {A layout dependent full-chip copper electroplating topography model}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560053}, doi = {10.1109/ICCAD.2005.1560053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuoSCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaFRXB05, author = {James D. Ma and Claire Fang Fang and Rob A. Rutenbar and Xiaolin Xie and Duane S. Boning}, title = {Interval-valued statistical modeling of oxide chemical-mechanical polishing}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {141--148}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560054}, doi = {10.1109/ICCAD.2005.1560054}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaFRXB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ManoliosS05, author = {Panagiotis Manolios and Sudarshan K. Srinivasan}, title = {Verification of executable pipelined machines with bit-level interfaces}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {855--862}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560182}, doi = {10.1109/ICCAD.2005.1560182}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ManoliosS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ManoliosS05a, author = {Panagiotis Manolios and Sudarshan K. Srinivasan}, title = {A complete compositional reasoning framework for the efficient verification of pipelined machines}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {863--870}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560183}, doi = {10.1109/ICCAD.2005.1560183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ManoliosS05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McGowen05, author = {Richard McGowen}, title = {Adaptive designs for power and thermal optimization}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {118--121}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560050}, doi = {10.1109/ICCAD.2005.1560050}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McGowen05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MehrotraLLN05, author = {Amit Mehrotra and Suihua Lu and David C. Lee and Amit Narayan}, title = {Steady-state analysis of voltage and current controlled oscillators}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {618--623}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560141}, doi = {10.1109/ICCAD.2005.1560141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MehrotraLLN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MeiR05, author = {Ting Mei and Jaijeet S. Roychowdhury}, title = {An efficient and robust technique for tracking amplitude and frequency envelopes in oscillators}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {599--603}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560137}, doi = {10.1109/ICCAD.2005.1560137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MeiR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MeiR05a, author = {Ting Mei and Jaijeet S. Roychowdhury}, title = {Oscillator-AC: restoring rigour to linearized small-signal analysis of oscillators}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {604--609}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560138}, doi = {10.1109/ICCAD.2005.1560138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MeiR05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MochockiRE05, author = {Bren Mochocki and Razvan Racu and Rolf Ernst}, title = {Dynamic voltage scaling for the schedulability of jitter-constrained real-time embedded systems}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {446--449}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560109}, doi = {10.1109/ICCAD.2005.1560109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MochockiRE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MondalM05, author = {Mosin Mondal and Yehia Massoud}, title = {Reducing pessimism in {RLC} delay estimation using an accurate analytical frequency dependent model for inductance}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {691--696}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560154}, doi = {10.1109/ICCAD.2005.1560154}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MondalM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Mukherjee05, author = {Tamal Mukherjee}, title = {Design automation issues for biofluidic microchips}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {463--470}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560113}, doi = {10.1109/ICCAD.2005.1560113}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Mukherjee05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NguyenSWK05, author = {Minh D. Nguyen and Dominik Stoffel and Markus Wedler and Wolfgang Kunz}, title = {Transition-by-transition {FSM} traversal for reachability analysis in bounded model checking}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1068--1075}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560219}, doi = {10.1109/ICCAD.2005.1560219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NguyenSWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NieuwoudtM05, author = {Arthur Nieuwoudt and Yehia Massoud}, title = {Robust automated synthesis methodology for integrated spiral inductors with variability}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {502--507}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560119}, doi = {10.1109/ICCAD.2005.1560119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NieuwoudtM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OgrasM05, author = {{\"{U}}mit Y. Ogras and Radu Marculescu}, title = {Application-specific network-on-chip architecture customization via long-range link insertion}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {246--253}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560072}, doi = {10.1109/ICCAD.2005.1560072}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OgrasM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzdalWH05, author = {Muhammet Mustafa Ozdal and Martin D. F. Wong and Philip S. Honsinger}, title = {An escape routing framework for dense boards with high-speed design constraints}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {759--766}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560166}, doi = {10.1109/ICCAD.2005.1560166}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OzdalWH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzdalWH05a, author = {Muhammet Mustafa Ozdal and Martin D. F. Wong and Philip S. Honsinger}, title = {Optimal routing algorithms for pin clusters in high-density multichip modules}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {767--774}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560167}, doi = {10.1109/ICCAD.2005.1560167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OzdalWH05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PanVC05, author = {Min Pan and Natarajan Viswanathan and Chris C. N. Chu}, title = {An efficient and effective detailed placement algorithm}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {48--55}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560039}, doi = {10.1109/ICCAD.2005.1560039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PanVC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PantB05, author = {Sanjay Pant and David T. Blaauw}, title = {Static timing analysis considering power supply variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {365--371}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560095}, doi = {10.1109/ICCAD.2005.1560095}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PantB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ParthasarathyICB05, author = {Ganapathy Parthasarathy and Madhu K. Iyer and Kwang{-}Ting Cheng and Forrest Brewer}, title = {{RTL} {SAT} simplification by Boolean and interval arithmetic reasoning}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {297--302}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560082}, doi = {10.1109/ICCAD.2005.1560082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ParthasarathyICB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/QianS05, author = {Haifeng Qian and Sachin S. Sapatnekar}, title = {A hybrid linear equation solver and its application in quadratic placement}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {905--909}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560190}, doi = {10.1109/ICCAD.2005.1560190}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/QianS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RanM05, author = {Yajun Ran and Malgorzata Marek{-}Sadowska}, title = {Via-configurable routing architectures and fast design mappability estimation for regular fabrics}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560035}, doi = {10.1109/ICCAD.2005.1560035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RanM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaoV05, author = {Ravishankar Rao and Sarma B. K. Vrudhula}, title = {Battery optimization vs energy optimization: which to choose and when?}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {439--445}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560108}, doi = {10.1109/ICCAD.2005.1560108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaoV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaudvereSSJ05, author = {Tarvo Raudvere and Ashish Kumar Singh and Ingo Sander and Axel Jantsch}, title = {System level verification of digital signal processing applications based on the polynomial abstraction technique}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {285--290}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560080}, doi = {10.1109/ICCAD.2005.1560080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaudvereSSJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Rosenband05, author = {Daniel L. Rosenband}, title = {Hardware synthesis from guarded atomic actions with performance specifications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {784--791}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560170}, doi = {10.1109/ICCAD.2005.1560170}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Rosenband05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Roska05, author = {Tam{\'{a}}s Roska}, title = {Cellular wave computers and {CNN} technology - a SoC architecture with xK processors and sensor arrays}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {557--564}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560129}, doi = {10.1109/ICCAD.2005.1560129}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Roska05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Rothemund05, author = {Paul W. K. Rothemund}, title = {Design of {DNA} origami}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {471--478}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560114}, doi = {10.1109/ICCAD.2005.1560114}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Rothemund05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RowlettePSPG05, author = {Jeremy A. Rowlette and Eric Pop and Sanjiv Sinha and Mathew Panzer and Kenneth E. Goodson}, title = {Thermal simulation techniques for nanoscale transistors}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {225--228}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560068}, doi = {10.1109/ICCAD.2005.1560068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RowlettePSPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyC05, author = {Sanghamitra Roy and Weijen Chen}, title = {ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizing}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {196--203}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560063}, doi = {10.1109/ICCAD.2005.1560063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RoyC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyDBDC05, author = {Suchismita Roy and Sayantan Das and Prasenjit Basu and Pallab Dasgupta and Partha Pratim Chakrabarti}, title = {{SAT} based solutions for consistency problems in formal property specifications for open systems}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {885--888}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560186}, doi = {10.1109/ICCAD.2005.1560186}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RoyDBDC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyMMABC05, author = {Kaushik Roy and Hamid Mahmoodi{-}Meimand and Saibal Mukhopadhyay and Hari Ananthan and Aditya Bansal and Tamer Cakici}, title = {Double-gate {SOI} devices for low-power and high-performance applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {217--224}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560067}, doi = {10.1109/ICCAD.2005.1560067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RoyMMABC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Ruiz-SautuaMMH05, author = {Rafael Ruiz{-}Sautua and Mar{\'{\i}}a C. Molina and Jose Manuel Mendias and Rom{\'{a}}n Hermida}, title = {Performance-driven read-after-write dependencies softening in high-level synthesis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560031}, doi = {10.1109/ICCAD.2005.1560031}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Ruiz-SautuaMMH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchaferHZG05, author = {Martin K. F. Schafer and Thomas Hollstein and Heiko Zimmer and Manfred Glesner}, title = {Deadlock-free routing and component placement for irregular mesh-based networks-on-chip}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {238--245}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560071}, doi = {10.1109/ICCAD.2005.1560071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchaferHZG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchottB05, author = {Brian Schott and Michael Bajura}, title = {Power-aware microsensor design}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {921--924}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560193}, doi = {10.1109/ICCAD.2005.1560193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchottB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SehgalC05, author = {Anuja Sehgal and Krishnendu Chakrabarty}, title = {Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SOCs}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {88--93}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560045}, doi = {10.1109/ICCAD.2005.1560045}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SehgalC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SeoKD05, author = {Jaewon Seo and Taewhan Kim and Nikil D. Dutt}, title = {Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {450--455}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560110}, doi = {10.1109/ICCAD.2005.1560110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SeoKD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShahSSSBZ05, author = {Saumil Shah and Ashish Srivastava and Dushyant Sharma and Dennis Sylvester and David T. Blaauw and Vladimir Zolotov}, title = {Discrete Vt assignment and gate sizing using a self-snapping continuous formulation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {705--712}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560157}, doi = {10.1109/ICCAD.2005.1560157}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShahSSSBZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShebaitaADI05, author = {Ahmed M. Shebaita and Chirayu S. Amin and Florentin Dartu and Yehea I. Ismail}, title = {Expanding the frequency range of {AWE} via time shifting}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {935--938}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560196}, doi = {10.1109/ICCAD.2005.1560196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShebaitaADI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShekharKEG05, author = {Namrata Shekhar and Priyank Kalla and Florian Enescu and Sivaram Gopalakrishnan}, title = {Equivalence verification of polynomial datapaths with fixed-size bit-vectors using finite ring algebra}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {291--296}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560081}, doi = {10.1109/ICCAD.2005.1560081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShekharKEG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Singh05, author = {Montek Singh}, title = {Memory access optimization of dynamic binary translation for reconfigurable architectures}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1014--1020}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560210}, doi = {10.1109/ICCAD.2005.1560210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Singh05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghMO05, author = {Ashish Kumar Singh and Murari Mani and Michael Orshansky}, title = {Statistical technology mapping for parametric yield}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {511--518}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560121}, doi = {10.1109/ICCAD.2005.1560121}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghMO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghalB05, author = {Love Singhal and Elaheh Bozorgzadeh}, title = {Fast timing closure by interconnect criticality driven delay relaxation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {792--797}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560171}, doi = {10.1109/ICCAD.2005.1560171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghalB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaSZ05, author = {Debjit Sinha and Narendra V. Shenoy and Hai Zhou}, title = {Statistical gate sizing for timing yield optimization}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1037--1041}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560214}, doi = {10.1109/ICCAD.2005.1560214}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SinhaSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaZ05, author = {Debjit Sinha and Hai Zhou}, title = {A unified framework for statistical timing analysis with coupling and multiple input switching}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {837--843}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560179}, doi = {10.1109/ICCAD.2005.1560179}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SinhaZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrinivasanCK05, author = {Krishnan Srinivasan and Karam S. Chatha and Goran Konjevod}, title = {An automated technique for topology and route generation of application specific on-chip interconnection networks}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {231--237}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560070}, doi = {10.1109/ICCAD.2005.1560070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrinivasanCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SrivastavaB05, author = {Navin Srivastava and Kaustav Banerjee}, title = {Performance analysis of carbon nanotube interconnects for {VLSI} applications}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {383--390}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560098}, doi = {10.1109/ICCAD.2005.1560098}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SrivastavaB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StaszewskiML05, author = {Robert Bogdan Staszewski and Khurram Muhammad and Dirk Leipold}, title = {Digital {RF} processor (DRP{\texttrademark}) for cellular phones}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {122--129}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560051}, doi = {10.1109/ICCAD.2005.1560051}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StaszewskiML05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StiffV05, author = {Greg Stiff and Frank Vahid}, title = {New decompilation techniques for binary-level co-processor generation}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {547--554}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560127}, doi = {10.1109/ICCAD.2005.1560127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StiffV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SuarisKBDM05, author = {Peter Suaris and Taeho Kgil and Keith A. Bowman and Vivek De and Trevor N. Mudge}, title = {Total power-optimal pipelining and parallel processing under process variations in nanometer technology}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {535--540}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560125}, doi = {10.1109/ICCAD.2005.1560125}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SuarisKBDM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Tahoori05, author = {Mehdi Baradaran Tahoori}, title = {A mapping algorithm for defect-tolerance of reconfigurable nano-architectures}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {668--672}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560150}, doi = {10.1109/ICCAD.2005.1560150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Tahoori05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TakahashiCCDFHKMNOOPS05, author = {Osamu Takahashi and Russ Cook and Scott R. Cottier and Sang H. Dhong and Brian K. Flachs and Koji Hirairi and Atsushi Kawasumi and Hiroaki Murakami and Hiromi Noro and Hwa{-}Joon Oh and S. Onish and Juergen Pille and Joel Silberman}, title = {The circuit design of the synergistic processor element of a {CELL} processor}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {111--117}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560049}, doi = {10.1109/ICCAD.2005.1560049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TakahashiCCDFHKMNOOPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TrivediFMCZWN05, author = {Vishal P. Trivedi and Jerry G. Fossum and Leo Mathew and Murshed M. Chowdhury and Weimin Zhang and Glenn O. Workman and Bich{-}Yen Nguyen}, title = {Physics-based compact modeling for nonclassical {CMOS}}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560066}, doi = {10.1109/ICCAD.2005.1560066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TrivediFMCZWN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsaiZ05, author = {Jeng{-}Liang Tsai and Lizheng Zhang}, title = {Statistical timing analysis driven post-silicon-tunable clock-tree synthesis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {575--581}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560132}, doi = {10.1109/ICCAD.2005.1560132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsaiZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsukamotoNIOOYMIS05, author = {Yasumasa Tsukamoto and Koji Nii and Susumu Imaoka and Yuji Oda and Shigeki Ohbayashi and Tomoaki Yoshizawa and Hiroshi Makino and Koichiro Ishibashi and Hirofumi Shinohara}, title = {Worst-case analysis to obtain stable read/write {DC} margin of high density 6T-SRAM-array with local Vth variability}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {398--405}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560101}, doi = {10.1109/ICCAD.2005.1560101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsukamotoNIOOYMIS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VasilyevW05, author = {Dmitry Vasilyev and Jacob K. White}, title = {A more reliable reduction algorithm for behavioral model extraction}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {813--820}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560175}, doi = {10.1109/ICCAD.2005.1560175}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/VasilyevW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VenkataramanJHLKRMA05, author = {Ganesh Venkataraman and Nikhil Jayakumar and Jiang Hu and Peng Li and Sunil P. Khatri and Anand Rajaram and Patrick McGuinness and Charles J. Alpert}, title = {Practical techniques to reduce skew and its variations in buffered clock networks}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {592--596}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560135}, doi = {10.1109/ICCAD.2005.1560135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VenkataramanJHLKRMA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VijayakumarB05, author = {Aravind Vijayakumar and Forrest Brewer}, title = {Weighted control scheduling}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {777--783}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560169}, doi = {10.1109/ICCAD.2005.1560169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VijayakumarB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VorwerkK05, author = {Kristofer Vorwerk and Andrew A. Kennings}, title = {Mixed-size placement via line search}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {899--904}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560189}, doi = {10.1109/ICCAD.2005.1560189}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VorwerkK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangSMCL05, author = {Janet Meiling Wang and Bharat Srinivas and Dongsheng Ma and Charlie Chung{-}Ping Chen and Jun Li}, title = {System-level power and thermal modeling and analysis by orthogonal polynomial based response surface approach {(OPRS)}}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {728--735}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560161}, doi = {10.1109/ICCAD.2005.1560161}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangSMCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WongB05, author = {Ngai Wong and Venkataramanan Balakrishnan}, title = {Fast balanced stochastic truncation via a quadratic extension of the alternating direction implicit iteration}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {801--805}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560173}, doi = {10.1109/ICCAD.2005.1560173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WongB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WongCLH05, author = {Ho{-}Yan Wong and Lerong Cheng and Yan Lin and Lei He}, title = {{FPGA} device and architecture evaluation considering process variations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560034}, doi = {10.1109/ICCAD.2005.1560034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WongCLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuLWW05, author = {Huaizhi Wu and I{-}Min Liu and Martin D. F. Wong and Yusu Wang}, title = {Post-placement voltage island generation under performance requirement}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {309--316}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560085}, doi = {10.1109/ICCAD.2005.1560085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuLWW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuVHLM05, author = {Di Wu and Ganesh Venkataraman and Jiang Hu and Quiyang Li and Rabi N. Mahapatra}, title = {DiCER: distributed and cost-effective redundancy for variation tolerance}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {393--397}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560100}, doi = {10.1109/ICCAD.2005.1560100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuVHLM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangG05, author = {Suwen Yang and Mark R. Greenstreet}, title = {Noise margin analysis for dynamic logic circuits}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {406--412}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560102}, doi = {10.1109/ICCAD.2005.1560102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YehM05, author = {Chao{-}Yang Yeh and Malgorzata Marek{-}Sadowska}, title = {Timing-aware power noise reduction in layout}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {627--634}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560143}, doi = {10.1109/ICCAD.2005.1560143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YehM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZaraketBA05, author = {Fadi A. Zaraket and Jason Baumgartner and Adnan Aziz}, title = {Scalable compositional minimization via static analysis}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {1060--1067}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560218}, doi = {10.1109/ICCAD.2005.1560218}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZaraketBA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhanS05, author = {Yong Zhan and Sachin S. Sapatnekar}, title = {A high efficiency full-chip thermal simulation algorithm}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {635--638}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560144}, doi = {10.1109/ICCAD.2005.1560144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhanS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhanSSN05, author = {Yaping Zhan and Andrzej J. Strojwas and Mahesh Sharma and David Newmark}, title = {Statistical critical path analysis considering correlations}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {699--704}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560156}, doi = {10.1109/ICCAD.2005.1560156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhanSSN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhongW05, author = {Yu Zhong and Martin D. F. Wong}, title = {Fast algorithms for {IR} drop analysis in large power grid}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {351--357}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560093}, doi = {10.1109/ICCAD.2005.1560093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhongW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouYCZCHCSCS05, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Chung{-}Kuan Cheng and Michael D. Hutton and Truman Collins and Sridhar Srinivasan and Nan{-}Chi Chou and Peter Suaris}, title = {Improving the efficiency of static timing analysis with false paths}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {527--531}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560123}, doi = {10.1109/ICCAD.2005.1560123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhouYCZCHCSCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuW05, author = {Zhenhai Zhu and Jacob K. White}, title = {FastSies: a fast stochastic integral equation solver for modeling the rough surface effect}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {675--682}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560152}, doi = {10.1109/ICCAD.2005.1560152}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhuW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2005, title = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://ieeexplore.ieee.org/xpl/conhome/10431/proceeding}, isbn = {0-7803-9254-X}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.